PDA

Просмотр полной версии : Трансивер SW-2011 от UR3LMZ №4



Страницы : 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 [20] 21 22

nick_push
22.11.2011, 00:58
у меня похожая ситуация, на 28 около 1.2в, смеситель вроде как работает, но прием не тот что должен быть, вместе со станциями 10 метрового диапазона принимается все что можно (вещательные, такси и т.д.). Хочу посмотреть анализатором сигнал синтеза, о результатах доложу.
ФНЧ я откинул, т.к. там есть пару провалов один большой 6 дб. Откинул смеситель нагрузил на резистор 1к. Каскад работает исправно. Уменьшил индуктивнось до 330 нГ. АЧХ подвинулась, и получилось отлично!. 1,64 +-0,2 В. эфф. по всему диапазону.!
Но как только подключаю обратно к смесителю, все портиться. завал на ВЧ. На 28 мГц( 73 мгц с синтеза ) 0,4 В. эфф. на 1,9 мГц( 46 мц) 1,9 В.
Поменял транзистор. результат 0. Остался С30 в подозрении. хотя 1 нф. там как раз.
Утром продолжу.

Integral
22.11.2011, 06:16
nick_push
Вот три варианта ФНЧ, мной когда то расчитанные - выбирайте какой по душе подходит


96778 96779 96780

nick_push
22.11.2011, 11:39
Саша, а почему Вы ушли от каскада на биполярнике к полевику, в драйвере синтеза?

Wiktor UA
22.11.2011, 11:52
дал внешний источник, нету результатов.
Было такое же самое лечиться очень легко,если только Вы не трогали фьюз RSTDISBL иначе в помойку, тоже ошибка (-24),бывает что с маневрированием фьюзами контролер не выходит на связь, он сам переключается на внешнее тактирование, сделал простой генератор на RC цепочке и МС ЛА3, частота генератора в пределах 1-4 Мгц (что оказывается очень важным), стабильности ненадо, и подал на ногу МК XTAL1 и все МК ожил.

amator
22.11.2011, 13:12
Саша, а почему Вы ушли от каскада на биполярнике к полевику, в драйвере синтеза?Этот каскад важен по шумам и линейности. С полевиком сигнал чище, не требует подбора режима.

RK1AQ
22.11.2011, 15:29
Дисплей сименса S65 - любимая игрушка у всех, кто смог дотянуться... Я не успел. Да их и не купить (на Вашей ссылке в том числе).
Гена, у меня два таких валяется, если надо бери на опыты.

nick_push
23.11.2011, 00:53
Переделал каскад Q2 на bfs17a. Получил 1,5-2,6 вольта по диапазону, ток покоя 12 ма.
Вопрос к Саше.
Есть еще один нюанс. В режиме CW при нажатии на ключ, тон в динамике есть, несущей нет. если зайти в меню, выбрать "настройка вкл". все в порядке.
Q31 при нажатии ключа должен закрываться.
Дорожка не потеряна? интересует нижняя сторона платы. там перемычку кидать нужно?

amator
23.11.2011, 00:57
Дорожка не потеряна? интересует нижняя сторона платы. там перемычку кидать нужно?Это ошибка в плате. Я уже давал исправление, даю еще раз. В том месте где красным дорожку перерезать. И кинуть перемычку как нарисовано. Не к тому коллектору диод был подключен по ошибке.

LEONID2
23.11.2011, 14:36
Доброго времени суток!
Мне удалось запусить синтезатор, тяжёлые роды, но работает. Из 4-х контроллеров один прожёгся нормально и более 2-х раз. Стало интересно прожгу или нет, а так запустился с первого раза. Меню итересное, для приёмника более чем достаточно. Если кому интересно потребление тока с кристаллическим генератором в качестве опорника и с подсветской шкалы около 200 мА. Хотель бы узнать, если кто-то замерял, каков уровень сигнала на выходе после усилителя. До усилителя получаю около 2-х дБм (см. на катринке филтр, который я прогнал и применил). Я замерил осиллографом и получил 2 вольта p/p, после усилителя на BF998, примерно 10 дБм. На спектруме около 5-ти дБм. Я использую несколько другой диапазон частот от 61-ти до 91 мегагерц, но этой мощности мало, т.к. BF998 должен выдать 20дБ.
Заметил некоторые мелочи которые могут пригодится. Схема работает без СП42, у меня применён ST809L. Если кто столкнуля с проблемой кнопок (нигде не указанно кстати), помимо 4-х перемычек с платы контроллера на плату ЖКИ, нужно кинуть ещё проводники земли (общий провод) и один проводок левее 28-й ножки клемника.

P.S.
Между делом, спасибо всем, кто позавчера откликнулсж!

Sergio
23.11.2011, 14:43
у меня похожая ситуация, на 28 около 1.2в, смеситель вроде как работает, но прием не тот что должен быть, вместе со станциями 10 метрового диапазона принимается все что можно (вещательные, такси и т.д.). Хочу посмотреть анализатором сигнал синтеза, о результатах доложу.
Посмотрели сигнал, на диапазонах до 24 МГц все нормально, после 24 вот таккая картина (на дисплее синтезатора частота 28.5)

amator
23.11.2011, 14:52
на диапазонах до 24 МГц все нормально, после 24 вот таккая картинаЯ передал Виктору AD9952 для вас. А пока можно попробовать увеличить номинал дросселя питания на DVDD до 100 мкгн, а блокировочную емкость С13 по этой цепи убрать совсем. Если не даст эффекта, то менять микросхему.

Sergio
23.11.2011, 15:22
Я передал Виктору AD9952 для вас. А пока можно попробовать увеличить номинал дросселя питания на DVDD до 100 мкгн, а блокировочную емкость С13 по этой цепи убрать совсем. Если не даст эффекта, то менять микросхему.
попробовал, не помогло, жду посылку

rx3qsp
23.11.2011, 15:32
Здравствуйте LEONID2! Вы писали: Если кто столкнуля с проблемой кнопок (нигде не указанно кстати), помимо 4-х перемычек с платы контроллера на плату ЖКИ, нужно кинуть ещё проводники земли (общий провод) и один проводок левее 28-й ножки клемника. Можно поподробнее об этом...

PS: Если у кого проблема запуска трансивера ниже +10 градусов, решается заменой кварца 36,13(лодочка), ну не хотят лодочки исправно работать, надо применять в корпусе HC-49U!!!

LEONID2
23.11.2011, 16:00
Здравствуйте LEONID2! Вы писали: Если кто столкнуля с проблемой кнопок (нигде не указанно кстати), помимо 4-х перемычек с платы контроллера на плату ЖКИ, нужно кинуть ещё проводники земли (общий провод) и один проводок левее 28-й ножки клемника. Можно поподробнее об этом...

PS: Если у кого проблема запуска трансивера ниже +10 градусов, решается заменой кварца 36,13(лодочка), ну не хотят лодочки исправно работать, надо применять в корпусе HC-49U!!!

to rx3qsp
Здравствуйте !

Я не собирал именно этот трансивер, а применил данный синтезатор к другой конструкции. На снимке в моих прежних коментариах это лодочка пробный вариант, на данный момент я применяюи кварц в корпусе HC-49U и с частотой 16 мега. По поводу опорника, там сидит (временно) генератор на 50 мега.
температура ниже +10 градусов по Цельсию в моём районе есть только на картинках и в горах :), но за реккомендациии спасибо, буду знать чего опасаться.

amator
23.11.2011, 16:23
Если у кого проблема запуска трансивера ниже +10 градусов, решается заменой кварца 36,13(лодочка), ну не хотят лодочки исправно работать, надо применять в корпусе HC-49U!!!Сейчас померял уход с кварцем 36.13 в таком корпусе. Нагрев от комнатной 22 до 40 градусов дает уход 50 гц, до 100 градусов около 200 гц. Так что в предполагаемом перепаде температур уход может быть 10-20 гц, и все. Мерял на диапазоне 7 мгц. 10 градусов практически ничего не дает. Все на месте. От силы 10 гц, трудно определить. С кварцевым генератором такого не будет. Все таки тут 2 гетеродина идут в одну сторону, и компенсируется уход частоты.

UX5PS
23.11.2011, 17:11
жду посылку

Ждите, сегодня отправил.

nick_push
23.11.2011, 17:12
До усилителя получаю около 2-х дБм (см. на катринке филтр, который я прогнал и применил). Я замерил осиллографом и получил 2 вольта p/p, после усилителя на BF998, примерно 10 дБм. На спектруме около 5-ти дБм. Я использую несколько другой диапазон частот от 61-ти до 91 мегагерц, но этой мощности мало, т.к. BF998 должен выдать 20дБ.
У меня вообще полевик давал 6дб усиления.. убрал его, сделал каскад на bfs17.

amator
23.11.2011, 17:15
У меня вообще полевик давал 6дб усиления.. убрал его, сделал каскад на bfs17.Да лишь бы больше вольта обеспечивал везде и это нормально. BFS17 я сравнивал с полевиком ранее. Тщательно проверял разницу. Она небольшая, но с полевиком получилось лучше.

LEONID2
23.11.2011, 22:18
У меня вообще полевик давал 6дб усиления.. убрал его, сделал каскад на bfs17.

Ясно, спасибо.не всё так плохо :)

Sergio
23.11.2011, 23:51
Еще вопрос, "землю" с обратной стороны ДДС-ки нужно подпаивать или нет, а то я припаял?

amator
23.11.2011, 23:54
Еще вопрос, "землю" с обратной стороны ДДС-ки нужно подпаивать или нет, а то я припаял?Площадку припаивать обязательно. Без этого работа не допускается и будет совершенно непригодная.

ua6jdm
24.11.2011, 07:23
Да лишь бы больше вольта обеспечивал везде и это нормально. BFS17 я сравнивал с полевиком ранее. Тщательно проверял разницу. Она небольшая, но с полевиком получилось лучше.А если вместо полевика поставить пару логических элементов,а на первый подать смещение,на выходе получится меандр постоянной амплитуды. У Дроздова все смесители управляются меандром,поэтому динамики выше крышы!

amator
24.11.2011, 12:29
А если вместо полевика поставить пару логических элементов,а на первый подать смещение,на выходе получится меандр постоянной амплитуды. У Дроздова все смесители управляются меандром,поэтому динамики выше крышы!Внутри ADG774 стоит свой формирователь параметры которого на порядок выше любой логики. Нужен лишь достаточный уровень на вход, и все. Но к чистоте входного сигнала все равно надо стремится, какой бы ни был формирователь. Поэтому при тщательном сравнении с полевиком показалось лучше. Так и оставил, что бы не сомневаться.

amator
24.11.2011, 18:23
Приветсвую всех друзъя. Немного покрутил версию с новым синтезом на RDX, пощупал что вышло. Все выглядит
неплохо, и мне понравилось. Синтезатор впечатляет своей функциональностью. Все можно настроить на любой каприз.
Конечно применение 2-х DDS удорожает конструкцию, но зато настраивать одно удовольсвие. Не надо мерять частоту
REF 36.130 а достаточно по нулевым биениям на известной частоте подвести значение валкодером в меню. (лучше
всего на верхних диапазонах, где это больше заметно). Тогда все, и везде совпадает по частоте точно. Не требуется
калибровать отдельно ПЧ LSB,USB,CW как было ранее. Частоту опоры (3-й гетеродин в меню) строим на скат по
остатку несущей при передаче. Крутим на ходу, и смотрим. Рабочая частота при этом не меняется, процессор сам в
уме посчитает что надо выдать, и частота будет прежняя. Меняется лишь окраска на приеме и передаче. Кстати от
того что вход 3-его гетеродина отдельный, а не от кварца в МС, как было ранее, то уровень невелик, и легко
изменяется сколько надо. Так лучше подавление несущей, и опору можно двигать практически впритык от ската к
полосе, тем кто любит выраженные низа.

И так - по кнопкам. Всего их 6. Вот как выглядит:

1,2 Диапазоны вверх - вниз
3. Режим сплит, (расстройка) и обмен частотами А-В, длительное нажатие выкл. (довольно удобно и быстро)
4. Переключение 0-АТТ-PRE. Длительным нажатием режим настройки. (непрерывная несущая и тон, независимо от
режима).
5. Короткое нажатие пока не учавствует при SSB, а в CW переключает полосу 2,7 и 0,7 кгц. Длительное вход в меню.
6. Переключение LSB-CWR-DGL. Длительным нажатием меняем USB--CWZ-DGU. Любой режим можно изменить
длительным нажатием, а потом выбранное переключается по кругу коротким нажатием.
Частоты при переключении диапазонов забиты такие -
198 кгц - 1.440 - 1.810 - 3.630 - 4.996 - 7.105 - 10.100 - 14.00 - 17.00 - 18.068 - 21.00 - 24.890 - 28.00 - 28.500 - 29.600 -
50.100 - 144.070 - 144.300 - 145.750
Это по умолчанию для быстрого перехода по участкам. А там можно накрутить любое значение и оно запоминается.

Хотелось бы услышать пожелания, так как буду заказывать переднюю панель, и надо прежде уточнить что писать.
Может надо что-то поменять? Если будут пожелания, то все принимается, и подправим вдруг чего.

Андр_й
24.11.2011, 18:43
Александр доброго Вам вечера! Вопрос диапазон 144 можно перестроить до 146мГц или толькко до 145,750.А так все остальное нормально.
Андрей UR5WDC

DeXter Holland
24.11.2011, 18:46
Предлагаю количество диапазонов выбирать по себе, с помощью настройки в соответствующем меню, так как кому-то надо 198 кгц - 1.440 , а кому то и нет, и лишний раз их перелистывать- не охота.

amator
24.11.2011, 18:56
Александр доброго Вам вечера! Вопрос диапазон 144 можно перестроить до 146мГц или толькко до 145,750.Можно сколько угодно. Это по умолчанию так становится. Что накрутите, то и будет. И это значение запомнится в данном под диапазоне.

Предлагаю количество диапазонов выбирать по себе, с помощью настройки в соответствующем меню, так как кому-то надо 198 кгц - 1.440 , а кому то и нет, и лишний раз их перелистывать- не охота. Может и так. Номера поддиапазонов выключать в меню. Это что Геннадий Завидовский скажет, не усложнит ли это сильно программу. А там и так в меню много всего. Я давал инфо. Хотя можно и убрать что-то. Скорости САТ, достаточно одной, может по телеграфу что-то сократить. А то для CW там все, и точки и тире местами, и их выбор ключа, и скорость, и паузы, и тон, и чего только нет! За обычных SSB-истов обидно! Думаю что-то можно убрать.
Хоть я и не телеграфист, но мне понравилось разные режимы при CW. В режиме CWR слушаешь как и в SSB, только переключается фильтр, тон не меняется. Можно сразу и передавать. Частота передачи будет правильная.
Забыл сказать... Шрифт подправлен, сейчас смотрится хорошо. Фото пока не делал, это потом. Почти как на версии ТИК, даже лучше.
Что немаловажно есть регулировка контрастности по вкусу, и яркость подсветки 4 положения. Это то что не вошло в описание меню что давал ранее.

slavector
24.11.2011, 20:14
Александр фотки надо как можно скорее! Уж очень глянуть хочется! :-P
А вообще вам бы научиться видео снимать хоть даже на сотовый и на ютуб выгладывать!
Это бы было дело!

amator
24.11.2011, 20:18
Александр фотки надо как можно скорее! Уж очень глянуть хочется!
А вообще вам бы научиться видео снимать хоть даже на сотовый и на ютуб выгладывать!
Это бы было дело! Кроме дохлого мобильника у меня ничего нет. Как разбогатею, тогда будем кино снимать.

UX5PS
24.11.2011, 20:40
Не вижу режимов FAST и LOCK. Они идут через меню, что ли? И желательно неиспользуемые диапазоны (138, 1440 и т.д.) исключать программно из меню. Мне, например, достаточно 10 диапазонов (включая 50 МГц), а диапазоны для 5-й категории вообще не нужны:-P

amator
24.11.2011, 20:44
Не вижу режимов FAST и LOCK. Они идут через меню, что ли?*Энкодер очень умный, сам под руку подстраивается. Нет надобности включать FAST. А кому надо перемотать много, то можно выбрать в меню режим энкодера. LOCK и даром не надо. Никогда не пользовался, и исключили этот режим.

Genadi Zawidowski
24.11.2011, 21:15
Предлагаю количество диапазонов выбирать по себе, с помощью настройки в соответствующем меню, так как кому-то надо 198 кгц - 1.440 , а кому то и нет, и лишний раз их перелистывать- не охота.
Прерлистывание через средневолновые диапазоны может произойти, если Вы идёте от 144 МГц "через верх" к 1.8 МГц. По кругу.
УБирание в меню мозможно, но ещё проще удалить совсем. К стати, исходники выложены в теме про приёмник мечты.

диапазон 144 можно перестроить до 146мГц
Сейчас верхняя граница стоит 150 МГц. Естествено, работоспособность по частотам определяется входными цепями и формирователем сигнала гетеродина. Так, до 150 МГц диапазон непрерывный, без "упора", от 30 кГц.

UR5HOQ
24.11.2011, 21:15
Александр Николаевич добрый вечер. У меня только одна просьба к Вам. На этом и последующих версиях плат синтезаторов, установите разьем, (как для прошивки синтезатора) что бы можно управлять фильтрами ДПФ и ФНЧ. Многие радиолюбители ставят эти фильтра и в родном корпусе и в стационаре. А припаивать провода к синтезатору - теряется дизайн красоты аппарата, ну и конечно настроение. Я думаю, что это Вам не трудно сделать.С уважением Валерий.

Genadi Zawidowski
24.11.2011, 21:18
диапазоны для 5-й категории вообще не нужны
Это вещательные АМ диапазоны. На них предлагается слушать. Вещают на них румыны, турки и много других стран.
Кроме частот в пределах вещательных и любительских диапазонов, запоминается частота вне любого диапазона. Но одна.

UX5PS
24.11.2011, 21:30
Это вещательные АМ диапазоны. На них предлагается слушать

АМ режима в трансивере нет, разве что установить несущую по нулевым биениям. Для вещания мне хватает Дегена. Давайте оставим ХАМам - ХАМово, а DX-истстам - DXистово:-P

LEONID2
24.11.2011, 21:35
Есть предложение. Если нет ограничений памяти, можно домавить АМ, который будет полностью открыт от 100 килогерц до 30 мегагерц без границ и зашить шаг сдвига 1 килогерц. Поставить это как опцию в самом конце или в начале. Не верю, что любители с с позывным или без него ни разу не пытаются послушать вещалки на КВ. Голос из-за океана уже менее интересен, но всё таки почему бы и не использовать. Думаю вариант может подойти для 168-го проца.

amator
24.11.2011, 21:43
У меня только одна просьба к Вам. На этом и последующих версиях плат синтезаторов, установите разьем, (как для прошивки синтезатора) Это есть во всех версиях.

что бы можно управлять фильтрами ДПФ и ФНЧ. Такой клемник тоже есть для управления ДПФ. Кому надо, подключайте.

Добавлено через 6 минут(ы):


Не верю, что любители с с позывным или без него ни разу не пытаются послушать вещалки на КВ Я слушал и не раз в режиме SSB, только точно настраиваться приходится. А так принимается отлично! Диапазон не ограничен. А специально ставить детектор АМ и переключать полосу под АМ пока не будем. А если будем, то воткнуть туда и ЧМ это запросто. Но пока так, а дальше видно будет.

Genadi Zawidowski
24.11.2011, 22:58
зашить шаг сдвига 1 килогерц.
В AM шаг перестройки 100 Гц. С одним килогерцем тяжело - иногда +/- подстраиваешся для лучшего звучания, например. Да и 256 кГц на один оборот ручки это тяжело будет.

Добавлено через 6 минут(ы):


3. Режим сплит, (расстройка) и обмен частотами А-В, длительное нажатие выкл.

Дополню это описание цитатой самого себя с другой ветки:

При включении SPLIT по длинному нажатию в SSB передатчик ставится на 5 кГц выше, в телеграфе - на 1 кГц выше.

Выгдяит так:
split выключен - короткое нажатие - влючается split, частоты VFO и режимы работы одинаковы.
split выключен - длинное нажатие - влючается split, режимы работы одинаковы, начальная частота неактивного сейчас VFO (VFO передачи) становится на 1 или 5 кГц выше текущей частоты работы (в CW/CWR и USB/LSB соответственно).

короткое нажатие переключает между VFO, длинное выключает режим spliut.

Естественно, каждый VFO можно перестраивать по всему диапазону рабочих частот трансивера и менять режимы работы в любых комбинациях.

Добавлено через 53 минут(ы):

Проиллюстрирую возможности управления режимами работы телеграфного ключа (режим ACS) слегка отредактированной картинкой из описания FT-950. В SW-2011-RDX нет режима "BUG":

UA4HJI
25.11.2011, 01:02
Частоты при переключении диапазонов забиты такие -
198 кгц - 1.440 - 1.810 - 3.630 - 4.996 - 7.105 - 10.100 - 14.00 - 17.00 - 18.068 - 21.00 - 24.890 - 28.00 - 28.500 - 29.600 -
50.100 - 144.070 - 144.300 - 145.750
Это по умолчанию...

Давайте оставим ХАМам - ХАМово, а DX-истстам - DXистово:-P
Думаю, по умолчанию ХАМовитее :) будет если забить вначале 136 кГц - 500 кГц - 1.1810 ...

amator
25.11.2011, 01:43
Сейчас верхняя граница стоит 150 МГц. Естествено, работоспособность по частотам определяется входными цепями и формирователем сигнала гетеродина. Так, до 150 МГц диапазон непрерывный, без "упора", от 30 кГцВ версии для SW2011-2 и SW2011-RDX частота гетеродина для 144 мгц составляет 99 мгц, С учетом что работает удвоитель, то от синтезатора там требуется 49,5 мгц, что очень мало по его способностям. Для 50 мгц гетеродин выше рабочей = 95 мгц, а от синтезатора требуется всего лишь 47,5 мгц. Поэтому все работает очень чисто. Пора подумать и о диапазоне 430 мгц. Надо прикинуть.

Попробовать можно с дальнейшим умножением. От синтезатора понадобится 48 мгц с копейками. То есть ни для 50, ни для 144, ни для 430 фильтр синтезатора не поменяется. Диапазон тот же 46-75 мгц. Выше его загонять не надо, лучше умножить. В общем будем пробовать. На тех частотах возросший фазовый шум вреда не принесет. Там нет такой плотности станций как на КВ.

Володимир
25.11.2011, 10:07
То есть ни для 50, ни для 144, ни для 430 фильтр синтезатора не поменяется.
....это будет ОЧЕНЬ хорошо!!!! 58 мГц синтеза +28(30) мГц*5=430(440)мГц
..ну да!! Немного не так!!:crazy: Из синтеза 62,5 мГц..... Как вариант.....:super::-P

amator
25.11.2011, 13:23
+28(30) мГц28 мгц ни при чем. Сейчас во всех вариантах ПЧ 45 мгц. То есть 430-45=385 мгц. С применением удвоений делим на 8 и будет 48.125 мгц.

konstantin us5itp
25.11.2011, 17:28
28 мгц ни при чем. Сейчас во всех вариантах ПЧ 45 мгц. То есть 430-45=385 мгц. С применением удвоений делим на 8 и будет 48.125 мгц.
Умножитель лучше диодный , и усилитель. Вот нашел у себя схемы , 1N5711 недавно были на "КОСМОДРОМЕ".
В такой вариант (с диап.430) просится отдельный гетеродин на 400 мгц.для DDS.

ua6jdm
25.11.2011, 18:07
А нельзя сделать ГУН на 385-395 мгц.,его частоту поделить на 8 и пустить на фазовый детектор
с частотой сравнения 48.125-49.375 мгц, чтобы не увосмерять фазовый шум?

amator
25.11.2011, 18:39
А нельзя сделать ГУН на 385-395 мгц.,его частоту поделить на 8 и пустить на фазовый детектор
с частотой сравнения 48.125-49.375 мгц, чтобы не увосмерять фазовый шум?Можно, только это сложнее, а так все то же и останется. Так как выходная частота выше опоры в 8 раз, то все и увеличится в 8 раз.

labuda51
25.11.2011, 18:56
А как же в петле DDS?.Что на 5 что на 11 шумы должны увеличиваться одинаково- само умножение происходит один раз.

LEONID2
25.11.2011, 19:11
Умножитель лучше диодный , и усилитель. Вот нашел у себя схемы , 1N5711 недавно были на "КОСМОДРОМЕ".
В такой вариант (с диап.430) просится отдельный гетеродин на 400 мгц.для DDS.


Диодный умножитель не портит фазовый шум, и это видно из графика, а вот ограничения софта в процессоре в использовании сигналов опорника не выше 100 мегагерц- вот где загвоздка.
цитата одного из постов "При выборе значений от 0 до 3 умножение частоты опорного генератора не работает, т.е. равно 1. Этот вариант наиболее качественный, но требует применения высококачественного кварцевого генератора на частоты порядка 400 МГц. Тактовую частоту DDS необходимо устанавливать реальную, т.е. 400МГц например, уже с учетом умножения в схеме PLL самой AD9952."

"в техническом меню синтезатора с тик выбираются только фиксированные значения частот генераторов?
Именно так - 36.130; 40; 50; 72.26; 80 и 100МГц"
И это проверенно на деле. Я построил генератор на 100 мГц и пытался использовать 4-ю гармонику, но тщетно (используя в синтезаторе). достал монолитный фильтр на 200 мГц и это тоже не увенчалось успехом, нет возможности получить искомый результат, хотя -132 dBc/Hc ну никак не помешало бы. На данный монент теряю 12 дБ , умножая на 4 и на том спасибо, что имею -120 dBc/Hc на 1 кГц - -132 dBc/Hc + 20log(4)=~ -120 dBc/Hc Практически используя частоту опорника 36.130 мГц и умножая её на 11 теряем в шуме фазы около 21 дБ - 20log(11)=20,827. Если отнестись в серьёз к листам данных производителя синтезатора, показатель шума фазы без умножения (Х0~Х3, именно то, что заперто софтом) составит около -132 dBc/Hc на 1 кГц.
Кстати о статье - диоды HP5082-2811 можно наковырять из старых мобильников Нокия, там они в корпусе по-паре сидят,что есть хорошо, т.к. такой мостик нужно подгонять, а отбирать поштучно куда сложнее.

Добавлено через 6 минут(ы):


Можно, только это сложнее, а так все то же и останется. Так как выходная частота выше опоры в 8 раз, то все и увеличится в 8 раз.

Совершенно верно Александр. Нельзя забывать, что ВСЕ МАНИПУЛЯЦИИ ДО ФАЗОВОГО ДЕТЕКТОРА ОТРАЗЯТСЯ НА ШАГЕ ВЫХОДНОЙ ЧАСТОТЫ, т.е. умножив на 8 или 10 шаг будет не 1 килогерц , а 8 или 10.

amator
25.11.2011, 19:14
т.е. умножив на 8 или 10 шаг будет не 1 килогерц , а 8 или 10Все верно, добавить нечего.

LEONID2
25.11.2011, 19:15
А как же в петле DDS?.Что на 5 что на 11 шумы должны увеличиваться одинаково- само умножение происходит один раз.


А разве там есть петля? ДДС несколько по другому устроен. На ряду с приемуществом, тут есть и свои недостатки, т.к. спуры никто не знает где их ожидать, тут чистая математика. Если сильно повезёт могут выползти за пределом рабочего диапазона, а если не везёт... А обычный PLL, там свои ньюансы. Если в петле не использовать смеситель то результаты получают малой кровью, иначе смеситель питают тем же сигналом опорника, с той же фазой. Коль задели тему петели, будет уместным добавить пару слов. в петле применён НЧ фильтр, чего нет в ДДС, именно он решает исходную картину "колена" по графику фазового шума и решает чей шум "вылезет наружу" - опорника или ГУНа, а так же у него есть ещё 2 роли- очистить выходной сигнал от всех делителей в петле и показатель settling time , что и есть компромис между чистотой сигнала и временем "успокоения", когда можно сказать, что частота установилась и PLL "защёлкнут". В промышленных схемах за этот компромис плотят очень дорого, т.к. время от миллисекунд до микросекунд порой решает исход боя и зависит от точности попадания "игрушек" с билетом в одно направление.

amator
25.11.2011, 19:19
А разве там есть петля? ДДС несколько по другому устроен.В AD9952 петля есть. Сначала умножением ФАПЧ формируется высокая частота, а из нее формируется выходной сигнал.

LEONID2
25.11.2011, 19:31
В AD9952 петля есть. Сначала умножением ФАПЧ формируется высокая частота, а из нее формируется выходной сигнал.
но контролировать её компонентами не возможно

amator
25.11.2011, 19:36
но контролировать её компонентами не возможноМожно, но мало влияет. На слух не увидите, только анализатором может обнаружится. С 12-й ноги DDS 1 ком, и 0.1 мкф. Менял вплоть до срыва захвата, и не видел разницы.

labuda51
25.11.2011, 19:36
Надо ещё глянуть DS.При х=4 -115 при х=20 -105 если в лоб 4db в плюсе.

LEONID2
25.11.2011, 19:59
Можно, но мало влияет. На слух не увидите, только анализатором может обнаружится. С 12-й ноги DDS 1 ком, и 0.1 мкф. Менял вплоть до срыва захвата, и не видел разницы.

эээ... это не петля, это компенсация при умножении частот опорника, они даже в блок-диаграмме не указали такую функцию.

Добавлено через 7 минут(ы):


Надо ещё глянуть DS.При х=4 -115 при х=20 -105 если в лоб 4db в плюсе.

там правда не указано какой опорник используют. можно дешёвенькие компьютерные, а можно и Вензель. Я тут уже заметил в одном из постов, кто-то советовал про Вензель, правда цену не указал. Цены на опорники там коллеблятся от 1,500 до 3,000 долларов США, эт нашему брату не покарману. могу ещё посоветовать кому нужен шум фазы, причём отборный и малой кровью. есть такие диоды типа SRD, (http://en.wikipedia.org/wiki/Step_recovery_diode) они выдают "расчёску" на всём диапазоне, т.е. если диод выпускается на 1 мегагерц, то каждый мегагерц будет "палка", если на 100 мега - соответсвенно. предел таких диодов около 5~7 гигагец. хорошиий фильтр гасит всё кроме избранной частоты, да и уровень выходного сигнала низок, около -10 дБм, НО запускают такой диод очень мощным источником в пол ватта минимум.

UV5EUK
25.11.2011, 20:01
Пора подумать и о диапазоне 430 мгц. Надо прикинуть.

Попробовать можно с дальнейшим умножением. От синтезатора понадобится 48 мгц с копейками. То есть ни для 50, ни для 144, ни для 430 фильтр синтезатора не поменяется. Диапазон тот же 46-75 мгц. Выше его загонять не надо, лучше умножить. В общем будем пробовать. На тех частотах возросший фазовый шум вреда не принесет. Там нет такой плотности станций как на КВ.


:-P мда уж, за Вами не угонишься не дождавшись одного трансивера, надо занимать очередь за следующей моделью:-P

Genadi Zawidowski
25.11.2011, 20:02
это не петля, это компенсация при умножении частот опорника


This pin provides the connection for the external zero compensation network of the REFCLK multiplier’s PLL loop filter. The network consists of a 1 kΩ resistor in series with a 0.1 μF capacitor tied to AVDD.

LEONID2
25.11.2011, 20:09
This pin provides the connection for the external zero compensation network of the REFCLK multiplier’s PLL loop filter. The network consists of a 1 kΩ resistor in series with a 0.1 μF capacitor tied to AVDD.





Геннадий и чём разница? результата ведь нет с измрнением номиналов. его могли назавть как хотели, но по сути в DDS петли быть не может.

Genadi Zawidowski
25.11.2011, 20:27
результата ведь нет с измрнением номиналов
Результат есть. Я эксперементировал с этими номиналами.
Это фильтр в умножителе опорной частоты. Параметры ФАПЧ (ток фазового детектора) задаются при программировании микросхемы AD9951.

DV
25.11.2011, 21:15
Пора подумать и о диапазоне 430 мгц.
Александр Николаевич, если помнишь, в августе (при обсуждении перехода на 144 с ПЧ 45) я предлагал совсем простое решение вопроса с гетеродином. Напомню. Есть такая м/сх max1472. Минимальная обвязка (3-4 детальки). На вход (вместо кварца по datasheet) - сигнал с синтезатора. На выходе - сигнал, умноженный на 32. Частота выходного сигнала - от 300 до 470МГц по datasheet . Шаг перестройки - шаг синтезатора Х 32. Реально (проверено в железе) - выходная частота от 120 до 610МГц. Ну а если не выходить за пределы рекомендованных режимов max1472 - на 144 - делитель сигнала гетеродина на 2 (1 м/сх)
В той же серии есть прекрасные смесители и УВЧ на эти диапазоны (144, 430). Если нужно, найду названия. Тоже проверено - работает.
Только нужно попросить Геннадия подправить программу.
Да, и для упрощения коммутации (если не жадничать) - разные каналы гетеродина на 144 и 430.

ua6jdm
25.11.2011, 21:58
Если 430 внедрять,то надо и режим FM устанавливать, а в SSB кого там поймаешь-шумы звезды Кассиопеи слушать?

DV
25.11.2011, 22:03
Если 430 внедрять,то надо и режим FM Да и на 144 ЧМ явно не лишнее :-P (на SSB на 144 никого не слышал, кроме работы по договоренности).

LEONID2
25.11.2011, 22:05
Александр Николаевич, если помнишь, месяца 2-3 назад (при обсуждении перехода на 144 с ПЧ 45) я предлагал совсем простое решение вопроса с гетеродином. Напомню. Есть такая м/сх max1472. Минимальная обвязка (3-4 детальки). На вход (вместо кварца по datasheet) - сигнал с синтезатора. На выходе - сигнал, умноженный на 32. Частота выходного сигнала - от 300 до 470МГц по datasheet . Шаг перестройки - шаг синтезатора Х 32. Реально (проверено в железе) - выходная частота от 120 до 610МГц. Ну а если не выходить за пределы рекомендованных режимов max1472 - на 144 - делитель сигнала гетеродина на 2 (1 м/сх)
В той же серии есть прекрасные смесители и УВЧ на эти диапазоны (144, 430). Если нужно, найду названия. Тоже проверено - работает.
Только нужно попросить Геннадия подправить программу.
Да, и для упрощения коммутации (если не жадничать) - разные каналы гетеродина на 144 и 430.

как только прочёл об использовании в области секюрити, сразу ясно, что ничего хорошего ожидать тут не стОит. основанно на использовании 315 и 433 мега диапазонах, а в отрасли секюрити показатели шума вообще никого не волнуют, это из жизни и повседневных проверок по шкале международных и евро-стандартов. шум на последнем месте, всё остальное - вых.мощность и растояние передачи - это то, что всех интересует там. по данным микрухи показатели шума на 100 килогерц похожи на показатели 10/100 герц в обычном PLL-е .

Кристалл 13,53125 умножен на 32 (потеря 30 дБ в шуме!!!) для диапазона 433 мега и 9,843 для диапазона 315 мега. значит частота кристала ограничена, и там такая веселуха на низких диапазонах в КВ. 2-я и третька гармошки данных частот дадут дополнительный "мусор" в этих диапазонах.

и ещё кое-что о птичках. из истории данная фирма - MAX выпускала ГУН-ы основанные на жучках, лет 8 назад. работало такое произведение минут 20 на столе. призводитель конкретных ответов не давал.

amator
25.11.2011, 22:09
Есть такая м/сх max1472. Минимальная обвязка (3-4 детальки)Для ЧМ можно попробовать. Для SSB точно не пойдет. Я их пробовал разные, динамика никакая. Фазовый шум слишком большой.

DV
25.11.2011, 22:20
amator,Николаич, если не ошибаюсь, в среднем ящике твоего стола (справа) должны лежать две max1472 :-P. Попробовать - просто (пробовал для ЧМ - работает нормально), а вот измерить характеристики - не смог, нечем :cry:.

ut8lv
25.11.2011, 22:40
Александр Николаевич! Когда же будет обещанная презентация RDX
--------------
Юрий

amator
25.11.2011, 22:59
Александр Николаевич! Когда же будет обещанная презентация RDXЗавтра выложу схемы и монтажки. Рабочий аппарат есть, нет передней панели пока.

amator
26.11.2011, 19:49
Александр Николаевич! Когда же будет обещанная презентация RDXВот даю схемы и монтажки. Вроде все правильно, как сделано на сегодняшний день. В синтезаторе есть исправления, они небольшие, и указаны.

На версию 2011-Т сегодня платы получил, после длительной заминки. Теперь кому обещал вышлю. Готовые аппараты кто ждет, тоже будем ускорять процесс. Надеюсь до Нового Года со всеми управимся.

UA4HJI
26.11.2011, 22:07
... но раз даже единичный случай был, то в будущем лучше поставить резисторы Э-Б. Просто не люблю лишних деталей, вот и не ставлю в расчете на качественные транзисторы. Хотя на VT6 (Э-Б) в плате УМ он явно не помешал бы. Остальные базы к земле нормально подтянуты.
Александр, а вы не забыли добавить этот (Э-Б) резистор у Q6 в УМ, тогда у RUMENа были проблемы без него.

amator
26.11.2011, 22:21
Александр, а вы не забыли добавить этот (Э-Б) резистор у Q6 в УМ, тогда у RUMENа были проблемы без него.Это был единичный случай. Я и забыл за него. Плату оконечника не менял, но в будущем постараюсь не забыть добавить.

US7ML
27.11.2011, 20:22
А можно еще раз об этом резисторе в Q6 и о каких проблемах идет речь?

amator
27.11.2011, 20:32
А можно еще раз об этом резисторе в Q6 и о каких проблемах идет речь?Какой-то из транзисторов Q5 или Q6 попался с утечкой и подпитывалось реле передачи, не отпускало. А может и другая причина. Но я с таким браком не сталкивался. Не встречалось.

UA4HJI
27.11.2011, 22:22
А можно еще раз об этом резисторе в Q6 и о каких проблемах идет речь?
RUMEN может скажет точнее, но как я понял, тр-ры были целые, а Q6 не запирался надёжно при подаче высокого импеданса на базу с коллектора Q5 при его (Q5) запирании от снятия сигнала +TX. Вероятно это происходит при высоком Бэтта транзисторов. В принципе я уже ранее предлагал использовать для коммутационных целей "цифровые" тр-ры http://www.cqham.ru/forum/showthread.php?t=174 54&p=538414&viewfull=1#post53841 4 они, вроде, недифицитны и деталей будет меньше на плате.

amator
27.11.2011, 22:37
RUMEN может скажет точнее, но как я понял, тр-ры были целыеЭтот коммутатор там может вообще был ни причем если попался плохой, или был подпален BFG591 в драйвере. Вот тогда в цепь питания попадет напряжение через базу, и будет открываться транзистор в цепи реле. Вот там точно надо делитель поставить, что бы срабатывание было только при наличии 8 вольт при передаче, и утечка транзистора в драйвере не повлияла бы. Хотя его по любому менять придется. Вот такое у меня случалось пару раз после подачи 24 вольт вместо 12. BFG591 давал утечку. Реле оставалось включенным, не сразу понял в чем дело.
По любому это неисправность. И никакая бэтта там ни при чем.

LZ2GX Rumen
27.11.2011, 23:23
RUMEN может скажет точнее, но как я понял, тр-ры были целые, а Q6 не запирался надёжно
Один резистор не так уж много место занимает на плате, так надежнее.

amator
27.11.2011, 23:30
Один резистор не так уж много место занимает на плате, так надежнее. Да все правильно. Пока только Q6 к эмиттеру не подтянут, а так везде подтяжки есть.

nick_push
28.11.2011, 09:57
lz2gx, Все верно говорите, база в воздухе не должна висеть.

amator
28.11.2011, 21:12
lz2gx, Все верно говорите, база в воздухе не должна висеть.Да оно так и есть, но я к кремниевым транзисторам спокойно отношусь, там не бывает утечек. Пока не подал ему ток базы, он не откроется никак. Поэтому и резистор пропустил. Но уже внес коррекцию в плату УМ, добавил пару резисторов. Далее для перестраховки буду делать в таком виде все последующие.

ua6jdm
28.11.2011, 21:50
Столько разговоров из-за резистора. P.S. Каждой базе по резистору!

amator
28.11.2011, 21:58
Столько разговоров из-за резистора. P.S. Каждой базе по резистору!Это точно! Уже подкорректировал печатку, везде резисторы по базам поставил, даже там где явно не надо. Пусть будет для очистки совести.

Genadi Zawidowski
28.11.2011, 22:04
amator, попробуй проверить в sw-2011-rdx (когда он будет опять на столе работать), на какой скорости передачи точки в контрольном приёмнике становятся неразличимы (или время нарастания и спада огибающей в милисекундах измерь пожалуйста).

amator
28.11.2011, 22:13
amator, попробуй проверить в sw-2011-rdx (когда он будет опять на столе работать), на какой скорости передачи точки в контрольном приёмнике становятся неразличимы (или время нарастания и спада огибающей в милисекундах измерь пожалуйста).Да, конечно все проверю. Сейчас временно отставил, так как стол другим занят. А так аппарат рабочий есть, проверил ранее все включая CW и САТ. Все хорошо работает, настраивается все. Крутил опору 3-й гетеродин на самоконтроле по передаче. Тембр меняется, но оптимально как по умолчанию. Может еще микрофонным трактом окраску подведу, как красивее. Но к полосе фильтра двигать есть куда и много. Нравится что тут лучше подавление несущей, так как этот гетеродин с DDS отдельно. Вообще все нравится. Конечно это совсем другой уровень синтезатора.

Вот еще раз схема этого синтеза. Сейчас все сделано именно так.

Genadi Zawidowski
28.11.2011, 22:20
Тембр меняется, но оптимально как по умолчанию.
Ты не забыл, что по твоей просьбе нет раздельных установок для приёма и передачи? По моему, как раз тот самый случай - на передачу настраиваем подавление несущей, на приём тембр...

amator
28.11.2011, 22:24
Ты не забыл, что по твоей просьбе нет раздельных установок для приёма и передачи? По моему, как раз тот самый случай - на передачу настраиваем подавление несущей, на приём тембр... Не надо раздельно. Проще строить по передаче. Хоть по остатку несущей, хоть по тембру передачи. Можно даже и по паспортным данным фильтра значения забить на скате, что указаны в паспорте по уровню -3 ДБ. По приему это не так заметно как на передаче. И так проще настраивать.

LZ2GX Rumen
28.11.2011, 23:21
По моему, как раз тот самый случай - на передачу настраиваем подавление несущей, на приём тембр...
Что будет, если к Вам в гости пришел радиолюбитель у котораго тембровые претензии не как у Вас, а другие, и он захочет поработать на вашем трансивере? Он всегда будет излучать чуть, чуть в сторану, в зависимости от тембра.
Мне кажется, что Александр прав – « Не надо раздельно. Проще строить по передаче»

Genadi Zawidowski
28.11.2011, 23:28
? Он всегда будет излучать чуть, чуть в сторану, в зависимости от тембра.
В данном синтезаторе частота излучения (частота подавленной несущей) в эфире не меняется - она на дисплее написана. Речь о том, где стоит опорник относительно скатов фильтра. Первый гетеродин двигается в нужную сторону для обеспечения излучения на заказанной частоте.
Я про то, что изменить тембр на приёме можно на слух (и никого не затрагивает даже при явных ненормальностях - ну слышно вторую боковую до 500 герц, ну захотелось мне так), настроить на скат при передаче это уже требует немного больших усилий (измерений) - и ошибка ведёт к помехам другим и неразборчивости сигнала.

amator
28.11.2011, 23:31
Мне кажется, что Александр прав – « Не надо раздельно. Проще строить по передаче»Да, именно так. На приеме сдвиг опорника не так заметен. Все равно строимся по естественному голосу, и тут мало влияет частота 3-го гетеродина относительно фильтра, просто или чуть суше, или чуть сочнее, и все. А по остатку несущей это явно видно - можно настроить в ноль далеко от фильтра, можно поставить легкое просачивание на скате. И это будет норма. Я ставлю 300 мв при полной мощности на всех версиях, и попадает отлично по приему. Но в версии RDX можно и меньше ставить. 300 мв тут и в полосе показывает. Главное видеть скат при настройке. Поставили на уменьшение и все. Прием при этом отличный, там нечего настраивать.

LZ2GX Rumen
29.11.2011, 01:31
В данном синтезаторе частота излучения (частота подавленной несущей) в эфире не меняется - она на дисплее написана..
Немножко не понял?!
Это частота опорника или частота излучения?
Почему "частота излучения" в эфире не меняется в данном синтезаторе?

amator
29.11.2011, 01:37
Почему "частота излучения" в эфире не меняется в данном синтезаторе? Потому что программно учитывается и частота опоры, и частота первого гетеродина. Как бы не крутили опору относительно фильтра, то выходная прежняя. Программа все считает и в сумме выдает правильную частоту, ту что на дисплее.

В том и прелесть что можно крутить в меню значение частоты 8.866-8 относительно фильтра, а оно все на месте. Только тембр меняется. И это на ходу слышно, и видно хоть по вольтметру, хоть на самоконтроле при передаче по тембру.

LZ2GX Rumen
29.11.2011, 01:50
Спасибо Александр!
Понял все.Это Genadi Zawidowski хитро придумал. Поклон ему!

amator
29.11.2011, 02:28
Понял все.Это Genadi Zawidowski хитро придумал. Поклон ему! Это надо пробовать, тогда полнее оцените что и как. Собирайте, крутите. Вам понравится.

На сегодняшний день самый функциональный синтезатор. Аналогов пока нет.

RK1AQ
29.11.2011, 07:56
На сегодняшний день самый функциональный синтезатор. Аналогов пока нет.
Это он где то подглядел ;-)

DV
29.11.2011, 13:28
Это он где то подглядел
Самое главное - СДЕЛАЛ!

ua6jdm
29.11.2011, 13:42
Это новшество для удобства конечно не помешает,а вот регулировка полосы снизу и сверху-это было бы покруче! В "Справочнике радиолюбителя-коротковолновика" Бунин,Яйленко 1984 г.,с.82 этот метод описан. Слабо это зделать?

Geo
29.11.2011, 13:59
Слабо это зделать?
Сделай! А, мы - поаплодируем. Вот, как например - Шатуну и Завидовскому.

ur5hug
29.11.2011, 14:08
Это надо пробовать, тогда полнее оцените что и как. Собирайте, крутите. Вам понравится.

На сегодняшний день самый функциональный синтезатор. Аналогов пока нет.

А никто не подскажет, есть ли для этого синтезатора прошивка не под преобразование вверх, а под "нормальное" преобразование?
Спасибо.

Genadi Zawidowski
29.11.2011, 17:51
Это новшество для удобства конечно не помешает,а вот регулировка полосы снизу и сверху-это было бы покруче! В "Справочнике радиолюбителя-коротковолновика" Бунин,Яйленко 1984 г.,с.82 этот метод описан. Слабо это зделать?
Данная архитектура приёмника не предполагает таких функций.

А никто не подскажет, есть ли для этого синтезатора прошивка не под преобразование вверх, а под "нормальное" преобразование?
Есть. Из тех же исходникоов создаётся. Все функции остаются (+ установка делителей сигнала гетеродина, возможность формирования CW несущей напрямую с выхода DDS.

Загляните сюда - http://forum.cqham.ru/viewtopic.php?t=2291 2

RK1AT
29.11.2011, 17:55
Это Genadi Zawidowski хитро придумал. Поклон ему!Вот он какой Гена наш (http://www.cqham.ru/forum/attachment.php?attac hmentid=87011&d=1310316151)! :super:

DV
29.11.2011, 17:58
Не слабо, а данная архитектура приёмника не предполагает таких функций.
А если использовать скат фильтра 45МГц и скат фильтра 8,86МГц? Или нужно таскать еще и гетеродин 36МГц? Или крутизна фильтра 45МГц слишком низкая?

Genadi Zawidowski
29.11.2011, 17:59
Вот он какой Гена наш!
"Это старый снимок" (c) Пятый элемент


А если использовать скат фильтра 45МГц и скат фильтра 8,86МГц? Или нужно таскать еще и гетеродин 36МГц? Или крутизна фильтра 45МГц слишком низкая?
Вы назвали обе причины почему не делается.

DL5XJ
30.11.2011, 20:04
Извините, я опять про "прошлогодний снег". Ненахожу моточных данных трансформаторов.
В схемах их нет или я плохо смотрю. Будьте добры, не дайте пропасть. (платы V3)