PDA

Просмотр полной версии : Cинтезатор Константина ИВАНОВА (RD3AY)



Страницы : 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 [17] 18 19 20 21 22

Explorer
12.11.2008, 13:08
Валентин,полностью двумя руками вас поддерживаю. :super:

ut7du
12.11.2008, 14:14
Доброго здоровья всем !
Вопрос такой :
есть захват на всех диапазонах - на выходе нет частоты
вернее какая то белиберда - 100 -500 кГц это все плавает :-(

В чем может быть неисправность ? Благодарю

EW2DZ
12.11.2008, 14:30
Посмотрите делитель на АС161, может с ним что-то. Питание на нём проверте, особенно минус.

EW2DZ
12.11.2008, 14:33
Приходит ли на АС161 сигнал с формирователя на АС04?

ur3ilf
12.11.2008, 15:29
Сканирование нормальное видел в двух синтезах- у дядьки Сашки по предварительно записанным в память нулевой и девятой ячейки частотам и особенно понравилось как реализовал сканирование в своих синтезах Алексей US2II. У него алгоритм такой: к примеру выставленна частота 28450. Двойное нажатие на кнопку "скан" запускает сканирование с этой частоты. Допустим частота просканировалась до 28600 и производим повторное нажатие на кнопку "скан". Так производится запись участка для сканирования. Нажатие на любую кнопку или поворот валкодера останавливает сканирование. Если нажать опять на кнопку "скан" то сканировать начнёт с остановленного места. Если проводилась перестройка валкодером в записаном для сканирования участке то сканирование продолжется с частоты на дисплее. Если за пределами участка то с остановленного места. При сканировании нажать "ESC" то границы сканирования стираются. Так же происходит сброс границ при смене диапазона чарез кнопку "BAND". В довершение ко всему есть возможность зайти в меню настройки и выбрать желаемую скорость перестройки от примерно 500 герц в секунду до "упора" в режиме сканирования.

Валентин
12.11.2008, 15:50
поворот валкодера останавливает сканирование
Это, да. Такой вариант еще допустим. Но, не по "общему сбросу".
Хотя, если выбирать из ИМЕЮЩИХСЯ кнопок, то ИМХО, лучше пожертвовать кнопкой (режимом) СКАН, вообще, во имя А=В.

ut7du
12.11.2008, 16:08
Нашел бяку - неисправный конденсатор С16 (подстроечник)- он показывет короткое - (ужас 8O - новенький)
Выпаял - пошел искать замену

selonov
12.11.2008, 18:09
Никто не сталкивался с такой проблемой в синтезаторе на двустрочном ЖК, с версией где стоит микросхема АС04: она жутко греется, если на нее поступает ВЧ сигнал и абсолютно хлодная если ВЧ сигнала нет.Весь синтезатор работает нормально подключил к трансиверу, послушал диапазоны, поработал на передачу сигнал нормальный.Но вот разогрев АС04 беспокоит,уж очень сильно она греется.Менял на другую та же история.Так должно быть?Но вот ток она потребляет почти такой же как все остальные микросхемы синтезатора и поэтому раскаляется и 7805 и придется ставить большой радиатор.

ut7du
12.11.2008, 19:03
Нет выхода !
Захват на всех диапазонах - верно или не верно - по крайней мере светодиод не горит. А он исправный.
Если покрутить кондерчиками - он может засветиться. К примеру:
вкл. 3.5 - частоту показыает на выходе макеевская шкала (начало диапазона) - 24720.4 но последние 2 цифры просто бегают. Этого не должно быть. И при перестройке в конец диапазона - частота чуть на пару кГц изменится и все. И так на всех диапазонах.
А Lock - не светит !
О ! у меня собран синтез по такой же схеме как у Selenov правда без 74AC74 между 74VHC393 и 74AC04

Может из-за этого ????

RD3AY
12.11.2008, 20:18
Никто не сталкивался с такой проблемой в синтезаторе на двустрочном ЖК, с версией где стоит микросхема АС04: она жутко греется, если на нее поступает ВЧ сигнал и абсолютно хлодная если ВЧ сигнала нет.Весь синтезатор работает нормально подключил к трансиверу, послушал диапазоны, поработал на передачу сигнал нормальный.Но вот разогрев АС04 беспокоит,уж очень сильно она греется.Менял на другую та же история.Так должно быть?Но вот ток она потребляет почти такой же как все остальные микросхемы синтезатора и поэтому раскаляется и 7805 и придется ставить большой радиатор.

Здравствуйте!

В последней схеме синтезатора с двух строчным ЖКИ, в формирователе меандра задействованы все шесть элементов микросхемы 74АС04, если переделать схему где будут задействованы только 4 элемента, как это сделано в схеме синтезатора с графическим ЖКИ, микросхема так сильно греться не будет.

Но даже по старой схеме, где задействованы шесть элементов 74АС04 температура разогрева корпуса вписывается в допуск по температуре для этой микросхемы.

ut1wpr
12.11.2008, 20:19
Никто не сталкивался с такой проблемой в синтезаторе на двустрочном ЖК, с версией где стоит микросхема АС04: она жутко греется, если на нее поступает ВЧ сигнал и абсолютно хлодная если ВЧ сигнала нет.Весь синтезатор работает нормально подключил к трансиверу, послушал диапазоны, поработал на передачу сигнал нормальный.Но вот разогрев АС04 беспокоит,уж очень сильно она греется.Менял на другую та же история.Так должно быть?Но вот ток она потребляет почти такой же как все остальные микросхемы синтезатора и поэтому раскаляется и 7805 и придется ставить большой радиатор.
Так должно быть. Серия 74АС потребляет будь здоров. Расплата за быстродействие. Разве что внимательно схему просмотреть, я её не знаю Может, велика ёмкостная нагрузка. Впрочем, "сильно греется" - величина не абсолютная. :)

RD3AY
12.11.2008, 20:29
Нет выхода !
Захват на всех диапазонах - верно или не верно - по крайней мере светодиод не горит. А он исправный.
Если покрутить кондерчиками - он может засветиться. К примеру:
вкл. 3.5 - частоту показыает на выходе макеевская шкала (начало диапазона) - 24720.4 но последние 2 цифры просто бегают. Этого не должно быть. И при перестройке в конец диапазона - частота чуть на пару кГц изменится и все. И так на всех диапазонах.
А Lock - не светит !
О ! у меня собран синтез по такой же схеме как у Selenov правда без 74AC74 между 74VHC393 и 74AC04

Может из-за этого ????

Светодиод будет светиться только при наличии импульсов на №1 микросхемы 4046. А импульсы эти, будут только в том случае, если на входах ФД микросхемы 4046 (ножки №3 и №14)будет наличие двух сигналов с разной фазой, первый сигнал поступает с делителя частоты ГУН на 256 и второй, синусоидальный сигнал, поступает с усилителя сигнала с DDS AD9835(AD9832).

Проверьте осциллографом наличие этих сигналов на ножках микросхемы 4046.


Константин

ut7du
12.11.2008, 20:39
Константин ! Захват есть. Я кондерами убрал свечение светодиода захвата на всех диапазонах. Но на выходе частота не та что нужно + она еще и бегает.

О ! у меня собран синтез по такой же схеме как у Selenov правда без 74AC74 между 74VHC393 и 74AC04

Может из-за этого ???? Я имею ввиду нет выхода так как положено.

selonov
12.11.2008, 21:36
Никто не сталкивался с такой проблемой в синтезаторе на двустрочном ЖК, с версией где стоит микросхема АС04: она жутко греется, если на нее поступает ВЧ сигнал и абсолютно хлодная если ВЧ сигнала нет.Весь синтезатор работает нормально подключил к трансиверу, послушал диапазоны, поработал на передачу сигнал нормальный.Но вот разогрев АС04 беспокоит,уж очень сильно она греется.Менял на другую та же история.Так должно быть?Но вот ток она потребляет почти такой же как все остальные микросхемы синтезатора и поэтому раскаляется и 7805 и придется ставить большой радиатор.

Здравствуйте!

В последней схеме синтезатора с двух строчным ЖКИ, в формирователе меандра задействованы все шесть элементов микросхемы 74АС04, если переделать схему где будут задействованы только 4 элемента, как это сделано в схеме синтезатора с графическим ЖКИ, микросхема так сильно греться не будет.

Но даже по старой схеме, где задействованы шесть элементов 74АС04 температура разогрева корпуса вписывается в допуск по температуре для этой микросхемы.

Спасибо,все понятно.И в даташите температура до 150 цельсия а это довольно горячий корпус.Придется увеличить радиатор на 7805 стабилизатора.

selonov
12.11.2008, 21:43
Никто не сталкивался с такой проблемой в синтезаторе на двустрочном ЖК, с версией где стоит микросхема АС04: она жутко греется, если на нее поступает ВЧ сигнал и абсолютно хлодная если ВЧ сигнала нет.Весь синтезатор работает нормально подключил к трансиверу, послушал диапазоны, поработал на передачу сигнал нормальный.Но вот разогрев АС04 беспокоит,уж очень сильно она греется.Менял на другую та же история.Так должно быть?Но вот ток она потребляет почти такой же как все остальные микросхемы синтезатора и поэтому раскаляется и 7805 и придется ставить большой радиатор.
Так должно быть. Серия 74АС потребляет будь здоров. Расплата за быстродействие. Разве что внимательно схему просмотреть, я её не знаю Может, велика ёмкостная нагрузка. Впрочем, "сильно греется" - величина не абсолютная. :)

Померять температуру нечем к сожалению,но палец на корпусе микросхемки не удержать. Видимо действительно расплата за быстродействите.

ur5neu
12.11.2008, 22:08
Уважаемый Константин ИВАНОВ (RD3AY) я хачу блок питани от компютера импульсный поставить к синтезатору вашему , какой мне взять блок лудше всего и что вы об етом думаете ? :)
Я хочу использовать ящик от компа, на трансивер. :rotate:

Благадорю за будущий ответ 73 Дмитрий

Integral
12.11.2008, 22:32
На счет багов в прошивке, приведите конкретный список проблем, попробую найти время и поправить.
Так же готов рассмотреть дельные доработки в алгоритме синтезатора.
Константин

Я рад (думаю другие тоже), что Константин готов подправить прошивку для синтеза с двухстрочным ЖКИ. Думаю многие со мной согласятся, что в первую очередь необходимо избавиться от багов, а затем заниматься сервисом. Поэтому начнемс. Проанализировав прошивки ранней версии от 30.09.2007 и последней 22.08.2008 я нашел следующие баги:
1. Скачок частоты на 2560кГц. Проявляется после подачи напряжения, проходит заставка и на ЖКИ отображается частота записанная в "0" ячейке памяти. Если в этот момент крутануть валкодер (достаточно одного импульса) по часовой стрелке, то частота уходит вверх на 2560 кГц. Что интересно, этого не происходит, если после заставки (приветствия) крутануть ручку валкодера против часовой стрелки (вниз по частоте). Проявляется этот баг в обоих версиях прошивки.
2. Включение режима сканирования. При нажатии на кнопку Scan на дисплее отображается режим сканирования. Далее при нажатии из кнопок Up или Down происходит сброс режима сканирования и возврат в начальное состояние. Я записал это мобильником, смотрите в приложенном файле. В старой версии этот баг тоже присутствует.
3. Работа кнопок. Нажимаешь одну кнопку, а срабатывает режим совсем другой. Например давлю Band, а на дисплее ввод частоты с клавиатуры. Можно проследить закономерность, но для этого надо не один десяток раз нажать на каждую кнопку и выявить закономерность. Единственное что могу сказать, в старой версии прошивки от 30.09.2007 все ОК. Кнопки работают хорошо.
4. Интеллектуальный валкодер. В старой версии все гуд, в новой не работает.
Это баги которые я накопал. Предлагаю всем проверить вышеописанное, может добавить свои комментарии или опровергнуть мои (все может быть, как частный случай).

Теперь о сервисе. Скользкий вопрос, сколько людей - столько и мнений. Кому-то позарез вдруг захочется часы с кукушкой. Я думаю все хорошо, только память у Атмеги не резиновая :D . Если Константин готов реализовать наши "капризы", то я лишь добавлю от себя к сервису следующее:
а) Добавить к остановке режима сканирования вращение ручки валкодера (в любую сторону) и при переходе на передачу Тх (нажатие педали);
б) Если возможно реализовать функцию "замка" для кнопок и валкодера. Бывает иногда как зацепишь локтем валкодер и улетаешь на пару килогерц всторону, на какой частоте был не помню :D . Потом ищещь где ты был, а там тебе рапорт дают.

Integral
12.11.2008, 22:37
Почему-то в предыдущем посте файл не прицепился.

Валентин
12.11.2008, 23:38
Это баги которые я накопал.А что, в Ваших прошивках RIT RX работает корректно? :roll:

ur3ilf
13.11.2008, 00:05
Нужна как минимум кнопка R/T. Тогда можно перед выключением расстройки вернутся на частоту включения расстройки. Правильнее конечно чтоб частота расстройки возвращалась на частоту до включения расстройки. Так как сейчас на общий вызов работать не удобно. Прийдётся записывать частоту либо в память для запоминания или в апаратный журнал. Функцию А/B трогать не стоит. Тогда теряется полноценное второе ГПД.

Integral
13.11.2008, 01:39
Это баги которые я накопал.А что, в Ваших прошивках RIT RX работает корректно? :roll:
В новой версии прошивки частота возвращается в то место, где была включена. Можно работать по диапазону Сплитом. Если установить прием и передачу Кроссбендом, то нет. Зависает DDS-ка, разрывается петля и горит Lock. В старой версии от 30.09.2007 можно работать и Сплитом и Кроссбендом (сужу по петле ФАПЧ, не разрывается). Завтра проверю точно на контрольном приемнике. Но в этой версии прошивки частота не возвращается в исходное место. Так что полноценно RIT не работает в обеих прошивках, "болячки" у них разные.

Explorer
13.11.2008, 01:54
Прошил новой версией.Действительн о первый пункт имеет место. Сканирование работает нормально.Валкодер стал лучше работать и вродебы убыстряет, буду еще проверять. Расстройка судя по индикации работает корректно и krosband выходит.Пока не вводил коэфициенты не могу проконтролировать выходную частоту.Буду дальше тестировать.

Валентин
13.11.2008, 08:58
Функцию А/B трогать не стоит. Конечно не ломать ее. Ни в коем случае. Иначе синтезатор превратится в обычный (хотя и стабильный) ГПД. Но, выключение А/В не должно быть Esc. Должно быть А=В. Отдельной кнопкой. Или В=А. В зависимости в каком VFO "выравниваешь" значения частот. Но, не "сброс".


В новой версии прошивки частота возвращается в то место, где была включена. Можно работать по диапазону Сплитом. Если установить прием и передачу Кроссбендом, то нет.Это хорошо. Я не пробовал последнюю прошивку. Пока нечем - программатор остался на даче.
А кроссбенд, в принципе и не нужен расстройке. Для этого, как раз и существует VFO B.
А кнопка А=В, как раз и будет возвращать оба VFO в исходное состояние при выключении кроссбенда.

Валентин
13.11.2008, 09:08
Мне, лично, первый (двустрочный) синтезатор бОльше подходит. Там цифири большие. А то, при работе с одним из моих аппаратов (FT-897D), приходится очки другие надевать 8O :lol: . А с МиниЙЕСкой - нормально. Да и с "градусниками", как-то, не могу привыкнуть работать.

Integral
13.11.2008, 09:47
Прошил новой версией.Действительн о первый пункт имеет место. Сканирование работает нормально.Валкодер стал лучше работать и вродебы убыстряет, буду еще проверять. Расстройка судя по индикации работает корректно и krosband выходит.Пока не вводил коэфициенты не могу проконтролировать выходную частоту.Буду дальше тестировать.

Сканирование и у меня работает нормально, но чтобы включить его надо ох как подолбаться. Я еще раз проверю качество кнопок, протестирую старую прошивку. Ведь как же Константин будет искать баги, если я пишу что не работает, а у Вас работает? Надо осторожнее к этому подходить, иначе задурим человеку голову! Введите все коэффициенты, запустите синтез и посмотрите. Убыстрение в новой версии я не заметил. В старой очень заметно как работает интеллектуальный валкодер, просто супер :super: ! Теперь о Кроссбенде. Я думаю что Вы ошибаетесь, если судите по индикации. Сам режим выставляется на дисплее, т.е. на индикаторе высвечивается:
Rx> 1.900.000
Tx> 3.600.000
В таком режиме перехожу на передачу и у меня светится Lock, сигнализируя что петля ФАПЧ разорвана! Проверьте пожалуйста еще раз.

Stopus
13.11.2008, 10:22
Всем доброго здоровья!
Собрал синтезатор с двустрочным индикатором. Если б не мои ляпы, запустился б с полоборота, а так пришлось поискать. Но вопрос такого плана:
На индикаторе к примеру 7050000, умножаем на 2 и прибавляем ПЧ (например моя 8865000) значит на выходе 74АС161 должна быть частота 31830000. Меряю частотомером - 31830952 тоесть частота на выходе выше на 952Гц. И так на всех диапазонах частота на выходе выше:
1,9МГц - на 648 Гц
3,5МГц - 754 Гц
7МГц - 958 Гц
10МГц - 1142 Гц
14МГц - 320 Гц
18МГц - 558 Гц
21МГц - 744 Гц
24МГц - 967 Гц
28МГц - 1184 Гц
Все работает прекрасно, но вот такие отличия. Подскажите, что можно с этим сделать, как устранить отличия.
Заранее благодарен!

EW2DZ
13.11.2008, 10:29
Прошу прощения за вмешательство. Очень нужна консультация. Никак не могу побороть траблы с захватом. Когда к 4046 подключены на вход оба сигнала, получается полная ерунда. Синус с DDS обрастает колючками, как дикообраз и при нажатии кнопок UP или DWN, перестройки по частотомеру сигнала с DDS не видно, да и частота прыгает. Но, если отсоединить от 4046 сигнал ГУН, то всё становится красиво и правильно. Создаётся впечатление, что сигнал ГУН очень сильно влияет на сигнал DDS. Форма сигнала ГУН мне что-то не нравится. Выкладываю снимок. Это сигнал с ГУН на входе 4046. Так должно быть, или это возбуд? И ещё вопрос: сигнал DDS у меня не 3 Вольта, а 2,2. Как мне его увеличить?

RA4FOC
13.11.2008, 10:47
Прошу прощения за вмешательство. Очень нужна консультация. Никак не могу побороть траблы с захватом. Когда к 4046 подключены на вход оба сигнала, получается полная ерунда. Синус с DDS обрастает колючками, как дикообраз и при нажатии кнопок UP или DWN, перестройки по частотомеру сигнала с DDS не видно, да и частота прыгает. Но, если отсоединить от 4046 сигнал ГУН, то всё становится красиво и правильно. Создаётся впечатление, что сигнал ГУН очень сильно влияет на сигнал DDS. Форма сигнала ГУН мне что-то не нравится. Выкладываю снимок. Это сигнал с ГУН на входе 4046. Так должно быть, или это возбуд? И ещё вопрос: сигнал DDS у меня не 3 Вольта, а 2,2. Как мне его увеличить?
Похоже на звон проводов осциллографа. Попробуйте общий провод осциллографа покороче зделать (10-15см), или подключать его "поближе" к той точке на которой смотрите импульсы.

Sergey212
13.11.2008, 11:34
Прошу прощения за вмешательство. Очень нужна консультация. Никак не могу побороть траблы с захватом. Когда к 4046 подключены на вход оба сигнала, получается полная ерунда. Синус с DDS обрастает колючками, как дикообраз и при нажатии кнопок UP или DWN, перестройки по частотомеру сигнала с DDS не видно, да и частота прыгает. Но, если отсоединить от 4046 сигнал ГУН, то всё становится красиво и правильно. Создаётся впечатление, что сигнал ГУН очень сильно влияет на сигнал DDS. Форма сигнала ГУН мне что-то не нравится. Выкладываю снимок. Это сигнал с ГУН на входе 4046. Так должно быть, или это возбуд? И ещё вопрос: сигнал DDS у меня не 3 Вольта, а 2,2. Как мне его увеличить?

Попробуйте поставить транзисторы ВС847 после ГУНа как можно с меньшим усилением или вообще заменить на 315 или 312 с усилением меньше 60. У Вас каскады на грани самовозбуждения .

EW2DZ
13.11.2008, 12:03
Спасибо! Да, укоротил земляной провод и картинка стала идеальная. Но это значит, что причины неправильной работы я так и не нашёл. Уровень сигнала с ГУН на 4046 4,5 Вольта. Может стоить уменьшить? Тогда влияние на сигнал с DDS уменьшится. Буду пытаться уменьшать.

ut7du
13.11.2008, 12:36
Доброго здоровья всем !
Как определить, что DDS рабочая ?
Коротышей нет, питание подано.
Помогите, пожалуйста. Благодарю

RN9RF
13.11.2008, 12:37
Всем доброго здоровья!
Собрал синтезатор с двустрочным индикатором. Все работает прекрасно, но вот такие отличия. Подскажите, что можно с этим сделать, как устранить отличия.
Заранее благодарен!
Вам нужно измерить частоты: генератора синтеза, кв.генератора Вашего TRX и изменить значения этих частот в синтезе. Помоему в документации на синтез расписано как это сделать. Скорее всего в синтезе сейчас вписано округленное значение этих частот поэтому и видете не соответствие показаний с внешним частотомером.
Владимир.

RN9RF
13.11.2008, 12:51
Попробуйте поставить транзисторы ВС847 после ГУНа как можно с меньшим усилением или вообще заменить на 315 или 312 с усилением меньше 60. У Вас каскады на грани самовозбуждения .
Здравствуйте Сергей. Я воспользовался Вашим советом из ЛС, заменил на 312. Можно сказать проблема решена, по крайней мере захват при настройке стал устойчивый. Только вот 312 выглядят на этой плате... очень плохо выглядят. Что можно "воткнуть" в СМД исполнении с небольшим усилением?
Владимир.

RD3AY
13.11.2008, 13:00
Прошу прощения за вмешательство. Очень нужна консультация. Никак не могу побороть траблы с захватом. Когда к 4046 подключены на вход оба сигнала, получается полная ерунда. Синус с DDS обрастает колючками, как дикообраз и при нажатии кнопок UP или DWN, перестройки по частотомеру сигнала с DDS не видно, да и частота прыгает. Но, если отсоединить от 4046 сигнал ГУН, то всё становится красиво и правильно. Создаётся впечатление, что сигнал ГУН очень сильно влияет на сигнал DDS. Форма сигнала ГУН мне что-то не нравится. Выкладываю снимок. Это сигнал с ГУН на входе 4046. Так должно быть, или это возбуд? И ещё вопрос: сигнал DDS у меня не 3 Вольта, а 2,2. Как мне его увеличить?

Это звон кабеля осциллографа, если используете пробник с делителем 1:10 (а именно такой и нужно использовать в измерениях) то на делителе такого кабеля, есть подстроечный конденсатор, регулируя который можно убрать звоны в кабеле.

2.2 вольта нормально, работает и при 1 вольте.

Все рекомендации и замечания по прошивке я анализирую и в ближайшее время как наберется статистика, возьмусь за правку сырцов.

С вас тестирование новых прошивок. (исправим старые баги и добавим новых :P )

RD3AY
13.11.2008, 13:02
Попробуйте поставить транзисторы ВС847 после ГУНа как можно с меньшим усилением или вообще заменить на 315 или 312 с усилением меньше 60. У Вас каскады на грани самовозбуждения .
Здравствуйте Сергей. Я воспользовался Вашим советом из ЛС, заменил на 312. Можно сказать проблема решена, по крайней мере захват при настройке стал устойчивый. Только вот 312 выглядят на этой плате... очень плохо выглядят. Что можно "воткнуть" в СМД исполнении с небольшим усилением?
Владимир.

Оставте ВС847, а для регулировки усиления в эммитере этого транзистора стоит резистор на 100 Ом, увеличив его до 200 или более, вы снизите усиление каскада.

Stopus
13.11.2008, 13:52
Владимир Ив! А можно измерить частоту генератора после делителя на 256 и потом умножением на этот коэфициент найти частоту генератора? И если не затруднит то как установить эти частоты в мегу?

RN9RF
13.11.2008, 14:31
Владимир Ив! А можно измерить частоту генератора после делителя на 256 и потом умножением на этот коэфициент найти частоту генератора? И если не затруднит то как установить эти частоты в мегу?
Наверное можно и так, если устроит точность. Установка этих частот в мегу, и не только, описана на страницах по ссылкам ниже.
http://www.cqham.ru/synt_rd3ay.htm .
http://rd3ay.cqham.ru/index.htm .
Владимир.

EW2DZ
13.11.2008, 14:34
Всё! Заработал синтезатор! Заменил разделительный конденсатор 0,1мкФ, между усилителем сигнала DDS и 4046, на 0,01мкФ, как в старой схеме, и сразу появился стабильный захват.
Есть небольшая разница в показаниях частоты на LCD и на частотомере от 140 Гц на диапазоне 20 метров до 490 Гц на десятке. Частотомер на выходе синтезатора показывает частоту всегда больше чем на LCD. Значение ПЧ в синтезаторе установлено и расчёт ведётся с учётом ПЧ. Частотомер калиброванный. Частота кварца в АТмеге 15999,8 Гц. Откуда может быть разница? Такое впечатление, что делители после ГУНа понемногу добавляют импульсов :-) Разница не очень большая, но это же не аналоговый ГПД, это же цифровая техника. Должно быть точно. Хотелось бы устранить погрешность.

Sergey212
13.11.2008, 14:37
Попробуйте поставить транзисторы ВС847 после ГУНа как можно с меньшим усилением или вообще заменить на 315 или 312 с усилением меньше 60. У Вас каскады на грани самовозбуждения .
Здравствуйте Сергей. Я воспользовался Вашим советом из ЛС, заменил на 312. Можно сказать проблема решена, по крайней мере захват при настройке стал устойчивый. Только вот 312 выглядят на этой плате... очень плохо выглядят. Что можно "воткнуть" в СМД исполнении с небольшим усилением?
Владимир.

Оставте ВС847, а для регулировки усиления в эммитере этого транзистора стоит резистор на 100 Ом, увеличив его до 200 или более, вы снизите усиление каскада.
Владимир Ив.[b]

GORA имел ввиду каскад после DDS, а Вам что-бы не менять 847-е поставить по аналогии в цепь эмиттера VT3 резистор и экспериментальным путем подобрать его номинал контролируя сигнал на 3 ноге 4046.

RN9RF
13.11.2008, 14:38
Оставте ВС847, а для регулировки усиления в эммитере этого транзистора стоит резистор на 100 Ом, увеличив его до 200 или более, вы снизите усиление каскада.
В моем варианте платы в эмиттере ВС847 после ГУНа нет 100 Ом, но "втиснуть" можно.
Владимир.

RN9RF
13.11.2008, 14:42
Сергей, пока я писАл свой пост - появился Ваш. Значит иду правильно.
Владимир.

RN9RF
13.11.2008, 14:46
...контролируя сигнал на 3 ноге 4046.
Так далеко?
Владимир.

ut7du
13.11.2008, 15:23
Доброго здоровья всем !

Как определить, что DDS рабочая ?

Коротышей нет, питание подано.

Помогите, пожалуйста. Благодарю

Никто не желает ответить. Жаль... :-(

Валентин
13.11.2008, 16:01
Для всех, кто еще не понял
Если частота на дисплее отличается от РЕАЛЬНОЙ на какие-то герцы-килогерцы, то:
1 - выставить точно (измеренное) значение частоты кварца синтезатора.
2 - выставить точно значение ПЧ вашего аппарата (ИЗМЕРЕННОЕ).
Все. Это касаемо ЛЮБОГО цифрового синтезатора. У меня с полгода (не до этого было) частоты на USB были ниже, на LSB были выше (или наоборот - не помню) герц на 340-800 т(в зависимомти от диапазона - Кдел) до тех пор, пока не выставил ТОЧНЫЕ значения этих (см. выше) частот. Сейчас разница в показаниях дисплея и реальной частоты меньше минимального степа +\- 6 Гц. Правда, кроме измерения частот цифровым частотомером с точностью до +/-5 Гц, пришлось "половить блох" уже точным подбором этих значений. В пределах изменения каждой (совместно друг с другом) на +/- 10...15 Гц. Там зависимость в обратные стороны. Увеличивая одну, например на 20 Гц, уменьшаю другое на 6-10 Гц. Теперь точно. Приведенное значение погрешности - самое большое. Оно меняется в зависимости от Кдел.

Сканирование и у меня работает нормально, но чтобы включить его надо ох как подолбаться.
Надо осторожнее к этому подходить, иначе задурим человеку голову!Вот и я за это. У меня, ни с одной прошивкой, никогда проблем с включением/выключением сканирования не было. Кроме Вас никто об этом так же не заявлял. Смотрите кнопки (попытайтесь включить, ну скажем диапазон и т.д). Если не кнопки, значит еще что-то аппаратное. Но, не прошивка.
У кого еще есть проблема с вкл/выкл сканирования ???!!!! Ау! Откликнитесь!.
Насчет версий прошивок. Кроме самой последней, я тестировал все. Интелектуальный валкодер работает ТОЛЬКО в выложенной мною прошивке. В публикации ее не было. Костя по моей просьбе ее "добил" тогда. Там только один баг - расстройка RX. Все. В более поздних прошивках (я их то же пробовал) функция интеллект. валкодера снова утеряна. Поэтому я и вернулся на прошивку, которую, теперь (правда без согласия Кости) выложил здесь. Но, прошел год и Константин, наверное, меня простит.
Поэтому и предлагаю исправлять именно выложенную мной прошивку.,
Ибо пока, только она имеет инт. валкодер. Мне же это крайне необходимо, почему и отслеживаю прошивки. У меня оптический валкодер имеет 1000 рисок. И на обычных прошивках имеет место громадное "проскальзывание". Которое в синтезаторах других авторов (кроме RU3AG - не пробовал) просто до ужаса невозможное. Поэтому у них валкодеры с 60-100 делениями. Я же работаю с шагом 10 Гц. Все нормально. Есть, конечно и в этой версии проскальзывание, но разница такая.
Другие прошивки - один оборот моего валкодера - перестройка на 0,8-1 кГц. С этой прошивкой - 5-7 кГц. В идеале - 1000 рисок х 10 Гц = 10 кГц. Но и это уже просто здОрово.
А со сканированием все во всех прошивках - нормально. Включается с первого тыка, выключается нажатием на ЛЮБУЮ кнопку или педалью прием/передача.

EW2DZ
13.11.2008, 16:08
Рано радовался :-( Смыл канифоль с нескольких паек, включил синтез - снова захвата нет. Помогает только подключение конденсатора 100 пФ на 14 ногу 4046 или на коллектор усилителя сигнала DDS. Что за ерунда?
Для UT7DU: Проверьте наличие тактовых импульсов на шестой ноге DDS, правильность и наличие цепей управления 7. 8 и 9 нога, наличие питания на 4 и 15 ногах, наличие минуса там, где нужно и наличие синуса на выходе. Если всё есть, а синуса нет - дела плохи.

EW2DZ
13.11.2008, 16:27
2 - выставить точно значение ПЧ вашего аппарата (ИЗМЕРЕННОЕ).
При чём здесь ПЧ аппарата? У меня в синтезаторе установлена ПЧ 8863 кГц. На LCD синтезатора высвечивается частота 28.500.000, к выходу синтеза подключен частотомер, который показывает выходную частоту, в два раза больше нужной, 39.274.980. Делим эту частоту на два, получаем 19.637.490. Теперь прибавляем к этому записанное значение ПЧ 8.863 и получаем 28.500.490, что на 490 Гц больше, чем показания на LCD. Откуда они взялись?

Sergey212
13.11.2008, 16:50
...контролируя сигнал на 3 ноге 4046.
Так далеко?
Владимир.

Если есть чем смотреть порядка 110Мгц, то можно на эмиттере VT4. Просто после делителя на 256 легче наблюдать.

RD3AY
13.11.2008, 17:14
2 - выставить точно значение ПЧ вашего аппарата (ИЗМЕРЕННОЕ).
При чём здесь ПЧ аппарата? У меня в синтезаторе установлена ПЧ 8863 кГц. На LCD синтезатора высвечивается частота 28.500.000, к выходу синтеза подключен частотомер, который показывает выходную частоту, в два раза больше нужной, 39.274.980. Делим эту частоту на два, получаем 19.637.490. Теперь прибавляем к этому записанное значение ПЧ 8.863 и получаем 28.500.490, что на 490 Гц больше, чем показания на LCD. Откуда они взялись?

При измерении частоты опорного генератора Atmega8 вы щупом частотомера, вносите дополнительную емкость, и понижаете частоту тактирования DDS. По этой причине, вы вводите не точные данные тактирования DDS в техническом меню.

Попробуйте по такой методе:

У вас частота на 10 метрах больше на 490 Гц, следовательно в техническом меню нужно уменьшить частоту тактировани DDS примерно на 100 Гц, и снова проверить точность частоты на 10 меровом диапазоне.

Таким империческим путем за несколько приемов, вы точно (для вашего частотомера) выставите частоту синтезатор с допуском +/- 10 Гц.

Константин

Stopus
13.11.2008, 17:27
Константин! Перечитал описания синтезов и не нашел как войти в режим изменения частоты тактирования DDS. Прошу, расскажите, у меня тоже частота на выходе синтеза больше на сотни Гц.

EW2DZ
13.11.2008, 17:29
Ну тогда понятно откуда берётся погрешность. Добью захват и буду подбирать частоту кв. резонатора по Вашей методике. Кстати, что-нибудь посоветуете по моей проблеме?

EW2DZ
13.11.2008, 17:34
не нашел как войти в режим изменения частоты тактирования DDS.

Читайте на сайте автора описание кнопок и в частности кнопки SEL ,” 7”, 21, К12 - . Только сперва нужно войти в режим установок. Для этого нужно зажать любую кнопку и включить питание. Дальше по описанию.

ut7du
13.11.2008, 18:53
Для UT7DU: Проверьте наличие тактовых импульсов на шестой ноге DDS, правильность и наличие цепей управления 7. 8 и 9 нога, наличие питания на 4 и 15 ногах, наличие минуса там, где нужно и наличие синуса на выходе. Если всё есть, а синуса нет - дела плохи.

Какой сигнал должен быть на 7,8 и 9 ноге ? У меня низкочастотный осцилограф увижу ли я?


наличие минуса там, где нужно - где посмотреть наличие минуса ?
Благодарю

DL1BA
13.11.2008, 19:28
Мне как то странно читать здесь о том как народ борется с настройкой синтезатора. Из своего опыта и опыта моих знакомых, собравших синтезатор, всё прекрасно работает и настройка заключается лиш в подстройке пределов перестройки ГУНа. Это одна из тех конструкций, про которуй раньше писали: -"правильно собранное из исправных деталей устройство начинает работать сразу". А если уж не работает то причина, скорее всего, банальна - в "левых" деталях(а заменю ка я этот транзистор на тот что у меня есть) и ошибках в монтаже.

ut7du
13.11.2008, 19:59
Мне как то странно читать здесь о том как народ борется с настройкой синтезатора.
Каждому свое !

Integral
13.11.2008, 22:06
Надо осторожнее к этому подходить, иначе задурим человеку голову!Вот и я за это. У меня, ни с одной прошивкой, никогда проблем с включением/выключением сканирования не было. Кроме Вас никто об этом так же не заявлял. Смотрите кнопки (попытайтесь включить, ну скажем диапазон и т.д). Если не кнопки, значит еще что-то аппаратное. Но, не прошивка.
У кого еще есть проблема с вкл/выкл сканирования ???!!!! Ау! Откликнитесь!.
Полтергейст какой-то. Ну не включается сканирование с первого раза ни в новой прошивке, ни в старой. Проверяли на двух синтезах (у меня и у моего товарища). Кнопки перегона частоты Up и Down работают исправно! Я в ступоре :idontnow: Вопрос к многоуважаемым All, с первого раза у всех включается режим сканирования?

ut7du
13.11.2008, 23:54
Наверное моя DDSка приказала долго жить. Нет выхода с нее. Проверил все. Все в норме. А выхода нет. В чем причина выхода ее из строя ?
А вот минус я не мог никак найти как советовал
наличие минуса там, где нужно

EW2DZ
14.11.2008, 09:19
А вот минус я не мог никак найти

"Ну Вы, блин, даёте!" Где у микросхемы может быть минус? Там, где на схеме нарисовано подключение в общему проводу! Был случай у моего товарища: не довёл дорожку общего провода к минусу микросхемы, стал настраивать - не работает! Меряет наличие питания на микросхемах - на всех есть 5 Вольт. Но меряет относительно общей шины плюс на каждой микросхеме. Понимаете! А относительно общей шины, на той микросхеме плюс есть. Вот, если бы он проверил на каждой микросхеме минус относительно плюсовой шины, он сразу бы обнаружил недоведённую дорожку. Три дня он ломал голову себе и доставал окружающих. Вот о чём я. А на выводах управления сигналы очень короткие, можно не заметить - смотрите внимательнее и только при активности кнопок.
Сканирование у меня включается без проблем. Уже третий синтезатор делаю и у всех ОК!

Explorer
14.11.2008, 09:53
to Integral
Не работала сеть,пропустил две страницы.

Теперь о Кроссбенде. Я думаю что Вы ошибаетесь, если судите по индикации. Сам режим выставляется на дисплее,
Да это понятно.У меня плата для эксперементов еще с кварцевым генератором.Ввел свои коэфициенты, krosband-не работает, горит lock при нажатии на педаль.Мало того,когда в этом режиме нажимаю reset,все - теперь постоянно горит светодиод.Чтобы произошел захват петли,приходится опять через кнопку Band входить в диапазон. Я повторюсь, сканирование у меня включается нормально. "Интелектуальный" валкодер не работает. VFO A,B - при Reset устанавливается частота последняя. RIT работает корректно, но для работы с DX не совсем удобно,не хватает реверса.
Все это для новой прошивки от 22.08.2008

ut7du
14.11.2008, 11:34
99% вышла из строя DDS ка :-(

EW2DZ
14.11.2008, 12:04
9% вышла из строя DDS

Монтаж внимательно проверили? Схеме соответствует? Коротышей и обрывов нет? На форуме второй случай будет когда DDS отошла в мир иной. Трудно поверить.

ut7du
14.11.2008, 12:17
Монтаж внимательно проверили? Схеме соответствует? Коротышей и обрывов нет? На форуме второй случай будет когда DDS отошла в мир иной. Трудно поверить.

Еще раз проверю - (Семь раз отреж - один отмерь ! :D :D :D )

Между ножками сопротивление около 260 Ом - это же не коротыш !
Сигналы все подаются. Вы думаете мне нравиться менять DDS ?
Опять нужно ждать неделю пока придет по почте ... :-(

ur5neu
14.11.2008, 12:21
Монтаж внимательно проверили? Схеме соответствует? Коротышей и обрывов нет? На форуме второй случай будет когда DDS отошла в мир иной. Трудно поверить.

Еще раз проверю - (Семь раз отреж - один отмерь ! :D :D :D )

Между ножками сопротивление около 260 Ом - это же не коротыш !
Сигналы все подаются. Вы думаете мне нравиться менять DDS ?
Опять нужно ждать неделю пока придет по почте ... :-(

сочуствую :D

EW2DZ
14.11.2008, 12:31
Между какими выводами 260 Ом? Плата на столе могу все выводы промерять и сопртивление и напряжение на них.

Explorer
14.11.2008, 20:44
to Валентин
Попробывал прошивку ту что вы выкладывали, доработанная Константином. Заметил один неприятный момент(не считая RIT),практически невозможно произвести настройки в EEPROM.При входе в этот режим индицируются одни нули, при коррекции XTA и IF. В последней от 22.08.2008 такого нет(по дате создания). А валкодер работает просто "песня"! 8O

Integral
14.11.2008, 21:09
to Валентин
Попробывал прошивку ту что вы выкладывали, доработанная Константином. Заметил один неприятный момент (не считая RIT),практически невозможно произвести настройки в EEPROM.При входе в этот режим индицируются одни нули, при коррекции XTA и IF. В последней от 22.08.2008 такого нет (по дате создания). А валкодер работает просто "песня"! 8O

Для того чтобы ввести коэффициенты без мороки, надо записать новую версию прошивки, ввести коэффициенты, а затем перепрошить на старый hex-файл. Кроме этого как ввели все коэффициенты, константы, я рекомендую считать с Атмеги eep-файл и сохранить на компе. Если вдруг Атмега помрет :-( или надо протестить новую прошивку, то все настройки под свой синтез уже есть! А в версии прошивки от 30.09.2007 валкодер работает просто супер, достаточно выставить шаг 10 и диапазон легко перестроить от начала до конца.

Explorer
14.11.2008, 21:21
Насчет сложности записи EEPROM я писал на этой ветке еще где то в марте прошлого года,это по той версии мартовской которую выложил Валентин уже подправленной Константином, по части валкодера.А в два этапа прошивать вы правы это тоже выход но зачем? Ведь там с RIT не так как надо.

Если вдруг Атмега помрет
Если не трогать fuses или что еще с полярностью, не помрет.

ut7du
14.11.2008, 21:25
могу все выводы промерять и сопртивление и напряжение на них.
Если Вас не затруднит - замеряйте пожалуйста сопротивление между всеми выводами и напряжение на каждом их них. А я промерю у себя и выложу для дальнейшего обсуждения данной проблемы. С уважением, Владимир

EW2DZ
15.11.2008, 12:47
замеряйте пожалуйста сопротивление
К сожалению, предложение было в силе только вчера до 17-00. Сегодня я не на работе и даже не в городе. Так что смогу Вам помочь только в понедельник, после 9-00.

Валентин
15.11.2008, 14:42
Таким империческим путем за несколько приемов, вы точно (для вашего частотомера) выставите частоту синтезатор с допуском +/- 10 Гц. Костя, так можно скорректирровать только одно вводимое значение. Но, не факт, что истинная частота совпадет с частотой, нарисованной на дисплее. Потому как:

При чём здесь ПЧ аппарата? У меня в синтезаторе установлена ПЧ 8863 кГц.Ну-у-уу, батенька. Знаете ли...
Я могу на что угодно спорить что, если Вы СПЕЦИАЛЬНО не подгоняли это значение опорным кварцем своего трансивера, то значение Вашей ПЧ НЕ РАВНО 8863,00. Или Вы не в курсе, или что-то не то говорите.
Ну тогда понятно откуда берётся погрешность.Судя по этому посту, не в курсе. Тогда поясню. Может еще кому будет в новинку.

1 - Дисплей отображает значение частоты, с УЧЕТОМ частоты ПЧ, значение которой уставливается ОБЯЗАТЕЛЬНО в установочном меню. Так вот, это значение (ПЧ) ВСЕГДА РАВНО ЧАСТОТЕ ОПОРНОГО ГЕНЕРАТОРА ТРАНСИВЕРА.
2 - На значение частоты отображаемой дисплеем влияет точность установки СОБСТВЕННОГО кварцевого генератора синтезатора.
Теперь по прядку. Начнем с конца.
Этот момент Костя расписал абсолютно верно. И основная трудность заключается именно в замере этой частоты. При подсоединении частотомера всегда есть уход частоты и, вследствии этого, небольшая погрешность. В десятки-сотню герц. Казалось бы не много для 16 миллионов герц. Но, это - ЭТАЛОН времени. К которому привязываются все процессы, проходящие в синтезаторе. И отличие частоты эталона (записанной в память) от реальной влияет на истинное значение выдаваемой синтезом частоты.
Далее. Причем значение ПЧ?
То что выходная частота делится на два - об этом не будем говорить. Это УЖЕ учтено программой индикации. А вот, ЗАПИСАННОЕ значение ПЧ в память должно быть к чему-то привязано. Синтезатору нужно на что-то опираться при создании своих частот. И за ЭТАЛОН частоты ПЧ принято значение ОПОРНИКА трансивера. Его, кстати, мерять необходимо соблюдая такие же меры как и частоты опоры синтезатора.
А заявлять что
У меня в синтезаторе установлена ПЧ 8863 кГц.по крайней мере неразумно. Если последний (изменяемый) разряд дисплея синтезатора равен 10 герцам, то значение ПЧ должно быть введено с точность не хуже этого. В нашем случае - лучше 10 герц.
У меня то же Аверсовский кв. фильтр. И так же ПЧ - 8863. Но, значение опоры, измеренной и записанной = 8862,314кГц. И частота опоры синтезатора = 15999,976 кГц.
Вот так, примерно. И у каждого будет свое значение ПЧ и опоры синт-ра.
Только тогда этот девайс будет показывать ИСТИННОЕ, а не примерное, значение частоты Вашего трансивера. Погрешность которого, в зависимости от диапазона (Кдел) меняется.
Это касается ЛЮБОГО цифрового синтезатора. Не только Костиного.
Поэтому я и в прошлыхь своих сообщениях и рассказывал что, кроме точных измерений, пришлось еще и эпирическим путем "половить блох". Зато, сейчас я смело доверяю своему дисплею. Не оглядываясь на... "ну это же самоделка..".

Валентин
15.11.2008, 15:16
Попробывал прошивку ту что вы выкладывали, доработанная Константином. Заметил один неприятный момент(не считая RIT),практически невозможно произвести настройки в EEPROM.При входе в этот режим индицируются одни нули,
Владимир. Я признаюсь, не лазил в настройки ЕЕРRОМ с клавиатуры. И давно уже не лазию туда. Один раз настроил СВОИ пользовательские настройки (Кдел, значения все трех ПЧ, опору синт-ра), сохранил эту - СВОЮ прошивку .еер и, с новыми версиями программы, заливаю ее. Поэтому и :oops: :oops: :oops: упустил этот момент.

Валентин
15.11.2008, 16:35
В общем, попробую подвести черту по двустрочному синтезатору.
Требуется исправление багов прошивки. Остановились на двух версиях. Это - "старая" (http://forum.cqham.ru/download.php?id=3199 9) версия от 30.09.2007 и "новая" (http://forum.cqham.ru/download.php?id=3180 2) от 22.08.2008 версия.
Какую версию курочить - выбирать автору. Но,

1 - либо в "старой" (http://forum.cqham.ru/download.php?id=3199 9) версии следует исправить алгоритм RIT RX и убрать баг входа в меню настроек EEPROM.
2 - либо в "новую" (http://forum.cqham.ru/download.php?id=3180 2) версию ввести функцию "инт. валкодера".

Это коррекция итоговой прошивки.
Все остальное - от "лукавого" :lol: . Захочется Константину, при на личии времени, улучшить сервис - только поклонимся. Нет - и так, при исправлении багов, получается прекрасная законченная конструкция.

А мне, блин кажется, придется делать новый программатор. Не нашел его на даче. :cry:

RD3AY
15.11.2008, 18:46
В общем, попробую подвести черту по двустрочному синтезатору.
Требуется исправление багов прошивки. Остановились на двух версиях. Это - "старая" (http://forum.cqham.ru/download.php?id=3199 9) версия от 30.09.2007 и "новая" (http://forum.cqham.ru/download.php?id=3180 2) от 22.08.2008 версия.
Какую версию курочить - выбирать автору. Но,

1 - либо в "старой" (http://forum.cqham.ru/download.php?id=3199 9) версии следует исправить алгоритм RIT RX и убрать баг входа в меню настроек EEPROM.
2 - либо в "новую" (http://forum.cqham.ru/download.php?id=3180 2) версию ввести функцию "инт. валкодера".

Это коррекция итоговой прошивки.
Все остальное - от "лукавого" :lol: . Захочется Константину, при на личии времени, улучшить сервис - только поклонимся. Нет - и так, при исправлении багов, получается прекрасная законченная конструкция.

А мне, блин кажется, придется делать новый программатор. Не нашел его на даче. :cry:

Здравствуйте!

"Лечить" будем новую прошивку, она появилась на свет как вариант исправления бага проблемы вхождение в техническое меню и возможность ввода частот трех ПЧ и тактирования DDS.

Вас, Валентин, прошу проверить эту прошивку.
Как я помню, у одного из ваших синтезаторов как раз с этим проблема.

Если все будет нормально, то пойдем дальше. Востановить функции инт. валкодера не проблема, благо все исходнику под рукой.

Константин

selonov
15.11.2008, 21:00
могу все выводы промерять и сопртивление и напряжение на них.
Если Вас не затруднит - замеряйте пожалуйста сопротивление между всеми выводами и напряжение на каждом их них. А я промерю у себя и выложу для дальнейшего обсуждения данной проблемы. С уважением, Владимир

У меня например ДДС не запускалась потому что не залил в процессор файл ЕЕПРОМ dds.eep Тоже думал что дело в микросхеме,даже перепаял на другую и еще раз на первую.После такой пайки микросхемы что рядом в планаре показались мне ну просто огромными:)как говорится нет худа без добра:) А как только залил в процессор ЕЕПРОМ то сразу на выходе ДДС появился сигнал.Вот такое бывает тоже :(

Валентин
15.11.2008, 22:18
Как я помню, у одного из ваших синтезаторов как раз с этим проблема.
Гы-гы. Злопямятный, однако :rotate:
Есть такое. Пока лежит. Без аппарата. Поэтому и тестирую, чаще, на одном - в МиниЙЕСке. Да и удобнее - питание уже подано. Разъем оттстегнул/перестегнул, тридцать-сорок секунд и - пользуйся... юзер, коли сам не писать, ни читать не умеешь :rotate:

ut7du
15.11.2008, 23:22
Доброго здоровья всем !

как только залил в процессор ЕЕПРОМ то сразу на выходе ДДС появился сигнал.Вот такое бывает тоже
Так я вроде залил EEPROM... А как мне сейчас узнать - правильно залит EEPROM или нет ! Может действительно EEPROM не правильно залит ??? :crazy:

ut7du
15.11.2008, 23:27
заливал вот так:
avreal32.exe +mega8 -p1 -ab -o0 -e -b -w -c DDS.HEX -d DDS.EEP -fCKSEL=F,CKOPT=0

zorro
16.11.2008, 10:17
заливал вот так:
avreal32.exe +mega8 -p1 -ab -o0 -e -b -w -c DDS.HEX -d DDS.EEP -fCKSEL=F,CKOPT=0


привет всем!
Владимир использование строки без ключа -v исключает провеку записаного или верификацию поэтому попробуйте

avreal32.exe +mega8 -p1 -aa -e -b -v -n -w -c DDS.HEX -d DDS.EEP

и освежите DDS.HEX, DDS.EEP до их записи в мегу из архива предварительно удалив их из папки C:\dds
используя нижнюю строку можно прочитать и визуально :D сравнить! если возникли вопросы по содержимому с оригинальными файлами

avreal32.exe +mega8 -p1 -aa -r -c UT7_DDS.HEX -d UT7_DDS.EEP

selonov
16.11.2008, 12:14
заливал вот так:
avreal32.exe +mega8 -p1 -ab -o0 -e -b -w -c DDS.HEX -d DDS.EEP -fCKSEL=F,CKOPT=0

Вот тут не могу подсказать, потому что сам пользовался Ponyprog программой и там вначале hex файл открываешь потом eep а затем все это записываешь в процессор.

RD3AY
16.11.2008, 12:56
могу все выводы промерять и сопртивление и напряжение на них.
Если Вас не затруднит - замеряйте пожалуйста сопротивление между всеми выводами и напряжение на каждом их них. А я промерю у себя и выложу для дальнейшего обсуждения данной проблемы. С уважением, Владимир

У меня например ДДС не запускалась потому что не залил в процессор файл ЕЕПРОМ dds.eep Тоже думал что дело в микросхеме,даже перепаял на другую и еще раз на первую.После такой пайки микросхемы что рядом в планаре показались мне ну просто огромными:)как говорится нет худа без добра:) А как только залил в процессор ЕЕПРОМ то сразу на выходе ДДС появился сигнал.Вот такое бывает тоже :(


При включении синтезатора, МК Amega8 читает из своей ЕЕПРОМ значния частоты тактирования DDS, частоту выбранного диапазона, частоту ПЧ и выполнив с этими данными соответствующие вычисления по формуле:


// freg*4294967296
// word=------------------;
// CLK_DDS

Вводит их по SPI в DDS.

Если ЕЕПРОМ чист, то там по всем адресам прописано 0xFF по этому МК с этими данными не извесно что вычесляет и заносит в DDS, по этому DDS работаеть та работает, но частоту выдает не знамо какую...

ut7du
16.11.2008, 13:16
avreal32.exe +mega8 -p1 -aa -r -c UT7_DDS.HEX -d DDS_UT7.EEP

Почитал Atmega - и что получилось:
UT7_DDS.HEX не совпадает с размером DDS.HEX
DDS_UT7.EEP не совпадает с размером DDS.EEP
Вложил файлики и скриншот

zorro
16.11.2008, 13:31
главное содержимое еер ОК!

прошей мегу

avreal32.exe +mega8 -p1 -aa -e -b -v -n -w test_DDS.HEX

http://forum.cqham.ru/viewtopic.php?t=6909&postdays=0&postorder=asc&highlight=dds+jpg&&start=1395

и проверь контакты с меги на ддску
28-9, 27-8, 26-7

ut7du
16.11.2008, 14:56
Проделал вот такое:
1. Подал с Г4-18 - сигнал частотой 16МГЦ и напряжением 1 в (меньше на выходе DDS ничего нет) на DDS-ку - и ... получил на выходе DDSки сигнал амплитудой около 4 в.
Значит выходит что DDS рабочая ?

2. Заменил кварц у Atmega - поставил другой (чуть больше размером)
Думаю вот где была причина - кварц - не тут то было. На выходе DDS сигнал отсутствует.

3. Вообще отключил кварц от платы - на индикаторе побежали квадратики

Так теперь что делать ? (Опять вспоминаю великого писателя :D )

Я так понимаю амплитуды с кварца на 16Мгц не хватает. Мой осцилограф прекрасно видит 1 в 16 Мгц с Г4-18. А с платы синтеза ничего нет. Кто мне прояснит ситуацию.
Благодарю. (Очень доволен что DDS работает :super: )

ut7du
16.11.2008, 16:53
Наломал дров !
Прописал FUSE : CKSEL=0
Все на дисплее квадратики
Программатор не читает - C'ant resync

Как теперь вернуть в то что было ?

zorro
16.11.2008, 17:26
Наломал дров !
Прописал FUSE : CKSEL=0
Все на дисплее квадратики
Программатор не читает - C'ant resync

Как теперь вернуть в то что было ?

http://forum.cqham.ru/viewtopic.php?t=6909&postdays=0&postorder=asc&&start=1560

zorro
16.11.2008, 17:30
калькулятор fuse для AVR mega для умельцев :D

http://www.engbedded.com/cgi-bin/fc.cgi/

zorro
16.11.2008, 17:50
после реанимации попробуйте

avreal32.exe +mega8 -p1 -ab -o0 -e -b -w -v -c DDS.HEX -d DDS.EEP -fCKSEL=F,CKOPT=0

ut7du
16.11.2008, 17:54
Так все вернул на место как было ! :D

ut7du
16.11.2008, 17:56
fCKSEL=0
Atmega перейдет в режим от внешнего генератора - только что было

zorro
16.11.2008, 18:00
[quote=zorro]fCKSEL=0
Atmega перейдет в режим от внешнего генератора - только что было[/quot
иправил :oops:

ut7du
17.11.2008, 11:53
Доброго здоровья всем !
Так что делать ? С Atmega не идет сигнал достаточного уровня, чтобы DDS ка отработала. При подаче (не отключая кварца) с Г4-18 частоту 16 Мгц - на выходе DDS получается синус. Получается то что Atmega плохая ?

EW2DZ
17.11.2008, 12:17
При прошивке фьюзов, нужно выставить один мз них, который отвечает за то, что с АТмеги будет идти на DDS TTL уроветь тактирования. Я не помню какой фьюз за зто отвечает - нужно читать форум, Константин уже писал об этом.
Писалось и уже неоднократно о том, что один раз прошив фьюзы, больше не нужно их прошивать. Поэтому нужно убрать из программы всякое упоминание о прошивке фьюзов, иначе будете лечить свою АТмегу постоянно.

ut7du
17.11.2008, 12:25
Так я прошил fuse указав СКОРТ=0 :
1. Сигнал на выходе будет т.е. от 0 до VCC. При указании
СКОРТ=1 на выходе амплитуда уменьшится (Радиоаматор 3 2005 стр. 37)

Так что дальше ? Амплитуда то маленькая и DDS не хочет отрабатывать !

ut7du
17.11.2008, 12:35
вот я прочел состояние fuse на моей Atmega

Валентин
17.11.2008, 14:47
Так и не нашел свой старый программатор.
Пришлось сделать новый см. вложение.
Прошивал "PonyProg2000". Все действия - пару минут. Фьюзы не трогал. Вообще их не открывал.

то Gora
Костя, последняя прошивка - http://forum.cqham.ru/download.php?id=3180 2
Зашивал только файл программы .hex и свой .eep См. вложение

Все функции:
- сканирование = работает с полтыка
- расстройка передатчика = все корректно, в норме
- расстройка приемника = все корректно, в норме
- VFO А/В = работает корректно, взаимовлияния отсутствуют, в норме
- кроссбенд = работает некорректно, частота оставшаяся на режиме до расстройки, пропадает. На ней нет и приема, и передачи.
- запись в ячейки памяти, считывание из них = в норме
- вход в меню установок - в норме, с полтыка
- интеллектуальный валкодер = !!!! функция отсутствует !!!
Таким образом, "все в порядке" :D - ломай и выдавай новые... глюки

ut1wpr
17.11.2008, 15:06
Так что дальше ? Амплитуда то маленькая и DDS не хочет отрабатывать !
Откуда утверждение "Амплитуда то маленькая..."? Меряли? Чем? Каким осциллографом смотрите? Помнится, было упоминание про С1-49...

ut7du
17.11.2008, 17:36
Откуда утверждение "Амплитуда то маленькая..."? Меряли? Чем? Каким осциллографом смотрите? Помнится, было упоминание про С1-49...

Я сначал посмотрел с генератора Г4-18 (1В/Дел) - видит ли он 1 вольт 16МГц ?
Оказывается без проблем, а с Atmega на самом маленьком пределе (0.1В/Дел) ничего (как шум). Вот почему я утверждаю, что амплитуда маленькая. Подавая на DDS сигнал с Г4-18 - 1 В с DDS выходит синус !
Смотрю С1-49

EW2DZ
17.11.2008, 17:53
а с Atmega на самом маленьком пределе (0.1В/Дел) ничего (как шум)

Маленькая амплитуда - это одно, а если ничего нет (шум), то это совсем другое. Разные причины, понимаете?

Володымыр
17.11.2008, 18:21
to ut7du

Я сталкивался с подобной проблемой, все разрешилось, то есть кварцевый резонатор возбудился, когда я, поверх дорожек "печатного" монтажа, продублировал электрическое соединение оголенными проволочками. Попробуйте, может быть у Вас тот же случай.

ut1wpr
17.11.2008, 18:40
а с Atmega на самом маленьком пределе (0.1В/Дел) ничего (как шум)

Маленькая амплитуда - это одно, а если ничего нет (шум), то это совсем другое. Разные причины, понимаете?
Уважаемый, я все прекрасно понимаю. Полюбопытствуйте, пожалуйста, какова величина входной емкости применяемого Вами щупа. Сопоставьте с емкостями при резонаторе. Как предположение - увод в сторону частоты генерации или полный срыв при касании щупом. Особенно, если щуп случайного происхождения. Если у вас хоть одна из функций МК работает - значит МК работает. Оставьте его в покое. Анализируйте цепи управления синтезатором. Ищите ошибки в том направлении.

ut7du
17.11.2008, 19:06
сталкивался с подобной проблемой, все разрешилось, то есть кварцевый резонатор возбудилс

Если бы не было генерации - на индикаторе не было бы ничего - пробовал откл. кварц :D

Анализируйте цепи управления синтезатором. Ищите ошибки в том направлении.
Что значит цепь управления синтезатором ???
Щуп у осцилографа его родной - Свх = 13пФ Rвх = 1МОм