PDA

Просмотр полной версии : АЛТЕРА или другая матрица вместо логических элементов типа TTL.



LEONID2
26.04.2012, 18:50
Есть наработка рабочей конструкции синтезатора из 40-ка логических элементов и ГУНа. нужна информация на уровне точных знаний типа "ГУРУ" без намёков и прочей побочной информации. хотелось бы упростить конструкцию, написав в виде формулы и поместить весь алгоритм/файл в матрицу АЛТЕР_ы или похожей логической матрицы, сравнительно дешевле и гораздо менее трудоёмкой, чем все логические элементы. В последствии смогу опубликовать материалы на страницах форума и расписать конкретно шаг за шагом, включая схему с логическими элементами и упрощённый вариант. Нужно получить информацию на уровне "разжёванных" знаний и только, не начиная на уровне начальной школы PLD. Схема не секрет, просто там всё разложенно по-полочкам настолько, что даже сигналы DATA, I/O, CLK выработанны простой логикой. Данное мероприятие предотвратит писанину программ для микроконтроллеров,а так же предотвратит весь побочный "мусор" , который вырабатывает даже самый дорогой компонент из серии DDS и даст возможность получить синтезатор на базе ГУН-а для любительской аппаратуры, с валкодером и изменением шага перестройки. Из логической матрицы должны выходить до 35 портов/выводов для различны внешнихх подключений.

ut1wpr
26.04.2012, 19:26
MAX или QUARTUS позволяют после оформления проекта в графическом виде и его отладки сгенерировать проект "в виде формулы" (Хотя это немного иначе называется - языки VHDL или AHDL если для Альтеры).
Однако просьбочка... Так себе, на вечерок работы, вместе с отладкой. Либо непонимание уровня поставленной задачи, либо неуважение к чужому труду.
Впрочем, может кто и возьмется на выходных побаловаться, как знать... :)

LEONID2
26.04.2012, 19:53
MAX или QUARTUS позволяют после оформления проекта в графическом виде и его отладки сгенерировать проект "в виде формулы" (Хотя это немного иначе называется - языки VHDL или AHDL если для Альтеры).
Однако просьбочка... Так себе, на вечерок работы, вместе с отладкой. Либо непонимание уровня поставленной задачи, либо неуважение к чужому труду.
Впрочем, может кто и возьмется на выходных побаловаться, как знать... :)

спасибо за ответ. не, вы не совсем поняли, я не хочу взваливать свою работу на людей. я ищу информацию в форме "как сделать самому" . вот как раз чужой труд я уважаю и даже очень. ясное дело, что это "ноу хау", но всё таки, если есть ограниченный, облегчённый вариант программы, которую можно скачать на шару. важно, что бы был указан путь, по которому можно получить формулу, путём ввода 5~6 элементов логики.

UN-NS
26.04.2012, 21:07
MAX или QUARTUS позволяют после оформления проекта в графическом виде и его отладки сгенерировать проект "в виде формулы" (Хотя это немного иначе называется - языки VHDL или AHDL если для Альтеры).
Однако просьбочка... Так себе, на вечерок работы, вместе с отладкой. Либо непонимание уровня поставленной задачи, либо неуважение к чужому труду. Впрочем, может кто и возьмется на выходных побаловаться, как знать... :)
Топикстартер - отличный генератор идей! Тут явно первое....Нереально вообще и в принципе для радиолюбительских целей. И без МК не обойдешься, ибо синтез без сервиса нафиг не нужен. А тогда зачем Алтера?

ut1wpr
26.04.2012, 22:45
спасибо за ответ. не, вы не совсем поняли, я не хочу взваливать свою работу на людей. я ищу информацию в форме "как сделать самому" . вот как раз чужой труд я уважаю и даже очень. ясное дело, что это "ноу хау", но всё таки, если есть ограниченный, облегчённый вариант программы, которую можно скачать на шару. важно, что бы был указан путь, по которому можно получить формулу, путём ввода 5~6 элементов логики.Если "как сделать самому" это честное признание - то вам прямой путь к чтению книги http://wmate.ru/ebooks/book514.html
Программа, "которую можно скачать на шару" - это вэбэдишн варианты MAXII-Plus или QUARTUS. Не хочу лукавить, до уровня создания систем на серьезных камнях от Альтеры у меня ушло около года. Поняв создание кристаллов на AHDL, с Verilog и VHDL пошло легче. AHDL дал базовое понимание конфигурации. И указанная книга несколько лет была моей подручно-настольной. Жаль, пришлось сменить направление.
Однако, прежде чем "получить формулу, путём ввода 5~6 элементов логики", придется немного подтянуться до уровня понимания булевой алгебры, принципов минимизации и прочей лабуды, которая именуется логикой. Тогда можно будет и замахнуться на "сделать самому".

SergeyTT
26.04.2012, 22:50
я ищу информацию в форме "как сделать самому" .

На marsohod.org есть неплохая обучалка как это сделать в Quartus.

UR4UDT
26.04.2012, 23:32
... Данное мероприятие предотвратит писанину программ для микроконтроллеров,а так же предотвратит весь побочный "мусор" , который вырабатывает даже самый дорогой компонент из серии DDS и даст возможность получить синтезатор на базе ГУН-а для любительской аппаратуры, с валкодером и изменением шага перестройки. Из логической матрицы должны выходить до 35 портов/выводов для различны внешнихх подключений.
"Перпетум мобиле" уже работает?
Я очень рад буду увидеть результат (озаряет же). Спасибо, если просвятите мир. Очень интересно. Охотно помогу. Если нужно.

LEONID2
27.04.2012, 00:37
На marsohod.org есть неплохая обучалка как это сделать в Quartus.

посмотрел, совсем не плохо! спасибо


"Перпетум мобиле" уже работает?
Я очень рад буду увидеть результат (озаряет же). Спасибо, если просвятите мир. Очень интересно. Охотно помогу. Если нужно.

спасибо за предложение.ну не совсем перпетум,но мОбиле. без PLL в таких делах не обойтись, но базис уже кто-то продвинул и сегодня, "клепать" десятки элементов логики, сверлить сотни дырок. нет, проще развести один раз печатную плату и запаять с десяток элементов.

Добавлено через 8 минут(ы):


Однако, прежде чем "получить формулу, путём ввода 5~6 элементов логики", придется немного подтянуться до уровня понимания булевой алгебры, принципов минимизации и прочей лабуды, которая именуется логикой. Тогда можно будет и замахнуться на "сделать самому".

это всё было в прошлом, в далёком очень, сегодня однако нет желания начинать сокращать и т.д., проще загнать в графическом все элементы, и получить просто формулу. вопрос существует ли в таких программах возможность ввода целой микросхемы, а не отдельного логического элемента. на пример, если мне нужно загнать полность жучёк типа 74ALS253, существуют ли такие вещи в библиотеках программ и в какой форме? то что даёт производитель, как внутреннеее построение компонента, не всегда отвечает действительности, хотя логика в основе своей проста. с другим видом типа 74HCT07 проще, там в одном корпусе 6 элемнтов и это есть на самом деле.

UR4UDT
27.04.2012, 00:38
to LEONID2
Хочется разделить Ваш оптимизм. Но Вы не первый на этих "граблях".

LEONID2
27.04.2012, 00:50
Топикстартер - отличный генератор идей! Тут явно первое....Нереально вообще и в принципе для радиолюбительских целей. И без МК не обойдешься, ибо синтез без сервиса нафиг не нужен. А тогда зачем Алтера?

я бы так категорично не отвечал. схему начну рисовать, но там сухая логика, чисто сухая и всё работает без никаких микрух. а почему не верится, что не нужен микроконтроллер? что бы получить сигнал типа CLK или дата , т.е. определённый пакет, достаточно генератора на базе LM555 и далее счётчики или мультивибраторы , которые из сериальных входов создадут параллельные линии и.т.д. АЛТЕРА или другой элемент из серии PLD нужны, что бы не сверлить 2 сотни отверстий.

Добавлено через 5 минут(ы):


to LEONID2
Хочется разделить Ваш оптимизм. Но Вы не первый на этих "граблях".
спасибо,я не отрицаю, но если не требуются от публики денежных вложений и у нас нет обязательств "пятилетку за 3 года", думаю успех будет. по большому счёту знания нужны, что бы самому попотеть однако, а не побирать плоды чужих трудов.
а схему, схему начну рисовать в простом формате, что бы доступно было всем. может кому и придёт идея согратить другим путём.

UR4UDT
27.04.2012, 00:58
Что бы зря не ломать копья - опубликуйте несколько важных (на Ваше усмотрение) параметров изделия. Возможно, крайне необходимо подтянуть к решению задачи специалистов?
Я совершенно не иронизирую, но Вы интригуете.

LEONID2
27.04.2012, 01:53
Что бы зря не ломать копья - опубликуйте несколько важных (на Ваше усмотрение) параметров изделия. Возможно, крайне необходимо подтянуть к решению задачи специалистов?
Я совершенно не иронизирую, но Вы интригуете.

игнорировать не стану ни в коем разе!
по большому счёту не скрываю, секретов нет.
синтезатор частот основан на MC1451522 . опорный генератор - кварц 2,048 мГц.
частота фазового детектора - 1 кГц.(к.деления 2048)
шаг : 1, 5, 10 и 100 кГц.
ГУН - на данный момент POS-50,
вывид частоты - 7-и сегмантная диодная матрица, 5 знаков.
управление сдвига частоты - валкодер обычного типа 2-х канальных, пассивный.
6 буфферныих вых. для переключения полосовых фильтров.

UR4UDT
27.04.2012, 02:33
Крупноват шаг перестройки - не совсем удобно для р/л связи. ИМС синтезатора не вдохновляет новизной и уникальностью параметров. Низкая частота сравнения в ФД потянет за собой определенные проблемы. Управлять таким синтезом проще всего копеечным контроллером и не заморачиваться с ПЛМ.
Вы погорячились, сравнивая свои достижения с тем, что уже давно и удачно используется.
Успехов в синтезаторостроении!

RA9YTJ
27.04.2012, 04:14
LEONID2, т.к. у Вас есть схема на стандартных ТТЛ, то можно для начала ограничится вот этим коротким курсом: http://radiokot.ru/start/mcu_fpga/altera/
Можно просто нарисовать схему из стандартных микросхем (они есть в библиотеках) а компилятор сам все сделает, только вход и вых обозначить.
Вполне за день сделать то, что задумали, не особенно углубляясь.

ut1wpr
27.04.2012, 07:18
существует ли в таких программах возможность ввода целой микросхемы, а не отдельного логического элемента. на пример, если мне нужно загнать полность жучёк типа 74ALS253, существуют ли такие вещи в библиотеках программ и в какой форме?Самое время не "посмотреть книгу", а начать с ней работать. У Альтеры есть библиотека логических элементов настолько богатая, что диву даешься. В любом случае, создание собственного компонента на прекрасных примерах из Антонова, не составит труда (Вернее, составит. Без него все превращается в простую говорильню)
Итак, открываем MaxII, Антонова, приступаем... Вот когда будут практические вопросы, тогда и задавайте. Именно тогда поймете, на что замахнулись. Не забывайте время от времени посматривать на расход ячеек и сопоставлять цены на камушки от Альтеры с ценами на традиционные решения... :)

Genadi Zawidowski
27.04.2012, 07:48
Леонид, хотел бы предостеречь от некоторых заблуждений по поводу библиотеки логических элементов от Алтеры. Есть то они есть, но из-за некоторых особенностей архитектуры FPGA при использовании логических элементов для формирования тактовыъ сигналов применять не везде можно.
Например, аналог 74HC138, с выхода которой формируется чипселекты: на выходе сигналы могут появляться даже при запрещённом OE в момент изменения адреса. Это в любом вводном курсе пишут.
Кроме остальных эпитетов добавлю ещё один - "из пушки по воробьям". Не притягивайте это сюда, выбор микроконтроллеров и языков программирования велик.
Да, как Вы будете бороться с килогерцовым шагом?

Z_E_V_S
27.04.2012, 10:55
Пробывал вот это на DE1. запустил на 250 мгц и резистивном цапе.
Все хорошо, но 250 мгц мало!. Сейчас есть готовые (например AD9952 на 400 мгц).
Зачем изобретать велосипед ???
Да и altera будет стоить не дешевле AD.

khach
27.04.2012, 11:16
Синтезатор на ПЛИС делать можно, но надо учитывать особенности. Во первых, временное дрожание фронтов сигналов внутри ПЛИС намного превышает аналогичный параметр дискретной логики (даже древнесоветкой). Поэтому без принятия специальных мер на Альтере может получится сигнал синтезатора хуже, чем на ТТЛ, а уж тем более ЭСЛ. Во вторых, фазовый детектор синтезатора по определению асинхронная схема, а вся логика современных ПЛИС строится именно на синхронных сигналах. Т.е детектор реализуем, но может работать различным образом при каждой новой перекомпиляции проекта. Чтобы этого небыло- желательно сделать восстановление таймингов сигналов внешним триггерами после ПЛИС и фазовый детектор тоже использовать внешний. Т.е вместо 40 микросхем мы получим не одну плис, а 4-5 микросхем- входной прескалер, ПЛИС, триггера ретайминга на выходе, фазовый детектор.
Самодельный синтезатор имеет смысл, если фабричные неподходят- т.е или мы строим многопетлевой синтез, или синтезатор со скоростным свипом частоты нили еще какие особые случаи.

RN6LKU
27.04.2012, 11:36
......... на прекрасных примерах из Антонова, ... :)

Антонов - это кто,что??

ut1wpr
27.04.2012, 12:05
Антонов - это кто,что??Ссылка из поста №5

LEONID2
27.04.2012, 12:35
ок господа, я прочёл все "за" и "против",первым делом спасибо. по поводу шага перестройки 1 килоегрц, ДЕГЕН и основная масса ГРУНДИГов обладает таким же, в добавок есть и точная настройка. относительно формы сигналов - сам фазовый детектор находится в МС145152-2, а логика, она (логика) нужна, что бы создать сигналы управления (дата,клок,ресет), контроль для валкодера, шага перестройки и управление шины адресов для МС145152-2, через счётчики, а так же дисплей, который в данном случае 7-ми сегментный. но все пути открыты и изменить можно многое. я в ближсйшее время остараюсь поместить схему, которая пока на листе бумаге, но фотографировать и помещать в спешке не стану. думаю ничего нового не изобретаю и не расскрываю. вся суть - увильнуть от программирования, и не я один, кому это "мешает".

Добавлено через 11 минут(ы):

не помню кто сравнивал, но вот пример , где эво для АЛТЕРЫ стоит 1/3 от цены AD9952 http://www.ebay.com/itm/MAX-II-EPM240-CPLD-Minimum-System-Core-board-Development-board-/261001765527?pt=LH_D efaultDomain_0&hash=item3cc4eae297

AD9952 стОил около 32-х долларов

даже если сравнить продукты единой категории, такие как синтезатор Иванова или Макаркина, которые публиковались прежде на этом сайте, цена переходит $100 . и это ясно, люди хотят отдачу за свой труд, за программы и.т.д. даже более дешёвый в ценовой категории вариант синтезатора от SW-2011 достигает цены 50 долларов. плата $3, дисплей - $3, 9952 - $32, микроконтроллер - $4, всё остальное - до $10. и это исходя из того, что элементная база на нуле.

khach
27.04.2012, 13:52
а логика, она (логика) нужна, что бы создать сигналы управления (дата,клок,ресет), контроль для валкодера, шага перестройки и управление шины адресов для МС145152-2, через счётчики, а так же дисплей, который в данном случае 7-ми сегментный. но все пути открыты и изменить можно многое. я в ближсйшее время остараюсь поместить схему, которая пока на листе бумаге, но фотографировать и помещать в спешке не стану. думаю ничего нового не изобретаю и не расскрываю. вся суть - увильнуть от программирования, и не я один, кому это "мешает".

если Альтера только управляет синтезатором- то этот путь бессмысленный. Альтера нужна только если она сама синтезатор, Управлять имкросхемой синтеза надо контроллером - Атмега, Микрочип, АРМ- выбор сейчас огромный. А программировать и так и так придется. Или на верилоге- VHDL для ПЛИС, или на С для контроллера. Про схемотехнический ввод для ПЛИС- забыть как страшный сон. Во первых не переносимо между разными версиями софта, во вторых- все равно не работает.

ledum
27.04.2012, 13:59
Я согласен с khach. ПЛИС - самый неподходящий компонент для такой ФАПЧ. Фазовые шумы ПЛИС почти на 20 дБ выше простой КМОП логики за счет джиттера. Вообще непонятно, зачем при наличии ADF4002 и иже с ней по цене в три доллара и контроллера, который все равно нужен и дешевле ПЛИС, городить что-то на внешней логике. К чипе, вероятно, лет 15 назад снятой с производства (Редактировано - я здесь соврал, у Фрискейла даташит от 2004 года) и со значительно, быстрей всего, худшим фазовым детектором. Более того, каждое уменьшение частоты сравнения в два раза приводит к повышению шумов в полосе ФАП на 3дБ - ФАП - ведь одна из разновидностей умножителя. Поэтому в профессиональной технике сейчас так популярны опоры на 100МГц. Умножил до ГиГа, сбросил DDS обратно с мелким шагом до 100МГц - получил минимально возможные при такой реализации шумы. Или путь Ориона - без умножения опоры ФАПом за счет вспомогательного генератора с максимально возможным шагом (частотой сравнения). При чем ГУНы на сотнях МегаГерц, чтобы потом делением задавить спуры неизбежного в таком случае в виде опоры второго ФАПа DDS.
Картинка отсюда http://www.tronicone.com/20906/59756494064912342505 4471759912.pdf - джиттер - в какой-то мере интегральная характеристика фазовых шумов

khach
27.04.2012, 18:09
Есть правда одна область, где применение ПЛИС для управления синтезатором практически неизбежно- быстрый свип-генератор. Очень важная вещь для АЧХометра или анализатора спектра, на котором хотим наблюдать изменения сигнала "в живую". Если на точке предполагается стоять 10-20 мкс (20 миллисекунд весь свип 1000 точек- 50 герц частота обновления экрана) то мы по последовательной шине просто неуспеваем прогрузить все регистры синтезатора за отведенное время. Вот тут и начинаешь ностальгировать по параллельной шине. И даже если она есть в наличии, то сопрячь например параллельную шину STM32 FSMC с синтезатором на AD9913 без ПЛИС- прокладки у нас неполучилось. Пришлось откатится на древнюю AD9958 - у нее шина сопряглась без "клея".
А как пример самодельного синтезатора на ПЛИС- посмотрите http://www.holmea.demon.co. uk/Frac3/Main.htm

LEONID2
27.04.2012, 19:29
Есть правда одна область, где применение ПЛИС для управления синтезатором практически неизбежно- быстрый свип-генератор. Очень важная вещь для АЧХометра или анализатора спектра, на котором хотим наблюдать изменения сигнала "в живую". Если на точке предполагается стоять 10-20 мкс (20 миллисекунд весь свип 1000 точек- 50 герц частота обновления экрана) то мы по последовательной шине просто неуспеваем прогрузить все регистры синтезатора за отведенное время. Вот тут и начинаешь ностальгировать по параллельной шине. И даже если она есть в наличии, то сопрячь например параллельную шину STM32 FSMC с синтезатором на AD9913 без ПЛИС- прокладки у нас неполучилось. Пришлось откатится на древнюю AD9958 - у нее шина сопряглась без "клея".
А как пример самодельного синтезатора на ПЛИС- посмотрите http://www.holmea.demon.co. uk/Frac3/Main.htm

приличное представление, но помимо счётчика, было бы не плохо на спектрум кинуть выход. судя по графику, там выкачали всё, что могли из ГУНа.

ledum
27.04.2012, 20:54
То khach. Шумы можно было бы уменьшить, помните, предложение rloc - наружные триггера с наружным клоком, разрешение асинхронное с ПЛИС.
За минус 90дБн/Гц на 40МГц меня бы выгнали с работы в течение 24 часов. У меня на 2см было минус 105... на 2кГц от несущей в синтезе.

Леонид Иванович
27.04.2012, 21:40
Делал когда-то примитивный PLL-синтезатор на CPLD Altera (http://digit-el.com/files/circuits/pllcpld/pllcpld.html), там есть исходник на AHDL. Но что касается автора вопроса, так лучше потратить время на освоение микроконтроллеров. Они в радиолюбительской практике нужны гораздо чаще, чем ПЛИС.

LEONID2
29.04.2012, 13:08
Делал когда-то примитивный PLL-синтезатор на CPLD Altera (http://digit-el.com/files/circuits/pllcpld/pllcpld.html), там есть исходник на AHDL. Но что касается автора вопроса, так лучше потратить время на освоение микроконтроллеров. Они в радиолюбительской практике нужны гораздо чаще, чем ПЛИС.

спасобо. приличная конструкция, но путь указан, можно пробовать.

ut1wpr
29.04.2012, 15:36
Но что касается автора вопроса, так лучше потратить время на освоение микроконтроллеров. Они в радиолюбительской практике нужны гораздо чаще, чем ПЛИС.Тем более, что автору на данном этапе все равно, что осваивать. Мне кажется, что с последовательным исполнением команд в МК легче будет разобраться, чем в достаточно сложном механизме параллельной работы ПЛИС-ов.
Автор еще не спотыкался об "шилки", сейчас ему невозможно объяснить, что такое "связи через enables"... :)

RN6LKU
29.04.2012, 16:34
спотыкался об "шилки"

Риски-гонки, чтоль?

ut1wpr
01.05.2012, 10:48
Риски-гонки, чтоль?Дык! :)

khach
01.05.2012, 11:52
Риски-гонки, чтоль?
Именно! В свое время сильно потоптались по граблям- ремонт старой советской измериловки о огромными платами на куче ТТЛ микросхем. Микросхемы на замену найти уже невозможно было- все сдали на "рыжуху". Зато были в наличии Xilinx CPLD, тогда еще 5- вольтовые. Т.е проблем по сопряжению по уровню сигнала небыло. Быстродействие- с огромным запасом (150 МГц против 25 у ТТЛ). Схема в ПЛИС тоже помещалсяь с запасом. Сама схема в инструкции есть. Делался новый полный ТЭЗ на замену, на него Хилинх, схема из инструкции в редактор 1 в 1 переносилась, компилировали проект, зашивали.... и НИЧЕГО не работает. Ложные защелкивания, "иголки" на сигналах итд. Ну а потом (надо же работу заканчивать) реверс- инжинринг логики работы платы, переписывание проекта на VHDL (а не в схематике), синхронный дизайн (генератор тактовой частоты "на соплях" сверху готовой платы) и вот тогда оно уже худо-бедно работало. Короче, не повторяйте наши ошибки.

RN6LKU
01.05.2012, 11:58
Все ошибки младенческого возраста в ПЛИС я последовательно переболел. И риски, и гонки, и вынужденный переход на синхронную схемотехнику. Наверное, как все, кто занимался проффессионально. Но упрямо на язык не перешёл - остался на schematics.

LEONID2
01.05.2012, 13:17
Именно! В свое время сильно потоптались по граблям- ремонт старой советской измериловки о огромными платами на куче ТТЛ микросхем. Микросхемы на замену найти уже невозможно было- все сдали на "рыжуху". Зато были в наличии Xilinx CPLD, тогда еще 5- вольтовые. Т.е проблем по сопряжению по уровню сигнала небыло. Быстродействие- с огромным запасом (150 МГц против 25 у ТТЛ). Схема в ПЛИС тоже помещалсяь с запасом. Сама схема в инструкции есть. Делался новый полный ТЭЗ на замену, на него Хилинх, схема из инструкции в редактор 1 в 1 переносилась, компилировали проект, зашивали.... и НИЧЕГО не работает. Ложные защелкивания, "иголки" на сигналах итд. Ну а потом (надо же работу заканчивать) реверс- инжинринг логики работы платы, переписывание проекта на VHDL (а не в схематике), синхронный дизайн (генератор тактовой частоты "на соплях" сверху готовой платы) и вот тогда оно уже худо-бедно работало. Короче, не повторяйте наши ошибки.



Все ошибки младенческого возраста в ПЛИС я последовательно переболел. И риски, и гонки, и вынужденный переход на синхронную схемотехнику. Наверное, как все, кто занимался проффессионально. Но упрямо на язык не перешёл - остался на schematics.


ну что ж, спабо за наставления! язык поздно учить, в своё время начал с БЭЙСИКа, а это была ошибка, надо быко с Си начинать, а вот приклеилась зараза и всё. разве что был Турбо Бэйсик, там реально без лишних примочек и драйверов компилировался файл на машиный язык и бегало всё шустро в экзеке.я знаю, что есть БЭЙСИК для PIC контроллеров, но вряд ли последний может вместить 40 ТТЛов

Хигэ
01.05.2012, 16:54
язык поздно учить, в своё время начал с БЭЙСИКа, а это была ошибка
большинство начинало с бейсика, ничего страшного в этом нет
главное чтобы было желание и мотивация освоить
----------------------------------------------------------
вопрос к гуру
досталось мне полкоробки "новых" PAL16L8ACN, даташиты на них гуглятся, а вот программаторы нет и неясно стоит ли с ними связываться, или лучше выкинуть и не мучиться

да и и по EPM3032 и подобным описание программатора не нашел, только учебники по программированию и моделировщики, а чем в микруху заливать так и не понял
если возможно хотел бы услышать советы от людей которые ещё не забыли как сами начинали осваивать плис
начинать изучение нового прошиваемого устройства (контроллера, плис) считаю нужным начинать с аппаратной части программатора, ибо если для прошивки 30гривнёвой микросхемы нужно купить $150 программатор, то дальнейшее изучение нецелесообразно, ибо для себя делать на дискретной логике будет и дешевле и проще

ReZonAnS
01.05.2012, 17:37
Для альтеры программатор байт бластер на LPT порт он, погуглите схем море например http://radiokot.ru/start/mcu_fpga/avr/03/

Для ксалинкса http://www.plis.ru/pic/pict/File/jtag_cable.pdf

(http://www.plis.ru/pic/pict/File/jtag_cable.pdf)PAL16 L8ACN - ищите на оф сайте мб че там есть... а лучше вообще выкинуть эту хрень. :)

Насчет для себя на дискретной логике дешевле и проще, весьма спорно :)

Хигэ
01.05.2012, 19:07
PAL16L8ACN ... а лучше вообще выкинуть эту хрень
спасибо, видимо так и сделаю ибо на офсайте не нашел
-------------------------------------------------------
как я понял по вашим ссылкам EPM3032 и AVR шьются через один и тот же программатор?

ReZonAnS
01.05.2012, 19:33
Ну видимо да, там стандартный JTAG. С AVR я не работаю... конкретно по ним не ко мне вопрос.

pixar
02.05.2012, 02:36
Схема не секрет, просто там всё разложенно по-полочкам настолько, что даже сигналы DATA, I/O, CLK выработанны простой логикой. Данное мероприятие предотвратит писанину программ для микроконтроллеров,а так же предотвратит весь побочный "мусор" , который вырабатывает даже самый дорогой компонент из серии DDS и даст возможность получить синтезатор на базе ГУН-а для любительской аппаратуры, с валкодером и изменением шага перестройки. Из логической матрицы должны выходить до 35 портов/выводов для различны внешнихх подключений. давайте схему и flow-chart алгоритма с таймингами. Описать всё на верилоге по структуре намного проще, чем переносить старый схематик 1:1 и потом переделывать его в синхронный вариант.
Устроит ли вас цена на Altera MAX 240, 570?

RN6LKU
02.05.2012, 05:41
5 баксов за ведро логики много? http://www.ebay.com/itm/1PC-EPM240T100C5N-QFP100-QFP-100-ALTERA-/261012581559?pt=LH_D efaultDomain_0&hash=item3cc58fecb7

LEONID2
09.05.2012, 00:41
давайте схему и flow-chart алгоритма с таймингами. Описать всё на верилоге по структуре намного проще, чем переносить старый схематик 1:1 и потом переделывать его в синхронный вариант.
Устроит ли вас цена на Altera MAX 240, 570?

схему заканчиваю, в ближайшие 2 дня будет тут

vadim_d
10.05.2012, 10:03
Все ошибки младенческого возраста в ПЛИС я последовательно переболел. И риски, и гонки, и вынужденный переход на синхронную схемотехнику. Наверное, как все, кто занимался проффессионально. Но упрямо на язык не перешёл - остался на schematics.
Не, можно учиться и на чужих ошибках :) . Даже при проектировании на дискретной логике начинал с общей архитектуры и анализа тайминга на предмет асинхронности и клочных доменов (извините за такие выражения :) ). В результате переход на языковое описание логики был относительно гладким.