PDA

Просмотр полной версии : Синтезатор - три гетеродина и два DDS



Страницы : [1] 2 3

Genadi Zawidowski
24.03.2005, 14:20
Продолжения обсуждения темы и новые обновления будут делаться тут:
http://forum.cqham.ru/viewtopic.php?t=1780 3

Коллеги, я все-таки закончил разводить под "лазерно-утюговую" технологию (односторонняя печать, вторая сторона - земля) синезатор - три гетеродина на одной плате, основное применение - тракты с преобразованием "наверх" с "круглой" частотой второго гетеродина и последней ПЧ до мегагерца.

Частота сравнения диапазонного синтезатора - около 10.7 МГц / 21 (около 0.5 МГц), математику для такой структурной схемы уже сделал.

Использовались комплектующие, что продаются в Питере.
Сборка еще не началась, хотелось услышать:
1) комментарии и предложения по ГУН-ам.
2) если "Асс" этой технологии в Санкт-петербурге поможет изготовить мне экземпляр этой платы, большей частью комплектующих могу поделиться, если ему будет интересно собрать такое же устройство.
3) или интересуют также предложение о недорогом изготовлении одного-трех экземпляров классическим способом. Некоторые компоненты этого изделия имемют шаг выводов 0.65 мм

Применены DDS-ки - AD9852, PLL-ки - LMX2306.

Май 2008. В сообщении http://forum.cqham.ru/viewtopic.php?p=2093 48#209348
еще более последняя версия - малопотребляющий синтезатор с применением AD9834

Ноябрь 2008. А потом появилась версия, совмещённая с контроллером.
http://forum.cqham.ru/viewtopic.php?p=2628 68#262868

Аттачменты в этом сообщении рассматривайте только как музейный-исторический материал!

Genadi Zawidowski
24.03.2005, 14:51
Да, номиналы некоторых резисторов не проставлены, например, в буферах ГУН-ов... еще не макетировалось, потом будет понятнее.
Применен генератор на 80 мегагерц, как компромисс между чистотой спектра и потреблением. А так же, простотой схемы. Поднять до предельных для DDS частот тактовую хотелось бы, но это повлечет за собой потребление 600 миллиампер вместо 200 на каждый корпус и необходимость еще одного кольца ФАПЧ для получения этой тактовой (вместе с формирователями, которые тоже надо где-то брать). Использование внутреннего умножителя для данного применения мне показалось нежелательным из-за обещаного ухудшения шумовых характеристик. Хотя, что-то выдаст осцилятор...
Примененные для питания схем ФАПЧ интегральные стабилизаторы применены производства Motorola, с нормированным уровнем шума на выходе. У ST и TI шум тоже нормирован, но в 5-8 раз выше.
У AD тоже, обещано меньше 50 микровольт.

EU1ME
24.03.2005, 18:55
На сайте EU1CC есть уже готовое подобное решение. Вроде даже и печатки можно заказать.

Genadi Zawidowski
25.03.2005, 09:16
На сайте EU1CC есть уже готовое подобное решение. Вроде даже и печатки можно заказать.
подобное решение (версия 2.1 - http://www.eu1cc.qrz.ru/sintez/ver2_1/sintez2_1.htm) еще в работе. Как Вы заметили, eu1cc не применял полосовой фильтр на выходе ДДС, там сильно переменная частота сравнения на фазовом детекторе - 460..1000 килогерц, деленные на 3 - то есть, от 150 до 330 килогерц.
В моей (не моей, конечно, а, например, Айкомовской), частота на фазовом детекторе всегда около 500 килогерц (10.7 МГц деленные например на 21). Мне кажется, это (наличие фильтра и более высокая частота сравнения) должно улучшить спектральные и скоростные характеристики синтезатора в целом.
Кроме того, для переключения боковой или для слухового приема CW я хотел бы иметь перестраиваемый 3-й гетеродин - из-за этого у меня появился второй канал синтезатора специально для этого.
Точность установки частоты, которую мне хотелось получить, не обеспечивается (в моей структурной схеме) синтезаторами с 32 bit ftw. И мне нужна была частота на выходе ДДС 10.7 МГц. Это вызвало применение АД9852. Предвижу напоминания о том, что достаточно десяти герц - согласен, поэтому если доведется делать следующий вариант - выберу что-то типа АД9951 а не АД9956.
Кроме ЕУ1ЦЦ, есть и другие синтезаторы, например http://www.qsl.net/ke5fx/synth.html
Некоторый запас в моей конструкции - это как в известной легенде - если я не могу расчитать конструкцию, то хочу заложить запас по прочности в пять раз больше, чем обычно.

Genadi Zawidowski
25.03.2005, 17:02
На сайте EU1CC есть уже готовое подобное решение. Вроде даже и печатки можно заказать.
Отвечу еще чужой цитатой:

Вообще, архитектура синтезатора типа «EU1CC», т.е. где в качестве опорной частоты для ФД используется выходной сигнал DDS имеет врожденный порок – переменная частота сравнения, отсюда и все беды лезут. Расчитав PLL под одну из частот мы получим хороший спектр только в сравнительно небольшом диапазоне. Далее, полюса фильтра «поползут» и на других частотах у нас PLL уходит в разнос. Особенно это относится к узким петлям.

Genadi Zawidowski
26.03.2005, 12:09
Еще раз насчет http://www.eu1cc.qrz.ru/sintez/ver2_1/sintez2_1.htm - кто-нибудь знает, девять вольт питания на LMX2306 вместо максимально пять с половиной по даташиту http://www.national.com/ds.cgi/LM/LMX2306.pdf это опечатка в схеме или в даташите?

R5AU
26.03.2005, 23:17
Добрый день Геннадий,
напряжение питания на LMX2306 до 5.5В как для аналоговой так и для
цифровой части, сама микруха рассчитана на низкие рабочие уровни напряжение а напряжение Vp должно быть больше напряжения питания но всё равно не выходить за 5.5В иначе ребята из
National ничего не гарантируют.
Читал различные DATA шиты.
Геннадий когда намечен выход продукта и почему преобразование
вверх, кварцевые фильтры(качественные ) на частоты до 10-15Мгц дешевле чем на 40-60.Может черканёте в приват.

ra3ajk@rambler.ru

Genadi Zawidowski
08.04.2005, 17:03
Добрый день Геннадий,
напряжение питания на LMX2306 до 5.5В как для аналоговой так и для
цифровой части, сама микруха рассчитана на низкие рабочие уровни напряжение а напряжение Vp должно быть больше напряжения питания но всё равно не выходить за 5.5В иначе ребята из
National ничего не гарантируют.
Читал различные DATA шиты.
Геннадий когда намечен выход продукта и почему преобразование
вверх, кварцевые фильтры(качественные ) на частоты до 10-15Мгц дешевле чем на 40-60.Может черканёте в приват.

ra3ajk@rambler.ru
Восстанавливая историю
Ну я-то знаю, какое напряжение на ФД у микросхемы... Я просто прокричал, чтобы обратили внимание на это.

Насчет продукта... Лазерно-утюговые монстры не отозвались, самому мне не получилось... В пятницу я начал "по срочному" делать два экземпляра платы, сегодня днем ожидаю счет... в пятницу ожидаю держать в руках платы.
Уже держу и паяю

Как вы заметили, здесь только синтезатор, без контроллера. Особенности примененной архитектуры (постоянная частота на ФД) привели к тому, что если в контроллере применить что-то вроде 98Ц4051, то обсчет одного значения частоты идет около 3..4 секунд.
Я планирую сделать контроллер или на 80386 или на 68000 семействе, тем более что и тот и другой микроконироллеры могут питаться от 3-х вольт. Проверяться все будет с персональным компютером.

Еще неизвестно, смогу ли я перекрыть нужный мне диапазон с тремя ГУНами, как я заложил. При ПЧ в 70 мегагерц конечно смогу (может вместе с 50-мгц диапазоном), но при 45 мегагерцах ПЧ могу не смочь и 45..75 получить.

Насчет дешевизны я не согласен... 10-кристальный 8.8* мегагерц фильтр у нас на толкучке стоит около 1000 рублей.

Четырехкристальный (двухкорпусной) 45 мегагерц с шириной 15 килогерц фильтр я заказал (и получил) по 300 рублей штука. ЭМФ стоит до 400 рублей.

70 мегагерц фильтры на 15 килогерц я смог достать. Для информации, на такие частоты фильтры с полосой в 2.5..3.1 килогерца можно купить, но цена от 700 до 1000 долларов.

Эти все цены я из своего опыта общения сообщаю, если Вы покупали фильтры по более низким ценам, то отлично. ЭМФ и мне удавалось по 150 рублей купить.

Для трактов с 8.8 мегагерц ПЧ требуются другие схемы - с делителем с переменным коэф. деления и (я еще не знаю каким) формирователем опоры для детектора. ДДС в таком применении мне кажется несколько некачественным. Скорее всего, будет нужен еще один тракт с фильтрующей ФАПЧ на выходе.

EU1ME
08.04.2005, 22:17
...если в контроллере применить что-то вроде 98Ц4051, то обсчет одного значения частоты идет около 3..4 секунд.
Я планирую сделать контроллер или на 80386 или на 68000 семействе, тем более что и тот и другой микроконироллеры могут питаться от 3-х вольт. Проверяться все будет с персональным компютером.

Геннадий, что же там за математика такая дикая? На пике при осциляторе 4МГц с 32-х - 48-ми битными чиселами с плавющей точкой и десятком арифметических операций на все уходят доли секунды. Если не ноу-хау, скиньте формулы, может все проще чем есть?

Genadi Zawidowski
11.04.2005, 10:57
...если в контроллере применить что-то вроде 98Ц4051, то обсчет одного значения частоты идет около 3..4 секунд.
Я планирую сделать контроллер или на 80386 или на 68000 семействе, тем более что и тот и другой микроконироллеры могут питаться от 3-х вольт. Проверяться все будет с персональным компютером.

Геннадий, что же там за математика такая дикая? На пике при осциляторе 4МГц с 32-х - 48-ми битными чиселами с плавющей точкой и десятком арифметических операций на все уходят доли секунды. Если не ноу-хау, скиньте формулы, может все проще чем есть?
Это немного для другого синтеза, вернее, проект никогда несуществовавшего синтезатора, новый будет попроще по расчетам. Плавающая точка у меня не используется, 32-битных чисел не хватает по точности. Посмотрите, может что-то я упустил из виду... В тексте, который приложен к этому сообщению, расчинывается для 200 мегагерц опорной. Я сейчас использую 80 мегагерц.
А насчет долей секунды - без пддержки контроллера валкодера не обойтись. Если человек за полсекунды крутанул валкодер на целый оботрот - его не интересует весь набор промежуточных значений частот. Ему сразу надо на новое значение перестроиться.

Программа специально для управления этим синтезатором выложена в сообщенииhttp://forum.cqham.ru/viewtopic.php?p=2732 5#27325

Genadi Zawidowski
24.04.2005, 17:39
Так... получил первые впечетления...
Подарочек от pcad 2002 - странным образом перепутаны ноги у операционника... Десятидолларовый бюр-браун отправился в помойку...
За ним еще полдесятка корпусов стабилизаторов он аналог девайсов и мотороллы, smd генератор на 80 мегагерц... после случайной переполюсовки питания (ну почему у акумкляторов клеммы одинаковые? только цветовая маркировка... :) )

Первый попавшийся контур в диапазонном гетеродине дал перекрытие от 33 до 97 мегагерц... Напряжение на варикапах до 12 вольт... Это по частотомеру, оценить качество сигнала на слух мог только до 36 мегагерц...

Буфрные каскады на BFG591 генерили так, что тестер спокойно не лежал на столе, а шевелил стрелкой до пол-шкалы... Но напряжения на выходе фазового детектора не поднималось выше одного вольта, за что (совершенно напрасно) был помененян LMX2306. Второй отправился в "мир иной" с пробитым входом.
Сегодня, похоже, все закончилось удачно - можно приступать к написанию программы для управления уже как части приемника, и заниматься промерами шумов.

Кто работал с LMX2306 - почему может быть такой эффект - иногда любая активность на SPI приводит к кратковременным сбоям захвата - как микрофонный эффект (выборка сбивающейся микросхемы не производится). Имеются в виду прямая вина PLLAtinum, а не подозрения о наводках.

Очень понравился активный фильтр - интегратор - в диапазонном гетеродине я применил схему и расчеты by John Miles http://www.qsl.net/ke5fx/synth.html, сдвиг фазы практически не виден во всем диапазоне выходных напряжений на варикапах...

В местном Чипе-Дипе наконец-то появились smd индуктивности, расчитанные LPF после DDS удалось воплотить без больших погрешностей. Передумал делать эллиптические, расчитал Чебышева - спокойнее переносят погрешности с рельными компонентами.

Порадовал LMX2306, чувствительности по входу опрного сигнала хватило для прямого подключения к выходу пьезофильтра без дополнительного каскада усиления.

Низкие уровни в целом порадовали - внутренние сигналы синтезатора на приемнике принимать можно только на уровне шумов, и то, если добавить излучающих проводов.

R5AU
24.04.2005, 18:01
Доьрый день Геннадий,
очень будут интересны результаты замеров

Сергей RA3AJK

Genadi Zawidowski
27.04.2005, 15:09
Текстовое описание алгоритма и формулы я уже кидал в форум (кажется, в теме российского транссивера).

Новую программу (уже для конкретного синтезатора) скоро выложу, сможете посмотреть.

Если не повторяться - формулы образуются за полчаса,
если взять структурную схему синтезатора и посмотреть, как образуются частота гетеродина из частоты приема.

А если повторяться, то примерно так:
flo1 = frx + flo2 + flo3
подставляем
flo1 = fdds1 / D1 * N1

D1 - это умножение в первом кольце, N1 - делитель после dds1,
у меня и в айкомах - 21

fdds1 / D1 * N1 = frx + flo2 + flo3

fdds1 * N1 = frx * D1 + flo2 * D1 + flo3 * D1

Переходим к "фазовому" представлению - дело в том, что частота на выходе dds линейно зависит от содержимого frequency control word. Я обычно для себя называю это число "фазовым инкрементом".
Причем, значения фаз расчитываются для "воображаемой" - как для увеличеной в D1 раз - частоты на выходе DDS.

phdds1 * N1 = phrx_d1 + phlo2_d1 + phlo3_d1

В реальной программе нужно учесть, что flo2 образуется не прямым синтезом, а в достаточно крупной сетке, ее значение зависит от погрешности опорного генератора. Я использую расчинанное значение flo2 ДО преобразования в фазовый инкремент, при вычислении flo1.

Отдельно расчитываем минимальную частоту на выходе диапазонного DDS (fdds1), это в статье john miles.
Её так же переводим в "фазовое" представление, увеличенное в D1 раз.

Делением требуемой фазы на минимальную фазу получаем коэф. умножения первого кольца фапч.

Делением требуемой фазы на коэф. умножения первого кольца ФАПЧ получаем "фазу" для программирования первого DDS. Остаток от этого деления можно использовать для коррекции частоты третьего гетеродина, но это сотые доли герца. После коррекции не забыть поделить "фазовый инкремент" третьего гетеродина на D1. Результат программируем в микросжему синтезатора.

Эти алгоритмы полностью целочисленные. У меня в расчетах использубтся 80-битные целые числа. 64-х битных не хватает (при 48-битном frequency control word в AD9852).

Genadi Zawidowski
27.04.2005, 15:11
Наводки действительно были из-за висячих проводов...
Трехкратное перекрытие (фантастическое) - из-за неисправности делителя в частотомере.

Genadi Zawidowski
27.04.2005, 15:45
Может кому пригодится...

Analog Devices ADSim PLL 2.7

http://www.analog_ZZZ.com/Analog_Root/static/technology/RFComms/rfif/ADIsimPLL_V2702.zip


Строку из ссылки _ZZZ убрать.

Genadi Zawidowski
29.04.2005, 16:54
Доьрый день Геннадий,
очень будут интересны результаты замеров

Сергей RA3AJK
Я тут добрался до лаботатории, оборудованой в числе прочего проборами Г4-159 и C4-74... и обнаружил, что не очень могу интерпретировать результаты измерений...
Например, я увидел что вторая гармоника выходной частоты диапазонного синтезатора имеет уровень -20 дБ, а опорная частота (80 мегагерц) пролезает на выход с уровнем -30 дБ.
Кто-нибудь, посоветуйте, при каких настройках меряются параметры?
Сразу скажу, что до -40 дБ никаких других побочных составляющих, обусловленных структурой синтезатора, я не обнаружил.
Так же, до того же уровня, форма спектра основного сигнала совпадает с сигналом генератора Г4-159...
Продолжение следует... Очень надеюсь на советы про "видеофильтр".

Genadi Zawidowski
04.05.2005, 11:29
Выкладываю исходники и скомпилированный вариант той программы, которй управляю этим синтезатором.
Исходники состоят из драйвера, через который управляю присоединенным к LPT порту устройством и программы с диалоговым окном, в которое вводятся параметры о частоте приема и требуемой частоте третьего гетеродина.
Есть скомпилированный вариант (в этом же архиве)

CO2040
04.05.2005, 12:29
Уровень второй гармоники -20 дБ это вполне нормальное дело, так оно и должно быть. На характеристики приемника не повлияет если будет применяться смеситель, работающий в ключевом режиме, например, все тот же AD831. А что касается видеофильтра и остального, то это видимо должны разъяснить более продвинутые товарищи, например RW3FY.

EU1ME
10.05.2005, 16:18
Просто для информации, может пригодится. Синтезатор Ориона.

Genadi Zawidowski
10.05.2005, 16:30
Есть эта схема у меня.... А вот статьи у меня небыло...

AleGZ
11.05.2005, 08:04
Свежие буржуйские новости синтезаторостроения :)


STMicroelectronics unveiled a m_ulti-b_and RF synthesizer with embedded voltage controlled oscillators (VCOs), the first in a series of highly integrated RF solutions integrating PLL and VCOs.
According to the company, they were able to produce the STW81100, which enables a lower BoM and uses a smaller footprint, by leveraging their strong expertise in RF design and utilizing its world class BICMOS SiGe technology. "ST's tremendous RF capabilities and market leadership from handsets to infrastructure has translated into a family of products addressing the two main concerns from manufacturers today: lower BOM and smaller footprint," commented Guillaume Pertinant, RF Technical Marketing Manager of ST's Wireless Infrastructure Division.

Demonstrating high performance, high integration, low power and m_ulti-b_and capabilities, the STW81100 is a low-cost single-chip alternative to discrete PLL and VCO solutions which typically require two chips and are usually bulky and expensive. The device also leads to an indirect cost reduction as a one-chip approach increases reliability, reduces board space, reduces the number of external discrete components and simplifies sourcing, making it an overall more cost-effective solution, added ST.

Designed for wireless communication and test equipment systems, the new RF device includes an Integer-N frequency synthesizer and two fully integrated VCOs which feature low phase noise performance and a noise floor of -153dBc/Hz. The combination of wide frequency-range VCOs (thanks to center-frequency calibration over 32 sub-bands) and multiple output options (direct output, divided by 2, or divided by 4) allows the synthesizer to cover the 820MHz to 1,100MHz, the 1,640MHz to 2,200MHz and the 3,280MHz to 4,400MHz bands.

The STW81100 is supplied in a 28-lead exposed QFN lead free package. It is also available as an IP cell. Sample devices and evaluation boards are already available. Volume production will start mid-2005 at a cost of $3 in 10,000 units.

Или, в 2-х словах, ST выпустили мелкосхему, внутри которой сразу и синтезатор и ГУН. Шум обещают -153dBc/Hz. Цена этого безобразия 3 бакса за 10 000 :wink: . Частоты пока не наши, но при хорошем шаге видимо можно прикрутить делитель. Образцы грозятся высылать (правда, нам обычно не очень охотно шлют). Так что если кому удастся поэкспериментировать , поделитесь, PSE.

73!

ЗЫ. Поторопился, однако :roll: . По свежескачанному даташиту минимальный шаг на 800 мег аж 50 КГц. Вместо ГПД явно не пойдет. Но если кому таки интересно -- http://www.st.com/stonline/books/pdf/docs/11297.pdf

Genadi Zawidowski
11.05.2005, 11:31
Аналоговые Девицы уже давно делают и высылают такие (генератор и фапч). Как обычно, микросхему без лупы не увидеть (четыре на четыре милиметра, безвыводный корпус). Линейка микросхем покрывает диапазон от 65 мегагерц (ADF4360-8) - 103dBc расстройке 1 килогерц до 2.7 гигагерц (ADF4360-0) - 79dBc при расстройке 1 килогерц. В качестве ГУНа в гибридных схемах применять можно... Правда, у AD выходного делителя нет. Откуда взялась цифра -153? В даташите написано про -53 при расстройке в 1 килогерц и про -153 при расстройке 40 мегагерц.
Насчет шага - это если у вас частотра сравнения будет 50 килогерц... а если гибридная схема, то получается все что хочется...

Genadi Zawidowski
21.07.2005, 21:07
Много-много переделок, некоторое время погонял синтезатор с приемником... добавились ФНЧ и аттенюаторы в цепи после гетеродина... Сейчас делаю новый вариант.

Надо выбрать одно из ршений:
1) Оставить все как есть - относительно дешевый высокочастотный кварцевый осцилятор (у меня сейчас - 80 мегагерц), он используется как источник для микросхем DDS и (после деления внутри LMX2306) - как опорник для второго гетеродина.
2) Задействовать внутрнний умножитель DDS, ухудшив качество. При этом можно использовать более качественные термокомпенсированны е опорники (10..20 мегагерц).
3) Питать DDS от второго гетеродина (у меня он - 44.5 МГц, можно задрать "наверх" в два раза, питать DDS от него, а после делителя - подавать потребителям... Добавляется формирователь синус-в-ЭСЛ. Не знаю что делать, если потребуется делать синтезатор для фильтра на 70 мегагерц - задирать до 140 мегагерц частоту DDS не хочется, а смотреть, как оно будет работать при питании от 70 - тоже...
4) Сделать отдельный умножитель для питания DDS. В этом варианте не очень нравится сильное разрастание схмы.

Варианты с тактированием DDS от второго гетеродина мне кажутся соблазнительными еще как возможность уменьшить количество паразитных частот в спектре выходного сигнала синтезатора...
А последний вариант - нравится своей универсальностью, под любые схемы годится, в том числе и с низкой первой ПЧ.

Genadi Zawidowski
28.07.2005, 12:41
Плата первого варианта синтезатора в процессе макетирвания.

Общий вид платы:
http://mgs2001.pochtamt.ru/synth_foto/DSC00011.JPG
Участки платы:
http://mgs2001.pochtamt.ru/synth_foto/DSC00012.JPG
http://mgs2001.pochtamt.ru/synth_foto/DSC00013.JPG
http://mgs2001.pochtamt.ru/synth_foto/DSC00014.JPG

EU1ME
28.07.2005, 13:15
Геннадий, у меня также сейчас стоит проблема с тактированием DDS (400 МГц). Интересно, какую стабильность можно ожидать при применении SAW резонатора на 430 МГц. Умножение на 4 отпадает по причине шумов и невозможности синхронизации со второй мс DDS, Остается или внешний кварцевый генератор с выделением нужной гармоники ( вопрос в побочных излучениях) или генератор 400МГц с фапч. Склоняюсь к последнему варианту.

А рисунки тяжеловаты :) Но платы красивые. Примененили 9852 из-за отсутствися 9956 ? А то ведь 1,5А по питанию жалко.

Genadi Zawidowski
28.07.2005, 13:53
Начну отвечать с конца.

потребляет оно у меня не полтора ампера, ведь тактовая на микросхемы идет только 80 мегагерц. По замерам, ддс-ная часть потребляет только около полампера.

Когда я задумывал все это, 9956 была только еще в пре-релизе. Кроме того, в начале планировалась "утюговая" технология, шаг выводов безкорпусного 9956 - 0.5 милиметров. Несколько напряжно. CO2040, кажется, писал о применении SAW резонатора... или кто-то другой... Или о новых кварцах на частоты за сотню мегагерц на основной... Думаю, что и кварц и SAW можно использовать, толлько включив их в ФАПЧ, куда опорой будет идти что-то вроде "Гиацинта".
Да, какое умножение на 4 в 9956? Умножитель есть только в линейке 9951..9954.

Сейчас мне кажется более подходящим вариантом для моего изделия 9951, 9956 или 9857.

EU1ME
28.07.2005, 14:18
У меня 9951 и 9954. Про 9956 я только спросил. Про 80 МГц я сразу не прочел :( , прожорливые эти 9852, 4 просто ужас, а импульсный стабилизатор не хочется применять.
Удачи!

AleGZ
29.07.2005, 08:59
Alex, у AD в пре-релизе появились AD9958 -- двухканальный DDS с тактовой 500 мег, но с 10-битным ЦАП. Инфо есть на сайте + вот:

"When surveyed, more than half of our customers reported using more than one channel, and 75 percent of those needed to synchronize them," reports Jeff Keip, DDS Marketing Manager at Analog Devices Inc. With that information in hand, the design team at Analog Devices set about developing the industry's first muIti-channel direct digital synthesizers (DDSs)—the AD9958 two-channel device and the AD9959 four-channel device.
...

Both the AD9959 and AD9958 are fully synchronized, with each channel featuring 14-b individual phase offset tuning, 32-b frequency resolution, and 10-b amplitude adjustment. Each channel can be programmed to resolutions of 116mHz or less with a 500MS/s clock.

73!

EU1ME
29.07.2005, 10:42
Спасибо, Александр. К сожалению AD не делает сдвоенного 14-битного DDS, так как для моих целей применение фапч после DDS не возможно, а 10 бит не достаточно.
Извиняюсь, Геннадий, что увел тему немного в сторону.

Genadi Zawidowski
23.08.2005, 17:42
Еще одна фотография - аккуратно собраный экземпляр синтезатора.

Фото - 1 мегабайт

http://mgs2001.pochtamt.ru/synth_foto/oleg_plat.jpg

Genadi Zawidowski
07.12.2005, 16:04
Сделан еще один макет - только 1-й гетеродин, DDS - Analog Devices AD9857, PLL - Freescale MC145170D2. Один генератор (45-75 мегагерц, 10*BB133 + BFR93A + катушка КИП-220 без ферритовой оболочки) на весь диапазон.
Попытался сделать двуполярное питание активному фильтру (Texas Instruments OPA27) с помощью преобразователя Intersil ICL7660. В первых вариантах получил сильные наводки - боковые с уровнем -20 .. -40 дБ на 8 килогерц от основного колебания.

Параметры будут замеряться, сейчас могу предолжить схему и фотографии.

Корпус - спаян из жести, размеры 8" х 3" х 0.5".

Показанный на схеме эмиттерный повторитель отсутствует.
Да, в схеме не показана часть, поставляющая опорный сигнал(10.7 МГц +- 60 кГц) - штатная схема включения из даташита на AD9857 (встроенный умножитель не используется), на выходе - трансформатор, ФНЧ 5-го порядка на 13 мегагерц, пьезокерамический фильтр на 10.7 мГц с полосой 130 килогерц. Опорный генератор - на его выходе стоит делитель из 220 и 330 ом - переход с 5-вольт ТТЛ на 3.3-вольт. Управляющие сигналы с ЛПТ-порта преобразуются на 3.3-вольтовым уровням с помошью 74LVC245. Два стабилизатора - LM1117-3.3 для цифровой части и ADP3338-3.3 (как менее шумящий) для аналоговой части DDS припаяны к вырезаным участкам фольги как к радиаторам. Правда, при тактовой частоте 40 мегагерц корпус DDS хододный - стабилизпторы тоже. Потребляемый ток около 40 милиампер.

Genadi Zawidowski
07.12.2005, 16:10
Отдельные картинки не добавить, все в одном архиве.

Genadi Zawidowski
08.12.2005, 21:47
Управляющая программа, с кторой тестировалось это синтезатора.
Незапуск исправлен.

Genadi Zawidowski
11.12.2005, 14:33
Итак, наконец-то стали известны результаты измерений шумовых параметров двух синтезаторов.

Синтезатор "Три гетеродина и два DDS" - измерался сигнал первого гетеродина, диапазон 45-75 обслуживается тремя генераторами на BF996, контурные катушки выполнены на 18-ти милиметровых фторопластовых каркасах, намотаны посеребренным проводом.
В любой точке диапазона пр расстройке 10 килогерц спектральная плотность шума не хуже -100 dBc/Hz. И не лучше -105 dBc/Hz.

Синтезатор, описанный несколько позже (один гетеродин, перекрывающий 20 мегагерц 45-65 мегагерц, контур - КИП-220 в экране, BFR93A) дал -95 dBc/Hz.

Измерял Александр RA1AGG. Измерения проводились прибором ADVANTEST R3465.

Вотографии экрана синтезатора будут выложены несколько позже, вместе с обновлением принципиальной схемы второго варианта синтезатора.

Микросхема AD8320 ровно по своим паспортным данным позволяет на своем выходе при нагрузке 50 ом получить уровень +18 dBm - можно прямо на диодные смесители подавать. При измерениях была настроенв на уровень 0 dBm.

В последнем синтезаторе не прослеживалось зависимости от замены опорного генератора менее шумным.

EU1ME
11.12.2005, 17:21
Геннадий, а как Вы согласовали 75 Ом выход АД8320 с 50 Ом?

4z5cp
11.12.2005, 18:59
Привет.

В качестве VCO можно применить VCO JTOS-75 от Мinicircuits
При расстройке 10 килогерц спектральная плотность шума не хуже -110 dBc/Hz, может дороговато правда(14$), если цель зделать относительно дешевый синтезатор.
Зато можно иметь несколько таких в параллель и переключать их только по питанию.

CUL

Genadi Zawidowski
12.12.2005, 12:49
Геннадий, а как Вы согласовали 75 Ом выход АД8320 с 50 Ом?
На схеме эта цепь есть - согласовано с потерей 7 дБ делителем на резисторах. сверху 43 ом, снизу 86.


Привет.

В качестве VCO можно применить VCO JTOS-75 от Мinicircuits
При расстройке 10 килогерц спектральная плотность шума не хуже -110 dBc/Hz, может дороговато правда(14$), если цель зделать относительно дешевый синтезатор.
Зато можно иметь несколько таких в параллель и переключать их только по питанию.

CUL
Спасибо за совет! С маленьким дополнением - JTOS-100 в нашем городе можно купить (и я покупал в фирме Мэй), но заплатить мне за одну штуку пришлось около 80 долларов. А так во всем они меня устраивают...

http://www.minicircuits.com/dg03-228.pdf
JTOS-50 25 - 47 +8.5 1 15 -88 -108 -127 -147 0.06 0.04 2.0–2.6 -19 -12 50 12 20 BK377 jc 13.95
JTOS-75 37.5 - 75 +8.0 1 16 -89 -110 -130 -140 0.15 0.11 2.8–4.0 -27 -20 125 12 20 BK377 jc 13.95
JTOS-100 50 - 100 +8.3 1 16 -83 -108 -128 -140 0.6 0.2 3.7–4.8 -35 -20 100 12 18 BK377 jc 13.95

Genadi Zawidowski
12.12.2005, 13:15
Фотографии от Александра, RA1AGG

EU1ME
12.12.2005, 14:28
Микросхема AD8320 ровно по своим паспортным данным позволяет на своем выходе при нагрузке 50 ом получить уровень +18 dBm - можно прямо на диодные смесители подавать.
Вот именно эта фраза меня заинтересовала, так как и самом согласовывал 8320 с 50 Ом, и ничего лучше аттенюатора для полосы LF-160МГц не придумал. Пришлось мириться с большими потерями, но с другой стороны (у меня на ней сделан выход ГСС) неплохая защита от КЗ и статики.

73!

Genadi Zawidowski
12.12.2005, 14:40
Микросхема AD8320 ровно по своим паспортным данным позволяет на своем выходе при нагрузке 50 ом получить уровень +18 dBm - можно прямо на диодные смесители подавать.
Вот именно эта фраза меня заинтересовала, так как и самом согласовывал 8320 с 50 Ом, и ничего лучше аттенюатора для полосы LF-160МГц не придумал. Пришлось мириться с большими потерями, но с другой стороны (у меня на ней сделан выход ГСС) неплохая защита от КЗ и статики.

73!
В даташите оговаривается возможность работы на 50 ом нагрузку (со снижением усиления). 18 дБм я получил как раз без аттенюатора (с аттенюатором до 10 с копейками и все).
Очень приятная для таких мест микросхема. Но выше 0 дБм из коробки синтезатора не хотелось бы выкачивать. И по соображениям наводок и для минимизации искажений.
А для раскачки диодных смесителей подойдет AD8326 - вот уж точно монстр! 1 dB Compression of 25 dBm
Диапазон до 100 мегагерц.

Genadi Zawidowski
14.12.2005, 18:32
Похоже, здсь файлы сохраняются наджнее, чем на компютере...

Genadi Zawidowski
13.03.2006, 17:15
Новая версия. Заложены несколько вариантов питания микросхем ДДС - от кварцевого генератора или с LC-генератора после формирователя. КОгда будет в железе - выложу фото.

Для тех, у кого не установлен P-CAD 2002 на компютере, можно применять просмотрщик, бесплатно скачиваемый с
http://www.altium.com.edges uite.net/pcad2004/PCAD2004SP3Viewer.zi p

В этой версии - некоторые проблемы. Проблемы с наводками с линий SPI интерфейса на кольца ФАПЧ. Пришлось в разрывы сигналов возле ADF4001 вставлять рещзисторы на 300 ом.

В сообщении http://forum.cqham.ru/viewtopic.php?p=1006 30#100630 еще более последняя версия.

RU3GA
13.03.2006, 22:40
Я не заметил контроллера ...
Геннадий , а это все управляется у Вас с помощью компьютера?

Genadi Zawidowski
14.03.2006, 11:58
Я не заметил контроллера ...
Геннадий , а это все управляется у Вас с помощью компьютера?
Настраиваю все это я с компютером.
Мой коллега - Михаил Голубев - написал программу для управления этим синтезатором для микропроцессора AT89C4051 - с валкодером с парафазными выходами, четырьмя кнопками, однострочным ЖКИ и минимальным сервисом на данный момент (расстройка, изменение шага и быстрый переход по частоте - с крупным шагом). Так схема из себя представляет кроме самого 20-ногого микропроцессора пару резисторов, кварц и пара конденсаторов...

Genadi Zawidowski
14.03.2006, 14:02
Список деталей для сборки второго варианта зинтезатора.

Genadi Zawidowski
16.03.2006, 15:09
Преобразования частот. В приемнике «инфрадинного» типа – с преобразованием «наверх» - частота приема образуется следующим образом:

FRX – частота приема (для SSB – частота подавленной несущей)
FLO1 – частота на выходе первого гетеродина
FLO2 – частота на выходе второго гетеродина
FLO3 – частота на выходе третьего гетеродина (BFO)

FRX = FLO1 - FLO2 - FLO3

Поскольку, частота приема задается пользователем, частота второго гетеродина фиксирована (синтезатор не обеспечивает мелкой сетки в этом кольце), а частота третьего гетеродина определяется фильтром основной селекции (должна находится на скате полосы пропускания), выносим все константы в правую часть формулы:


- FLO1 = - FRX – FLO2 – FLO3


FLO1 = FRX + FLO2 + FLO3

Для исключения потери точности при целочисленных вычислениях эта формула несколько преобразуется с учетом того, что набор частот, на которые может быть настроен первый гетеродин, располагается по сетке в R1 раз более крупной (редклй), чем может быть получено на выходе DDS1. Но поскольку тактовая частота DDS не меняется, будем пользоваться «воображаемыми» частотами в R1 раз большими (это потребуется позже):

R1 – коэффициент деления опорной частоты в микросхеме PLL1


(R1 * FLO1) = (R1 * FRX) + (R1 * FLO2) + (R1 * FLO3)

Как известно, формула для преобразования требуемой частоты в управляющее слово для программирования DDS (Frequency Tuning Word, FTW) выглядит следующим образом:


FTW = (требуемая частота * (2**48)) / тактовая частота DDS

Эта формула для 48-битного FTW, как, например, в микросхемах AD9852, AD9956 фирмы Analog Devices. Требуемая частота и тактовая частота DDS должны быть выражены в одинаковых единицах – в единицах герц, десятках герц или с другой требуемой точностью. При программировании DDS вычисленным значением FTW генерируемая частота будет соответствовать требуемой с ошибкой не более чем

тактовая частота DDS / (2**48)),

что для тактовой частоты в 40 мегагерц составляет около 1.42E-7 Гц (0.000000142 Гц).

Итак, после замены частот в формуле на FTW (каждая частота преобразуется к своему FTW) получаем:


(R1 * FTWLO1) = (R1 * FTWRX) + (R1 * FTWLO2) + (R1 *FTWLO3)

Не забываем, это еще не то, что программируется в микросхемы DDS, это числа в формуле.

Получив требуемое значение частоты, на которое требуется настроить первый гетеродин, начинаем вычислять – а что же собственно должна выдавать микросхема DDS в кольце ФАПЧ первого гетеродина и какой коэффициент умножения частоты сравнения нужно запрограммировать в микросхему PLL? Эти числа связанны между собой следующим образом:


FLO1 = (FDDS1 / R1) * N1

R1 – коэффициент деления опорной частоты в микросхеме PLL1
N1 - коэффициент деления стабилизируемой частоты в микросхеме PLL1

Умножим все на R1 (левую и правую часть формулы)


R1 * FLO1 = FDDS1 * N1

Теперь заменим частоты в формуле на соответствующие им FTW:


R1 * FTWLO1 = FTWDDS1 * N1

В схеме имеются ограничения – частота на выходе DDS должна попадать в полосу пропускания фильтра, стоящего на выходе DDS перед микросхемой PLL. Представим эту частоту в тех же единицах, что и остальные частоты в данных расчетах – как FTW. Эта константа (ведь фильтр не настраиваемый) рассчитывается по той же формуле, что и остальные FTW.

FTWEDGE – FTW, представляющее частоту среза фильтра на выходе DDS.


N1 = (R1 * FTWLO1) / FTWEDGE

Получив коэффициент деления стабилизируемой частоты в микросхеме PLL1, можем узнать – что же нужно получить на выходе DDS1:


FTWDDS1 = (R1 * FTWLO1) / N1

Genadi Zawidowski
20.03.2006, 17:20
Вот так выглядит синтезатор перед началом "грязной" части работы - перед запайкой контуров ГУН-ов. ФНЧ тоже еще не запаяны.
Экраны над блоками не припаяны. Трансформаторы на выходе микросхем DDS не установлены.

Большая фотография (2.3 МБ) находится по адресу http://mgs2001.pochtamt.ru/synth_foto/DDS4_Picture%20003.j pg

Genadi Zawidowski
27.03.2006, 11:20
Сборочный чертеж (расположение деталей на ВЕРХНЕЙ стороне платы). несколько деталей расположены на нижней, здесь не показаны.

Глядя на количество закачек - коллеги, это теперь исторический материал! В районе 15-й или 14-й страниц этой темы проект (на двух AD9834) - он стоит того, чтобы повторять или использовать как прототип.

RU3GA
01.04.2006, 15:29
А хватает ли стабильности такого "опорника"?

Genadi Zawidowski
03.04.2006, 12:07
А хватает ли стабильности такого "опорника"?
Стабильность частоты на выходе этого синтезатора определяется стабильностью опорника (без кавычек).
ПО моим наблюдениям, те генераторы, что стоят до 4 долларов, вполне соответствуют документации - и дают 50 ppm, то есть 5 *10 e-5 - то есть отвратительно. Примененный в опытном экземпляре выпаянный из старого мобильника TXS1144M по документации обещает в рабочем диапазоне температур стабильносьть 2.5 ppm (2.5 * 10 e-6), а по старению 1 * 10 e-6. При желании можно подать от внешнего водородного стандарта (четвертый разъем как раз для этого случая предусмотрен). Собственная погрешность аппроксимации требуемой частоты в синтезаторе имеет порядок 1 * 10 e-9, по сему более стабильные чем "Гиацинты" опорники применять нет необходимости. Ну и не забывать, что шумы опоры будут в выходном спектре присутствовать.
С учетом сильного нагрева от оконечников, лучше все-таки применять более качественные генераторы.

RU3GA
04.04.2006, 10:24
ПО моим наблюдениям, те генераторы, что стоят до 4 долларов, вполне соответствуют документации - и дают 50 ppm, то есть 5 *10 e-5 - то есть отвратительно.

Я вот тоже в этом убедился. У меня опорник на 66Мгц --- так при выходной частоте DDS в районе 10 Мгц при прогреве частота уходит на 150 Гц. Потом, правда, стоит достаточно точно +\- 2 Гц
Так что вот ломаю голову какой применить опорник ...

Genadi Zawidowski
05.04.2006, 12:08
Я думаю, не лишним будет письмо выложить...

Прочитал ваши труды над dds синтезаторами - увидел где-то что вы основывались на одной буржуйской схемке в которой сигнал dds фильтруется через ФНЧ а потом ещё и через пьезо или кварцевый фильтр 10.7 мгц - Я хотел попробовать применить подобную схему для кв, но фильтр использовать пьезо на 455 кГц (30кГц полоса) - не могли бы вы мне описать особенности включения фильтра в такой схеме (будет ли смысл вообще его ставить помимо фнч?)- видел в буржуйской статейке до и после такого фильтра широкополосные трансформаторы от minicircuits 1:36 но не понял как они включены - понижают или наоборот повышают сопротивление (Вообще какое выходное сопротивление у dds (например ad9851))? высокое или низкое? Там не ясно что за фильтр применяется - каково его сопротивление? Может не широкополосные трансформаторы применить можно? Или вообще от них отказаться - подключить сразу к фнч на прямую? Может и фнч не обязательно применять?
В схеме после такого фильтра компаратор стоит lt1016 - можно ли его заменить на что ни-будь более доступное ? Или вообще без него сразу на фазовый детектор сигнал с фильтра подавать? С уважением Роман

"буржуйская схема" - http://www.qsl.net/ke5fx/synth.html. Автор - Джон Майлс. Он, в свою очередь, имплементировал классическую схему, патентованную Qualcomm. Ровно такая же схема применена в ICOM-7800. Она же приведена в статье Ульриха Роде на сайте СКР http://www.cqham.ru/ftp3/dw.php?DJ2LR_KCMDR.d jvu.

Сопротивление выхода DDS после симметрирующего трансформатора считаем 50 Ом. Сопротивление моих пъезофильтров - 300 ом, примененных Джоном Майлсом кварцевых - около 4 килоом. Что за фильтр применялся - ясно, там указан точный тип.

Ставить фильтр на столь низкую частоту... дело в том, что LMX2306 по каналу опорной частоты имеет некоторый минимальный коэф. деления, из-за этого частота сравнения упадет слишком низко - и придется еще больше зарезать полосу пропускания ФНЧ - сейчас частота сравнения в кольце первой фапч у меня 10.7 / 27 - оклол 370 килогерц (у айкома - 10.7 / 23). При этом полоса пропускания фильтра при минимальной частоте гетеродина 45 мегагерц не менее 100 килогерц (расчеты требуемой ширины фильтра у Майлса). ФАПЧ без делителя в канале опоры - это ADF4001 и MC145170.
Не путайте - ФНЧ в канале ФАПЧ - его полоса около полутора килогерц и ФНЧ за DDS - он на 13 МГц.

Я у себя заменил выделенные трансформаторы как согласующие элементы пересчитанным ФНЧ (к стати, свой вариант для схемы Майлся я ему выслал и он его выложел на сайте).

Насчет отказа от фильтров за ДДС - я для себя не вижу смысла, так как общеизвестный факт - спектр сигнала на выходе DDS содерхит побочные составляюшие сильно вдали от основного сигнала. Эти систавляющие будут присутствовать НА ТАКОМ ЖЕ расстоянии от выходного сигнала и после колька ФАПЧ. это факт. медицинский. Да, в айкоме 7800 кроме пъезофильртра стоит еще выделенное кольцо фильтра на 10.7 мГц - генератор, ФАПЧ...

Насчет выбора микросхемы - LT1016 - Полный список проверенных типов у Майлса на сайте - а как самое дешевое решение ставим TI OPA27G, AD OP27, AD AD820.
Как дорогое - AD8099, LT1677.

Отказаться от активного фильтра - запросто! А как разогнать напряжение? 5 вольт недостаточно для требуемого перекрытия...

Genadi Zawidowski
09.04.2006, 22:39
Вот, расчитал параметры конденсаторов и катушек для синтезатора на частоты 45..75 мегагерц. Округление к стандартным номиналам сильно не повлияют. Все четыре генератора вгоняются в требуемый диапазон частот при одинаковом изменении напряжения на варикапах - 1.5..7.5 вольт.

Ck - емкость, включаемая паралельно контуру. Уменьшить на 1..3 пикофарады предполагаемой монтажной емкости и впаять ближайшую стандартную.
Cgen - предполагаемая емкость, вносимая в контур емкостной трехточкой транзистора (vco Cx - первый номинал - с базы на контур, второй - конднсаторы с базы на эммитер и с эммитера на ground).
Во всех четырех генераторах применены по четыре варикапа Philips BB133. Применение других, например BB134 (с меньшим перекрытием), тоже возможно, но потребует перерасчета. BB134 будут стоять "гроздями" по десять штук, что, возможно, даже лучше с точки зрения шумов - классики говорят, что удвоение количества варикапов синжает спектральную плотность шумов в sqrt(2).

Расчеты показали, что источник отрицательного напряжения не нужен, активный фильтр можно питать от 9 вольтового стабилизатора.

!Kpfd = 1.330000 mA
!Fc = 2.030000 kHz
!fcomp = 0.465217 MHz (R1=23)

Fout[1] = 47.967116 MHz (45.000000 MHz - 51.129871 MHz)
Kvco = 1.021645 MHz/V
C93 uF = 0.217211
C100 uF = 0.023662
R53 OHm = 847.926683
R54 OHm = 455.992290, C101 uF = 0.022000
vco Cx pF: ~ 33.2, 66.4
CRatio = 1.290994, Lk = 0.156640 mkHn, Ck = 16.676842 pF (Cgen assumed 16.590004 pF), 4 * BB133
VRange = 6.000000 Volt
test: fmin = 45.000000, fmax = 51.129871

Fout[2] = 54.501166 MHz (51.129871 MHz - 58.094750 MHz)
Kvco = 1.160813 MHz/V
C93 uF = 0.217211
C100 uF = 0.023662
R53 OHm = 847.926683
R54 OHm = 455.992290, C101 uF = 0.022000
vco Cx pF: ~ 29.2, 58.4
CRatio = 1.290994, Lk = 0.121333 mkHn, Ck = 20.654736 pF (Cgen assumed 14.601057 pF), 4 * BB133
VRange = 6.000000 Volt
test: fmin = 51.129871, fmax = 58.094750

Fout[3] = 61.925280 MHz (58.094750 MHz - 66.008380 MHz)
Kvco = 1.318938 MHz/V
C93 uF = 0.217211
C100 uF = 0.023662
R53 OHm = 847.926683
R54 OHm = 455.992290, C101 uF = 0.022000
vco Cx pF: ~ 25.7, 51.4
CRatio = 1.290994, Lk = 0.093984 mkHn, Ck = 24.155726 pF (Cgen assumed 12.850562 pF), 4 * BB133
VRange = 6.000000 Volt
test: fmin = 58.094750, fmax = 66.008380

Fout[4] = 70.360703 MHz (66.008380 MHz - 75.000000 MHz)
Kvco = 1.498603 MHz/V
C93 uF = 0.217211
C100 uF = 0.023662
R53 OHm = 847.926683
R54 OHm = 455.992290, C101 uF = 0.022000
vco Cx pF: ~ 22.6, 45.2
CRatio = 1.290994, Lk = 0.072800 mkHn, Ck = 27.236989 pF (Cgen assumed 11.309930 pF), 4 * BB133
VRange = 6.000000 Volt
test: fmin = 66.008380, fmax = 75.000000

Genadi Zawidowski
02.05.2006, 11:31
Некоторе время назад мне пришлось выбирать типы деталей для своего синтезатора и я в качестве стабилизаторов для charge pump использовал MC78L05D производства ON SEMICONDUCTOR. По документам эта микросхема гарантирует в пять раз меньший уровень шумов на выходе (40 микровольт), чем 78L05 от других производителей - (например, распространенный в наших магазинах SGS - около 250 микровольт).
Монтаж и обвязка у меня в конструкции - 10 мкФ + 0.1 мкФ на входе и выходе, режим - на входе 12 вольт, нагрузка около 1 мА.

Итак, синтезатор с ADF4001 + LT1622, питание 9 вольт. Частота выхода 52.4 МГц, частота сравнения около 465 кГц (10.7 МГц деленные на 23).

Шума я не заметил... но на расстоянии около 3 кГц получил пару боковых с уровнем 60 dBc. В попытках понять, что это - как обычно, подавалась опорное с отдельного генератора, уменьшался ток charge pump до 0.6 мА вместо расчетных 1.88 мА (исчезновене "ушей Бэтмена" на частоте среза ФНЧ было замечено). Ничего не убирало эти боковые. При этом, второе кольцо - 45.5 МГц - давало отличный сигнал без таких артефактов.
Когда все было попробовано, подал вместо 5 вольт на charge pump 3.3 с выхода ADP3330 - и все стало нормально!
Итак, мне попался тот самый редкий экземпляр - поющий стабилизатор. Отличается слегка увеличенным напряжением (5.2 вольта вместо 5).

Резюме: они встречаются даже у Мотороллы. Или, уровень этого возбуда укладывается в допустимые по .pdf границы для данного типа микросхемы (40 микровольт)?
Ну, если с питанием выхода фазового детектора проблема решается, то что тогда делать со стабилизаторами ГУНов? MC78L09D теперь мне не кажутся столь подходящими, как и раньше...

AleGZ
02.05.2006, 12:13
Ну, если с питанием выхода фазового детектора проблема решается, то что тогда делать со стабилизаторами ГУНов? MC78L09D теперь мне не кажутся столь подходящими, как и раньше...
A если стабилизаторы на "рассыпухе", которые мы в теме по FST3125 обсуждали? Пробовали? http://forum.cqham.ru/viewtopic.php?t=4213&postdays=0&postorder=asc&&start=408 и http://forum.cqham.ru/viewtopic.php?t=4213&postdays=0&postorder=asc&&start=416

Genadi Zawidowski
02.05.2006, 12:36
Попробую собрать схему с использованием IRF7204 - если пойдет - в следующую версию заложу стабилизаторы на них.

Genadi Zawidowski
04.05.2006, 12:56
Итак, мерялись четыре стабилизатора
1,2) С обратной связью на IRF7104 (9 и 5 вольт)
2) Эммитерник - на 5 вольт - BC846B + BZX84C5V6 + три электролита на 10 мкФ + резистор на 1 кОм
3) MC78L08 + 2 электролита на 10 мкФ + 2 керамики по 0.1

Везде нагрузка - резисторы по 300 Ом.
Питание подавалось со свинцового аккумулятора 12 вольт.
Измерения производились прибором В3-39.

1,2 - 50 мкВ
2 - 200 мкВ
3 - 50 мкВ (в пределах паспортных, но это был не "поющий" экземпляр).
Подавление пульсаций не мерялось.

Genadi Zawidowski
05.06.2006, 17:23
Итак, мерялись четыре стабилизатора
1,2) С обратной связью на IRF7104 (9 и 5 вольт)
2) Эммитерник - на 5 вольт - BC846B + BZX84C5V6 + три электролита на 10 мкФ + резистор на 1 кОм
3) MC78L08 + 2 электролита на 10 мкФ + 2 керамики по 0.1

Везде нагрузка - резисторы по 300 Ом.
Питание подавалось со свинцового аккумулятора 12 вольт.
Измерения производились прибором В3-39 (или В3-38, сейчас не помню).

1,2 - 50 мкВ
2 - 200 мкВ
3 - 50 мкВ (в пределах паспортных, но это был не "поющий" экземпляр).
Подавление пульсаций не мерялось.
Результаты были вообще-то сомнительные, вчера удалось в спокойной обстановке на собственном рабочем столе померять шумы (переменную составляющую выходного напряжения стабилизаторов).
Без поданного напряжения на вход стабилизаторов прибор показывал что-то около 10 мкВ. При поданном - на выходе дискретных стабилизаторов показания не изменялись.
На выходе MC78L08 - В среднем стрелка показывала около 25 мкВ, раз в секунду-две двигаясь до 50-70 мкВ.
Все, завязываю с применением 78* в таких местах... :!:

CO2040
07.06.2006, 18:02
На выходе MC78L08 - В среднем стрелка показывала около 25 мкВ, раз в секунду-две двигаясь до 50-70 мкВ.
Все, завязываю с применением 78* в таких местах... :!:

А чем плохо-то ? По-моему на уровне варианта "1,2". А подергивания - это скорее что-то извне просачивалось, и наверняка уже со стороны самого измерительного прибора.
Вообще я во всех синтезаторах, трактах ПЧ, питание ГУНов - везде ставлю только 78Lxx, в том числе и наши аналоги серии КР1157. По шумам они работают лучше чем такая вещь как LM2931. За низкошумящими стабилизаторами давно уже не гоняюсь. Смысла нет. Помню достал ADP3303, когда собрал на макете его, то может шумов и не было, зато генерил от 5 до 0.3 Гц, как бы я не переносил и не подпаивал конденсаторы, и не протаскивал земляную шину. Нет, такого чуда больше не нужно. К тому же смысл что-то улучшать по шумам у стабилизатора ? Проще посмотреть спектр этих шумов. Обычно это шум НЧ и все кончается выше 70 кГц, так он мало влияет.

Genadi Zawidowski
07.06.2006, 18:32
С вариантом 1 и 2 подергиваний нет. Как нет подергиваний и без питания.
20 микровольт - это наводка, с заглушкой честный ноль.
Ну да, десятигерцовые колебания петля зафильтрует...
Ну а как я на "поющую" микросхему попал - это же что, входным контролем заниматься?
78 это не LDO, как LM2931 или ADP3303. ADP3330 я применяю... по паспорту все те же 100 микровольт.

А рассыпушный стабилизатор поставить - оно не накладно, по площади то же самое что и 78L в soic8 - их же два на одном irf7104 получается.
Правда, хуже подавление входных пульсаций, ну так все равно от бортовой сети напрямую питать не придется...

Илья RW3FY
07.06.2006, 22:54
Проще посмотреть спектр этих шумов. Обычно это шум НЧ и все кончается выше 70 кГц, так он мало влияет.
Влияет, и сильно. Он модулирует сигнал опоры, да и ГУНа, если полоса петли не мегагерц, итог --- ощутимый прирост фазовых шумов.

73!

Илья RW3FY
07.06.2006, 23:10
То Genadi Zawidowski:

Воющая, поющая и подёргивающаяся 78 серия --- аномалия, такие микрухи есть чистейшей воды брак, их надо выкидывать. Скорей всего, м/с была не буржуинская, а слепленная или нашими желтолицыми братьями, или где-нибудь у нас, что есть сплошь и рядом --- маркировочку поменяли на вражью, а по сути та же 142 серия.

Ещё по стабилизаторам --- стабилизаторы "low drop" более склонны к шумам и гудежу, особенно старых серий. Если падение напряжения на регулирующем транзисторе не есть момент особо критичный, то лучше ставить "обычные", с минимальным падением 2...3В. А малошумящий "low drop" на рассыпухе используется у меня во многих местах, если надо, схему скину. К-т стабилизации у него ломовой, в отличие от схем на 2-3 транзисторах. Хотя в наше время стабилизатор на рассыпухе --- анахронизм, IMHO если хорошо поискать, можно найти и интегральный нормальный.

Хороший результат для уменьшения шумов в цепях питания даёт применение транзисторного фильтра (транзистор эмиттерным повторителем, в базе делитель напряжения и пара емкостей (керамика и электролит)). "Нижний" резистор делителя можно заменить стабилитроном или набором прямосмещённых диодов, получается простейший и, как показывает практика, самый малошумящий стабилизатор. Как основной и единственный не годится, но как дополнительный фильтр после основного стабилизатора --- рекомендую. Ставить транзисторные фильтры в цепи питания ГУНов рекомендует и Mini-Circuits.

73!

Genadi Zawidowski
08.06.2006, 11:58
То Genadi Zawidowski:

Воющая, поющая и подёргивающаяся 78 серия --- аномалия, такие микрухи есть чистейшей воды брак, их надо выкидывать. Скорей всего, м/с была не буржуинская, а слепленная или нашими желтолицыми братьями, или где-нибудь у нас, что есть сплошь и рядом --- маркировочку поменяли на вражью, а по сути та же 142 серия.

Ну уж как проверить-то... Хотя 5.3 вольта на выходе наводят на размышления... Маркировка во всех деталях соответствует даташиту мотороловскому...


А малошумящий "low drop" на рассыпухе используется у меня во многих местах, если надо, схему скину. К-т стабилизации у него ломовой, в отличие от схем на 2-3 транзисторах.
Кидайте, если можно - в виде картинки сюда. Пока новый вариант не запустил в работу, можно и поменять все...

Илья RW3FY
08.06.2006, 12:51
Ну уж как проверить-то... Хотя 5.3 вольта на выходе наводят на размышления... Маркировка во всех деталях соответствует даташиту мотороловскому...
Обычно осциллоскопом такие дела видно. Я всегда проверяю, хотя гудят они крайне редко --- не чаще, чем попадается брак среди каких-либо других элементов. Напряжение чуть выше, наверно, из-за того, что постоянная составляющая гудежа складывается с выходным напряжением.



Кидайте, если можно - в виде картинки сюда. Пока новый вариант не запустил в работу, можно и поменять все...
Кидаю. Схема рассчитана на выдачу +8.4В, как переделать под любое другое напряжение --- сообразите, там специально в делителе номиналы резисторов "удобные" для пересчёта выбраны.

73!

Genadi Zawidowski
08.06.2006, 14:25
Спасибо... ну с операционником в обратной связи оно будет К-т стабилизации у него ломовой. Спасибо.

Вот мне другое интересно - схема, на которую меня в начале послали в этой теме - с полевиком проходным - у этих транзисторов полевых начальный ток меньше милиампера, схема без тычка по затвору не включалась... как эти проблемы опытные люди решают?

Илья RW3FY
08.06.2006, 15:11
Спасибо... ну с операционником в обратной связи оно будет К-т стабилизации у него ломовой. Спасибо.
Не всегда, в ряде попадавшихся мне схем на эту тему с ОУ при росте напряжения на входе стабилизатора и малом токе нагрузки выходное напряжение начинает расти из-за того, что на базе регулирующего транзистора не хватало запирающего напряжения. Фишка конкретно этой схемы в том, что возможности управления регулирующим транзистором использованы на 100%, держит повышение на входе, не выходя из режима стабилизации, вплоть до пробоя элементов.


Вот мне другое интересно - схема, на которую меня в начале послали в этой теме - с полевиком проходным - у этих транзисторов полевых начальный ток меньше милиампера, схема без тычка по затвору не включалась... как эти проблемы опытные люди решают?

Запуск посредством начального тока либо "запускающих" R или С --- неправильно, схема при этом неизбежно будет капризна в отношении нагрузки, на емкостную нагрузку такое вообще плохо заводится. Чтоб запуск был устойчивый, надо, чтоб элементы, управляющие "силовым" транзистором, питались не от выходного напряжения стабилизатора, а от входного --- т.е. в начальный момент времени (при нуле на выходе стабилизатора) должно обеспечиваться открывающее регулирующий транзистор напряжение.

73!

Genadi Zawidowski
08.06.2006, 15:26
Можно ли считать, что мой вариант не имеет этих проблем (резистор база-коллектор)?

А про решение проблем - я имел в виду опубликованную схему UR6EJ http://forum.cqham.ru/download.php?id=2751 , что не запускается без пинка. Публиковалось в сообщении http://forum.cqham.ru/viewtopic.php?p=5440 7#54407

Илья RW3FY
08.06.2006, 15:58
Можно kb считать, что мой вариант не имеет этих проблем (резистор база-коллектор)?

А при этом транзистор не умеет сильно закрываться, от чего к-т стабилизации страдает, так что тут другой минус.

Я бы сделал не так. Поставил бы дифкаскад, один коллектор в затвор регулирующего транзистора, другой --- на выход (к стоку). В базу того, что коллектором в затвор --- опорный источник, запитанный со входа стабилизатора. В базу другого --- сигнал ошибки с делителя. В зависимости от соотношения напряжений опоры и ошибки регулирующий транзистор может при этом быть как открыт до упора, так и наглухо заперт --- т.е. диапазон регулировки используется весь.

73!

Genadi Zawidowski
04.07.2006, 13:21
Просто еще одно исполнение (внизу - ГК87 на 100 МГц)...

В процессе повторения выяснилось -
эммитерные повторители после ГУНов выкидываются и заменябтся 300-18-300 Ом аттенюаторами.

AD8099 при использовании в качестве усилителя в первом кольце самовозбуждается... Так что пока подтвержденные типы микросхем -
TI OPA27G
AD AD820
AD OP27
LT LT1677

Genadi Zawidowski
28.09.2006, 20:02
Пока без подробностей... Меньше размеры, другие микросхемы, учтены проблемы предидущей версии... Ушло в производство, будут платы - расскажу дальше.
Питание - 9 вольт, отказался я от 78Lxx в стабилизаторах, попробую питать DDS 12.8 МГц (для получения 10.7 и 0.455). Использованы результаты моей борьбы с наводками на PLL от управляющих линий трехпроводного интерфейса.

Аттачменты убраны, более новая версия (уже проверенная, на данный момент) выложена в постинге

http://forum.cqham.ru/viewtopic.php?p=1006 30#100630

Genadi Zawidowski
03.10.2006, 14:30
С трудом нашел документ на довольно распространенный термокомпенсированны й генератор.
Чтобы не потерять опять, выкладываю тут.
Последние следы файла (но не сам файл) замечены на страничке производителя
http://www.tew.co.jp/crystal/co/e_04.html

Genadi Zawidowski
06.10.2006, 14:53
Парочка фотографий незаконченной сборки платы синтезатора новой версии.

Genadi Zawidowski
06.10.2006, 14:56
Один из ГУН-ов предыдущей версии синтезатора.
Диапазон частот - 66-75 МГц (Philips BFR93A @15 мА), перестраивается напряжением от 1.5 до 7.5 вольт (два варикапа Philips BB133). В качестве каркаса использован поршень от двухмилилитрового шприца. Идея заплавить его пришла попозже, особой необходимости в этом нет. Достаточно прогреть выводы катушки - и провод проплавляет ребра каркаса и фиксируется.

Второй гетеродин, 44.5 МГц. Раньше здесь тоже использовался поршень от шприца. На выводах микросхемы ФАПЧ виды резисторы (300 ом) - защита от срывов (и вообще от паразитных флюктуаций частоты) при управлении другими микросхемами на плате синтезатора.

Genadi Zawidowski
06.10.2006, 17:31
А вообще, усилитель хороший - и легко применять и искажения малы, и мощный), но для моих применений несколько избыточен. При 12 вольтах питания греется весьма сильно, помогает радиатор (вроде того, что на снимке).

Genadi Zawidowski
07.10.2006, 15:31
Сохранил состояние тестовой программы, с которой отлаживается синтезатор на столе.

Genadi Zawidowski
07.10.2006, 18:12
Коллеги, кто-нибудь знает импеданс воода REF IN (опорная) микросхемы ADF4001 на частоте 10.7 МГц? Хочу разогнать до предела напряжение при работе DDS на image при тактовой частоте 12.8 МГц. Я предположил порядка 2 килоом.

Ну и до кучи - прав ли я в предположении, что вход RF IN микросхем LMX2306..LMX2326 под 50 Ом подогнан? И не требуется шунтировать, как с ADF4*

Genadi Zawidowski
08.10.2006, 13:58
А вот так заморские коллеги (KE5FX) макетируют синтезаторы...

08.10.2006, 15:11
импенданс цепи можно найти соорудив ВЧ мост потипу того что в антенноскопах стоит
подал туда с генератора да резюком отбалансировал измерил сопротивление (активную составляющую) не думаю что реактивная составляющая у 4001 большая :)

08.10.2006, 15:20
вот мой скромный макетик attiny2313+adf4116
пробывал LMX2326 разницы не заметил особой

Genadi Zawidowski
17.10.2006, 13:02
импенданс цепи можно найти соорудив ВЧ мост потипу того что в антенноскопах стоит
подал туда с генератора да резюком отбалансировал измерил сопротивление (активную составляющую) не думаю что реактивная составляющая у 4001 большая :)

Короче, получилось - на коллектор усилителя 3.9 пФ, потом паралельно на землю 22 мкГн.

17.10.2006, 13:20
а зачем ФНЧ такого порядка на входе фильтра?

Genadi Zawidowski
17.10.2006, 13:37
а зачем ФНЧ такого порядка на входе фильтра?
1 - согласование пъезокерамики с выходом dds
2 - так как делается на готовых миниатюрных индуктивностях (см. фото) - при высоком порядке меньше влияние разброса.

при тактовой частоте dds 12.8 MHz фильтрующие свойства этого фильтра не сильно важны. Главное - согласование (я выделяю image, который при моем раскладе на 10 дБ ниже уровня основной частоты с выхода dds (225 mV @ 2.1 MHz).
--------
Позже выяснилось, что не согласует (ошибка в расчетах). В новых версиях применен полосовой фильтр на трех стандартных индуктивностях - Т-образная схема.

CO2040
17.10.2006, 14:45
Коллеги, кто-нибудь знает импеданс воода REF IN (опорная) микросхемы ADF4001 на частоте 10.7 МГц? Хочу разогнать до предела напряжение при работе DDS на image при тактовой частоте 12.8 МГц. Я предположил порядка 2 килоом.

Ну и до кучи - прав ли я в предположении, что вход RF IN микросхем LMX2306..LMX2326 под 50 Ом подогнан? И не требуется шунтировать, как с ADF4*

Я делаю в таких случаях следующим образом : привожу входное сопротивление к низкому (вроде 50 Ом) банальным шунтированием. И вообще все стараюсь в канале "опоры" свести к малым импедансам - меньше помех наводится. Чем мне не нравятся ADF супротив других микросхем (ну хотя бы наших КФ1015ПЛ4 ) ? Да хотя бы тем, что они имеют ужасно ТУПОЙ вход, особенно в канале опорной частоты. И ниже 5 МГц им нужен по входу меандр с ТТЛ-уровнем и крутыми фронтами. А синус можно подавать выше 5 МГц и то с офигительной амплитудой - около -5dBm. Ясно, что когда имеем выход AD9951 да еще пьезофильтры + согласующие цепи, тоже обладающие немалыми потерями, то такого уровня не получим. А -5dBm - это минимальный уровень, работать на котором вообще-то не рекомендуется. Тут работает правило : чем больше - тем лучше.
В итоге я "разгонял" свой опорный сигнал на плате DDS усилителем, а на входе блока PLL поставил лишь примитивный усилитель в канале опорной частоты, выполненный по схеме с общей базой и имеющий низкое входное сопротивление.

Genadi Zawidowski
17.10.2006, 16:26
Главное - согласование (я выделяю image, который при моем раскладе на 10 дБ ниже уровня основной частоты с выхода dds (225 mV @ 2.1 MHz).
Это уровни на выходе ддс.
Если я работаю не на image, а на основной частоте - уровень сигнала на ref in у меня мерялся около 1.5 вольта. Я исхожу из того, что на несогласованном уровень image 10.7 был где-то 0.3 вольта, на согласованном я должен получить около 0.5 вольта, что мне и показал высокоомный показометр - двухкратное превышение размаха 10.7 МГц по сравнению с тем, что 2.1 МГц на входе ФНЧ развивает (0.25 вольта)

Genadi Zawidowski
12.11.2006, 20:41
Управляющая программа для синтезатора последней версии.
Два перерывания - вверх-вниз от валкодера (внешняя схема формирования импульсов). Один порт - целиком на управлене платой. Другой порт - индикатор МЭЛТ.

Проект под AVR Studio 4.0. Требуется WINAVR gcc.

Новая версия выложена позже
http://forum.cqham.ru/viewtopic.php?p=1201 66#120166http://forum.cqham.ru/viewtopic.php?p=1201 66#120166

Genadi Zawidowski
12.11.2006, 20:48
Текущее состояние управляющей программы. Добавлена поддержка новых для меня чипов - AD9834.

Genadi Zawidowski
16.11.2006, 15:40
В замен той, что лежала в одном из предидущих постов в этой теме.

Аттачменты в постинге http://forum.cqham.ru/viewtopic.php?p=9185 9#91859 убраны.

Проверенно... работает.
В качестве RFCHOKEв цепях питания использованы изделия Murata BLM21PG331 (0805).
Эти же детали стоят в цепи базы ГУНов, работая ferrite bead.

Дроссели в цепях питания и резонансная цепь в канале опоры первого гетеродина - индуктивности TDK NL322522T (1210).

Номиналы в цепях ГУН, ФАПЧ и ФНЧ - для частот первого гетеродина 45..75 МГц, второго - 44.5 (частота сравнения 100 кГц), третьего - до 2 МГц.
Желательно использование опорного генератора на 12.8 МГц

Расчеты на другие диапазоны частот - буду делать, если надо.

Genadi Zawidowski
06.12.2006, 13:44
Померял ток, потребляемый несколькими версиями синтезатора -

Ток без учета потребления буферных усилителей

PLL1 BFO
AD9834 + AD9834: 75 mA
AD9951 + AD9834: 140 mA
AD9852 + AD9834: 140 mA

Усилители:
3 * AD8320 (up to 12 dBm output) - 330 mA
3 * MSA-1105 (up to 10 dBm output) - 150 mA
3 * MAR-2SM (up to 0 dBm output) - 75 mA

Уровни на выходе - после сплиттера, на каждом из двух 50 Ом выходах. На один канал выход может быть в на 6 дБ больше.

Если, например, необходимо питать SA612 - ей требуется до 300 мВ напрядение гетеродина. Поставив перед ней 51 Ом резистор, 0 дБм дает около 220 миливольт. То есть, если требуется такой маленький уровень, можно применить и усилители MAR-1SM с током 17 мА на каждый.
То есть. суммарный ток, требуемый для синтезатора (три гетеродина) с шагом 10 Гц в самом минимальном варианте -

AD9834 + AD9834: 75 mA
MAR-1SM: 17 mA * 3 = 51 mA
Итого: до 130 мА (без контроллера и индикатора, на них -- около 10-15 мА).

Все питание - 9 Вольт.

RK4FB
19.01.2007, 23:17
В замен той, что лежала в одном из предидущих постов в этой теме.

Аттачменты в постинге http://forum.cqham.ru/viewtopic.php?p=9185 9#91859 убраны.



Блин, скачал тутошние аттачменты, а PCAD2001 PCB не открывает, говорит ошибка... :cry:

Посему нель зя ли выложить то же самое, но сохранив для совместимости в более старой версии PCAD?

Genadi Zawidowski
20.01.2007, 00:23
В п-кад 2004 есть опция сохранения в асции формате п-кад 2002... Устроит?
:)
А серьезно - могу посоветовать скачать или въювер - он универсальный - или как доберусь до оффисного компа - адрес, где скачать п-кад 2004 или 2006 (2002 мне достался на компакте...)

RK4FB
20.01.2007, 00:37
В п-кад 2004 есть опция сохранения в асции формате п-кад 2002... Устроит?
:)
А серьезно - могу посоветовать скачать или въювер - он универсальный - или как доберусь до оффисного компа - адрес, где скачать п-кад 2004 или 2006 (2002 мне достался на компакте...)
Не не утруждайтесь! У меня только 2001 PCAD... А viewer ссылочку плс ...

Genadi Zawidowski
20.01.2007, 00:59
http://www.altium.com.edges uite.net/pcad2004/PCAD2004SP3Viewer.zi p

Genadi Zawidowski
20.01.2007, 11:56
Плата - возможна установка ad9834 или ad9852.
Устранены причины наводок на опорник со стороны управляющих сигналов (усилитель тпеперь стоит рядом с опорником). Возможна установка нерегулируемых усилителей. В этом случае требуются дросели последовательно с токозадающими резисторами усилителей.

RK4FB
20.01.2007, 12:41
Геннадий, я дико извиняюсь, но я скачал схему и плату синтеза DDS6S.zip и в недоумении... На схеме только фрагменты синтеза. А плата, как я понимаю, практически готова к производству... Как бы взглянуть на схему целиком???

Genadi Zawidowski
20.01.2007, 13:42
Схема раскиданны по нескольким листам... Посмотрите, как переключаются листы. Разведенная плата уже полтора месяца назад сделана (теперь можно заказыать столько, сколько надо и не оплачивать повторно подготовку к производству).

RK4FB
20.01.2007, 14:07
Схема раскиданны по нескольким листам... Посмотрите, как переключаются листы. Разведенная плата уже полтора месяца назад сделана (теперь можно заказыать столько, сколько надо и не оплачивать повторно подготовку к производству).
Спасибо! Начал осваиваться с ПиКадом :D
Посмотрел всю схему. Я может и не прав, но понял что схема имеет вариации сборки? Так ли это?

Genadi Zawidowski
20.01.2007, 15:00
Да, имеет - усилииели, ддс, обход усилителе опорника если используется ТТЛ генератор, обход формирователя.

Genadi Zawidowski
30.01.2007, 21:20
Итак, первый раз попробовал в реальной работе экземпляр синтезатора (-102 dBc/Hz @10 kHz - 65 MHz).
На слух ухудшения параметров того приемника, что стоит под синтезаторм, не обнаружилось. Слепые тесты не показали разницы (40 meter band, ground plane 10 meters, пригород Санкт-Петербурга).
При перестройке по диапазону, естествнно, исчезли "щелчки" на каждом 10-м килогерце.

Рассказы про программы (алгоритмы) и обзор по истории этой разработки - здесь
http://forum.cqham.ru/viewtopic.php?p=1172 65#117265


Геннадий , я за Вашими разработками следил краем глаза , но не слишком внимательно . Если можно , в двух словах --- а почему Вам потребовалось именно применение 9852 --- т.е в каком месте Вам не хватило точности установки частоты , коию дают 32-битные DDS ?

Точность не являлась критерием...
Я начал эту разработку с программ, с придумывания алгоритма для синтезатора с фильтром за DDS. На начальных этапах стало понятно - что с 32-бит DDS точность будет около сотых долей герца. Если нужно будет для метрологии аппарат - тогда можно и о 48 бит подумать.

В то время и попадались все любительские конструкции на 9852 (много из было...). Орионовский синтезатор был со схемой - и там 9852 стоял... 10-битные не применял - хотел _супер_ аппарат сделать...

Выяснилось теперь, что с ГУН проблем больше чем с DDS.

Интересовал чип с шагом ножек побольше и более чем 10 бит ЦАП. У AD9852 - 12 битный ЦАП. В существующих программах для AD9852 он используется как 32-битный DDS (младшие 16 бит нулями пишутся) - что, между прочим, уменьшает количество спуров по сравнению с 48 битами. (количество отбрасываемых ненулевых битов влияет, если верить теории).

Сейчас есть версия синтезатора на AD9834 (10 bit DAC, 28 bit phase). С ней точность установки частоты около полугерца - при шаге 10 герц в самый раз.


С AD9852 начиналось, сейчас есть и на AD9951 (AD9856), AD9834, новый на AD9852, делал макеты на AD9857 и на функциональных генераторах (с которыми щелчки неустранимы)... Короче, на всем, что шевелится. Некоторое ранжирование выглядит так -
PLL1______BFO
AD9852___AD9852 - монстр, больше такое не повторится.
AD9951___AD9951 - теоретически можно получить лучше всего сигналы. 9951 меняется на 9856 - 10 бит ЦАП - подешевле...
AD9852___AD9834 - если надо ТОЧНОСТЬ частоты приема
AD9834___AD9834 - это малопотребляющий и дешевый вариант с 10 герц сеткой.
AD9951___AD9834 - такого пока нет, скорее всего и не будет. Пока не решу проблемы с ГУН (с шумами), пока новых плат делать не буду (не планирую). С трактом приема/передачи и оконечником еще дел невпроворот.

Genadi Zawidowski
20.02.2007, 13:28
Очередное улучшение... Управляющая программа для синтезатора - с запоминанием рабочей частоты. После включения устанавливаемся туда, где были в момент выключения. Используется RAMTRON FM25L04 (4 килобита).

Обновлено

Управление приемником - переключение фильтров, управление аттенюатором. Добавлено управление индикатором 1602

RU3GA
20.02.2007, 20:08
А RX3AKT оказывается является неким "катализатором" . Типа , сказал --- "а вам слабо?" :)
Самое любопытное --- функция эта весьма сомнительна , а при нормальной реализации требует дополнительного железа.
Применение же ее --- весьма спорно :)
Ну нафиг мне возвращаться на ту частоту , где я выключил трансивер???
Вот при бегании по диапазонам --- это важно! Услышал я станцию --- она пока связь проводит , а я быстренько пробежался по бэндам, поглядел проход и вернулся на ту же частоту :)
Вот это необходимо и это реализовано.
А при выключении --- какая нафиг разница?
Вернуться на диапазон при включении --- вот это надо! Ну , бывает , что у человека одна антенна на один диапазон --- и глупо каждый раз добираться до нужного бенда. И это опять же почти у всех реализовано.
А зачем возвращаться на частоту , где я провел связь с неким джентельменом и выключил трансивер?
А просто так добавить чип и код , ради простых приколов?
Не понимаю :) Затраты не покрывают полученного сервиса.

Исходя из таких приколов -- можно продолжить :)
Если бы Королев поставил задачу , что бы Гагарин вернулся из космоса не на парашюте , а в управляемом корабле , то первый полет Гагарина (а вернее уже кого-то другого) был бы в 88 году на "Буране" :)
Целесообразность --- один из критериев!
Разубедите, коли сможете :)

PS Кстати , Геннадий , если не секрет , расскажите об алгоритме реализации этой фишки. Я практически уверен , что новая бесполезная функция пошла во вред быстродействию ранее написанных базовых функций.