PDA

Просмотр полной версии : Полностью цифровой приемник.



Страницы : [1] 2 3

Sergey_gh
21.08.2007, 21:18
Планирую изготовить кв приемник по следующей схеме:

1. ФНЧ 9-12 порядка с частотой среза 30 МГц.
2. УВЧ - драйвер АЦП на двух OPA847 (по схеме аналогичной приведенной на 1 стр. datasheet на этот ОУ).
3. АЦП AD9236 (80 MSPS, SNR 70.4 dBc, SFDR 87.8 dBc).
4. ПЛИС EP2C5T144C8 (4608 LEs, 119808 Kbits, 13 multipliers 18x18 bit).
5. УНЧ SSM2211 (1 W, 0.2%).
6. Кварцевый генератор 80 МГц.
7. LDO iru1205 на 1.2 и 3.3 В, конфиг. flash M25P40, charge-pump voltage inverter LM2662, AD8039 - фнч dither.

Далее ядра внутри ПЛИС (приёмник по фазофильтровому принципу):

1. Квадратурный DDS синтезатор (на центральную частоту принимаемого спектра сигнала).
2. Комплексный умножитель (перенос спектра на 0-ую частоту, получение аналитического I,Q сигнала).
3. I,Q CIC фильтры 3-ей степени - децимация.
4. I,Q FIR фильтры (срез 1350 Гц).
5. АРУ (сжатие динамического диапазона) прямого действия.
6. Квадратурный DDS синтезатор (на 1500 Гц).
7. Комплексный умножитель (сдвиг спектра и получение реального SSB сигнала).
8. ШИМ контроллер (получение НЧ сигнала и в сочетании с внешней матрицей r2r - электронная регулировка громкости).
9. Псевдослучайный генератор dither сигнала.
10. RISC контроллер. (управляющая программа и интерфейс пользователя).
11. RS232.
12. Интерфейсы клавиатуры, валкодеров, ЖКИ.

По предварительным оценкам всё вмещается в ПЛИС с запасом.

Ожидаемые параметры:
Диапазон 0.1 - 30 МГц (1 - 30 МГц при включении dither-а).
Кш = ~12 dB.
ДД по блокированию (полоса 2700 Гц) = ~110 dB.
ДД по интермодуляции = ~90 dB.
Коэф. прямоугольности фильтра по -1 dB, -90 dB (FIR фильтры 1000-ого порядка) = ~1.2.
Подавление соседнего и побочных в 1 зоне найквиста = >90 dB.
Подавление "зеркального" 2 зоны найквиста = >70 dB.

Питание приемника 5 В, потребление при нулевой громкости ~250 мА, плата размером чуть больше пачки сигарет.

Хотелось бы обсудить всё это. Почему так никто (или почти никто) не делает? По-моему параметры не провальные.
Цена деталей меньше цены хорошего кварцевого фильтра. Где грабли?

vadim_d
21.08.2007, 21:34
Где грабли?
Реальный ДД не может быть выше SFDR АЦП.

Sergey_gh
21.08.2007, 21:42
Может. См. AN-410 от AD.

Tadas
21.08.2007, 21:56
12-бит конечно маловато, но в качестве "пробы пера" - почему бы и нет ? Но для чуточку серъезного аппарата придется подождать появления АЦП по крайней мере 24-х бит/100 MSPS.
Будет интересно следить за процессом разработки.
Когда-то, на старом форуме QRZ.RU эта тема довольно активно обсуждалась. Там помню, выкристализировались два мнения:

1. пока рано, не на чем делать.
2. этого вообще никому не надо, ЦОС надо делать на ПЧ.

К стати, если найти достаточно точный и быстрый выходной ЦАП, то на этой базе вполне можно сделать приличный фазовый SSB возбудитель.

Sergey_gh
21.08.2007, 22:08
Последний параметр на который нужно смотреть у АЦП - это количество бит :rotate: . Ждать нужно лучших динамических и шумовых параметров. А чем они плохи у предлагаемого дешевого АЦП?

Передатчик не проблема. С ним проще.

EU1ME
21.08.2007, 22:12
К стати, если найти достаточно точный и быстрый выходной ЦАП, то на этой базе вполне можно сделать приличный фазовый SSB возбудитель.

И искать не надо - AD9856 9857 9957

Sergey_gh
21.08.2007, 22:29
И искать не надо - AD9856 9857 9957

Зачем эти дорогие жрущие монстры. AD9706. Остальное в ПЛИС.

NOP
21.08.2007, 22:35
Удачи в кодировании железа... Надеюсь там будет полегче чем под Windows/Linux.. Со всяким там фурье-мурье и плавающей запятой, которое, х86 процы еле-еле расхлябывают, утопая в тормозищщах . :D

Tadas
21.08.2007, 22:38
Последний параметр на который нужно смотреть у АЦП - это количество бит :rotate: . Ждать нужно лучших динамических и шумовых параметров. А чем они плохи у предлагаемого дешевого АЦП?
Так ведь шумовые и динамические параметры и зависят от разрядности АЦП.
У идеального 16-бит АЦП ДД будет 96 дБ, у 24-бит - 144 дБ.
И хитрости вроде дитеринга ощутимого выигрыша не дадут.
Для приема местной вещалки на средних волнах 12 бит хватит, но для более-менее серъезного связного КВ приемника заведомо мало будет.

Sergey_gh
21.08.2007, 22:52
Так ведь шумовые и динамические параметры и зависят от разрядности АЦП.

Скорее наоборот - необходимую разрядность производитель выбирает исходя из получившихся шумовых и динамических параметров :rotate: .


У идеального 16-бит АЦП ДД будет 96 дБ, у 24-бит - 144 дБ.
С/Ш в полосе до найквиста даже лучше 6.02*N + 1.76 дБ.


И хитрости вроде дитеринга ощутимого выигрыша не дадут.
в AN-410 до 26 dB в лучшем случае.


Для приема местной вещалки на средних волнах 12 бит хватит, но для более-менее серъезного связного КВ приемника заведомо мало будет.

Ну я же написал цифры. Они не с потолка. Аргументируйте.

Tadas
21.08.2007, 23:18
Скорее наоборот - необходимую разрядность производитель выбирает исходя из получившихся шумовых и динамических параметров :rotate: .
Значит они что-то делают, смотрят что получилось и потом пишут разрядность в даташите ? :D

в AN-410 до 26 dB в лучшем случае.
Значит введя дитеринг Вы от 12-битного АЦП получите 16-битное слово ?

Ну я же написал цифры. Они не с потолка. Аргументируйте. Это которые цифры ?

Sergey_gh
21.08.2007, 23:27
Значит введя дитеринг Вы от 12-битного АЦП получите 16-битное слово ?

Нет. При определенных условиях улучшится SFDR.


Это которые цифры ?

Посмотрите мой первый пост. Ожидаемые параметры как у трансивера среднего класса.

Genadi Zawidowski
22.08.2007, 01:05
Микросхемку для УВЧ вы красивую подсказали... но Кш = ~12 dB. смотрится как непригодно для использования, только для местных связей.
Обратите внимание на передатчики, что делал Nico Palermo IV3NWV

http://www.microtelecom.it/ssbdex/ssbdex-e.htm

http://www.microtelecom.it/digimit/index.htm

И приемник

http://www.microtelecom.it/perseus/index.htm

http://www.microtelecom.it/perseus/brochure_pg2.jpg

Илья RW3FY
22.08.2007, 01:11
Ожидаемые параметры как у трансивера среднего класса.
Немножко не так. Сколько разрядов Вы предполагаете выделять на оцифровку сигнала, соответствующего по уровню нижней границе динамического диапазона?

73!

Sergey_gh
22.08.2007, 08:41
to Genadi Zawidowski


но Кш = ~12 dB. смотрится как непригодно для использования, только для местных связей.
Кш = 12 дБ это приведенный ко входу приемника в полосе 2.7 КГц шум < 0.1 мкВ. Для спутниковых связей на 10-ке, наверно, маловато. А какой уровень атмосферного и индустриального шума на любой нормальной антенне на других диапазонах? Впрочем не проблема, УВЧ на opa847 имеет Кш = 5.1 дБ, можно подумать (разменять на динамику).


Обратите внимание на передатчики, что делал Nico Palermo IV3NWV
Спасибо за ссылки. Эти не видел. Обнадеживают. Но LT2206-14 купить сложно и дорого и кушает он много.


to Илья RW3FY


Немножко не так. Сколько разрядов Вы предполагаете выделять на оцифровку сигнала, соответствующего по уровню нижней границе динамического диапазона?

Некорректный вопрос. Сигнал на входе АЦП с уровнем меньше одного младшего разряда на выходе приемника может иметь соотношение с/ш 40 дБ. Посмотрите на параметры приемника на LT2206-14 по ссылке Genadi Zawidowski.

Tadas
22.08.2007, 08:58
Посмотрите мой первый пост. Ожидаемые параметры как у трансивера среднего класса.
Боюсь, они ожидаемыми так и останутся.
На входной АЦП надо смотреть как на смеситель, ДД приемника не может быть больше ДД смесителя.
Вы же при ДД АЦП в ~70dB декларируете ДД приемника ~100 dB.
Входной селективности на входе как я понимаю нет (ФНЧ 30МГц).
Что получится, если подадим на вход два сигнала с разницей в уровнях 60 дБ ? Допустим, что полная шкала АЦП по входу составляет 1 В. Приведем более сильный сигнал к полной шкале. Сколько бит останется для оцифровки сигнала в 1 мкВ ? При грубой прикидке 2 бита. А сколько минимум бит надо для разборчивого кодирования речи ? (ДД речи при нормальном разговоре составляет 35...40 дБ).

P.S. я не являюсь специалистом по ЦОС, эти прикидки, так сказать, общефилософского свойства. Если я где-то делаю грубую ошибку, пожалуйста укажите мне на нее.

Илья RW3FY
22.08.2007, 09:45
Некорректный вопрос. Сигнал на входе АЦП с уровнем меньше одного младшего разряда на выходе приемника может иметь соотношение с/ш 40 дБ. Посмотрите на параметры приемника на LT2206-14 по ссылке Genadi Zawidowski.
А каков уровень искажений такого сигнала? Предположим, интермордуляционных? Поясню, к чему всё это.

При расчёте ДД АЦП (пример тому --- приведённая Вами формула) принято за нижнюю границу ДД брать величину, равную младшему разряду (или около того --- не суть важно). Т.е. слабый сигнал (соответствующий нижней границе такого ДД) квантуется всего одним уровнем --- типа "есть/нет". Но из этого следует, что рассчитанный таким путём ДД некорректно сопоставлять с ДД аналогового приёмника, в котором сигнал с уровнем, равным его пороговой чувствительности (т.е. той, при которой С/Ш = 1), не имеет искажений. Поскольку в общем случае имеем дело со сложным сигналом, из которого наше ухо должно извлечь содержащуюся в нём информацию, а не с несущей, которую достаточно лишь обнаружить с некой степенью вероятности. Т.е. в правильно спроектированном приёмнике аналоговых сигналов сигнал, имеющий мощность, равную (либо даже меньше) мощности шумов приёмника (в полосе пропускания, соответствующей полосе сигнала), должен выделяться с очень низким уровнем искажений. Это нужно затем, что наше ухо, в отличие от примитивных регистраторов уровня, способно вполне неплохо выделять информацию из сигнала, мощность которого не превышает мощность шума (опять же, говоря о мощностях, имеется в виду при равных полосах). Примеры тому из разряда "бытовых" --- мы вполне сносно способны разбирать человеческую речь на достаточно небольшом расстоянии от работающего авиационного двигателя, либо сквозь сильный шум, создаваемый движением иных транспортных средств --- в ситуациях, когда мощность шума, приходящаяся на наиболее информативную и энергетически насыщенную часть речевого спектра, намного превышает мощность речи. Подтверждений тому достаточно и в радиолюбительском эфире, когда оператор "вытаскивает" сигнал интересующей его слабой станции буквально из-под шумов и помех, присутствующих в полосе пропускания приёмника одновременно с полезным сигналом.

Мораль из всего вышесказанного проста --- на сигнал, имеющий уровень, равный уровню собственных шумов приёмника, должно приходиться достаточно большое количество уровней квантования. Что полностью подтверждается практикой посторения аналого-цифровых трансиверов, в которых используется оцифровка 24 разрядами (на низкой ПЧ либо на НЧ)--- в таких приёмниках лишь часть разрядов (тех самых 12...14) учитываются при определении его динамического диапазона, а сигнал, соответствующий нижней границе ДД, квантуется оставшимися 10...12 битами. И даже несмотря на это, иногда бывают случаи, когда опытные "слухачи" отмечают проигрыш такого "цифрового" радио по сравнению с чисто аналоговым при приёме слабых сигналов, сравнивая одно с другим путём переключения антенны между аппаратами, стоящими рядом.

На фоне всего этого цифры, приводимые в качестве величины ДД появляющихся периодически приёмников с непосредственной оцифровкой ВЧ сигнала "с антенного входа" --- всего лишь фикция, в основе которой лежит недопустимое для ситуаций слухового приёма упрощение (замена сложного сигнала с переменной амплитудой на немодулированную несущую) --- пригодное при расчётах и исследованиях линейных аналоговых трактов, но неприменимое в нашем случае (именно в нашем --- когда речь идёт о слуховом приёме SSB сигналов!) к трактам цифровым. Реальный ДД таких устройств (т.е. такой, при котором оператор не почувствует разницу между аналоговым и цифровым приёмником) --- существенно (на несколько порядков) меньше.

P.S. IMHO модель нашего уха следует рассматривать не как пороговое устройство, сравнивающее уровень сигнала с интегральной мощностью шума в полосе звуковых частот, а как оптимальный приёмник с многоканальной параллельной обработкой, ширина полосы пропускания каждого из каналов которого равна разрешающей способности нашего уха по частоте (т.е. той разнице между частотами слышимого тона, которую ухо способно почувствовать).

73!

R4ITU
22.08.2007, 10:18
Даже можно утверждать что 12 бит это для полной загрузки АЦП. Слабые сигналы будут оцифровываться гораздо меньшим значением бит.
При анализе в загородной черте антенной "Инвертированное V 80-40-20-15-10м" на спектроскопе типа "Agilent" полосы от 10 кГц до 30 МГц, в спектре присутствуют уровни по вещанию ~7 мВ ( это 7 и 9 МГц) и связи ~ 200 мВ (27 МГц).
Вся эта каша будет "жить" в усилителе и АЦП постоянно...без селекции. Какой тут нормальный прием уровней в мкВ? Вот в случае с не широким спектром входных сигналов ПЧ - это оправданно, как в SDR и современных трансиверах.

Genadi Zawidowski
22.08.2007, 10:23
KШ=12 dB это примерно 0.3 микровольта чувствительности (2.7 кГц, С/Ш10 dB, 50 Ом).\Речь идет только про "Десятку", остальные диапазоны проблем не вызывают.
Кроме Linear (с его LT2206-14) есть и TI, AD.

Sergey_gh
22.08.2007, 10:52
to Илья RW3FY.
to Tadas.

Вы пытаетесь понять интуитивно то, что так понять сложно. Заявляемые параметры никакая не фикция.

AD9236 SNR = 70.4 dBc или 70.9 dBFS. Что это значит:

При подаче на вход синусоиды амплитудой в полную шкалу АЦП, на выходе АЦП, в цифровом представлении, будем иметь соотношение с/ш =

70.9. Шум внесет АЦП. Этот шум имеет равномерную (в первом приближении) спектральную плотность в полосе от 0 Гц до Fs/2 (т.е до 40 МГц).

И его мощность на 70.9 дБ ниже мощности синусоиды. В полосе 2700 Гц мощность шума внесенного АЦП меньше мощности синусоиды на 70.9

+ 10*log(40000/2.7) = 70.9 + 41.7 dB = 112.6 dB. Эта цифра уже интереснее. Она и определит ДД по блокированию.

Рассматривайте АЦП как преобразователь сигнала в цифровое представление и не более. У этого преобразователя можно даже посчитать Кш

(несмотря на то что он работает по напряжению на входе).

Полная шкала АЦП 2 В p-p. Это +10 дБм.
Уровень шума в полосе 1 Гц = 10 - 70.9 - 10 log (40000000) = -136 дБм.
Kш (adc) = 174 -136.9 dBm = 37 dB
Его можно считать еще одним параметром характеризующим качество АЦП (производным от MSPS, SNR).

На выходе этого преобразователя цифровой поток (12 бит 80 МГц) который содержит ВСЮ информацию о входном сигнале (с ограниченным

спектром 0-40 МГц, естественно, теорема Котельникова) + внесеннай шум. Причем доля шума квантования в этом шум мала (иначе это был бы

неправильно разработанный АЦП).

Про разрядность. Откуда возьмутся биты:

Разрядность цифрового представления сигнала должна быть достаточной для данного соотношения с/ш и только. После FIR фильтра (см. мой

первый пост) разрядность у меня получается уже 24 бита, но поток уже 78,125 КГц и полоса 2.7 К.
FIR фильтр это свертка, свертка - суммирование. Сложите 1024 12 битных числа получите 22 битное. А на входе FIR фильтра у меня уже 18

битные числа.

Покажите мне человека который слышит шумы квантования современных аудио кодеков.

Tadas
22.08.2007, 11:14
На выходе этого преобразователя цифровой поток (12 бит 80 МГц) который содержит ВСЮ информацию о входном сигнале
Откуда уверенность, что там будет ВСЯ информация ?
Информация о слабых сигналах будет искажена до неузнаваемости или вообще потеряна (из за недостаточного числа уровней квантования, приходящихся на этот слабый сигнал).
По моему Вы делаете ошибку, опираясь в своих выкладках на один синусоидальный сигнал. В реальном эфире все немного по другому :D

Sergey_gh
22.08.2007, 11:25
Откуда уверенность, что там будет ВСЯ информация ?
Информация о слабых сигналах будет искажена до неузнаваемости или вообще потеряна (из за недостаточного числа уровней квантования, приходящихся на этот слабый сигнал).
По моему Вы делаете ошибку, опираясь в своих выкладках на один синусоидальный сигнал. В реальном эфире все немного по другому

Повторюсь: Сигнал на входе АЦП с уровнем меньше одного младшего разряда на выходе приемника может иметь соотношение с/ш 40 дБ.
Выкладки не мои - это букварь.

PS: ЦОС и ПЛИС занимаюсь профессионально.

R4ITU
22.08.2007, 11:40
"На выходе этого преобразователя цифровой поток (12 бит 80 МГц) который содержит ВСЮ информацию о входном сигнале (с ограниченным

спектром 0-40 МГц, естественно, теорема Котельникова) + внесеннай шум. "
Так об этом и речь. "Плясать" нужно от АЦП, от его параметров и от РАЗРЯДНОСТИ в том числе. Это фундамент качества всего приемника. Используйте минимум 24 разрядный. По частотной селекции вы разберетесь программно. А вот для амплитудных - увы. Для хорошего приемника ( 100 дб) МАЛО иметь спектр ограниченный по амплитуде 12 битами. Сколько вы не расширяйте программно разрядность - все это носит виртуальный характер, а реальную информацию об амплитуде это не увеличивает.
"Разрядность цифрового представления сигнала должна быть достаточной для данного соотношения с/ш и только."

Не только. "Окно" через которое поступает оцифровывается эфир должно быть не только по частоте достаточным но и по амплитуде......так Вам и сигналят люди - маловато однако "окошечко" для 100 дб.....
Кстати вопрос - приемник ЧЕГО проектируется? в принципе.
Слуховой.....Цифрово й прием? Или граф. анализатор спектра?

Tadas
22.08.2007, 11:41
Повторюсь: Сигнал на входе АЦП с уровнем меньше одного младшего разряда на выходе приемника может иметь соотношение с/ш 40 дБ.
Выкладки не мои - это букварь.

PS: ЦОС и ПЛИС занимаюсь профессионально.
Ну что же, будем рады послушать записи реального эфира с выхода Вашего приемника.

Объясните еще одну вещь.
Если на выходе 12-битного АЦП будет _вся_ информация о входном сигнале, то может быть _вся_ информация будет и на выходе 8-битного ? Или даже 4-битного ? Тогда еще дешевле будет :)

DRUID 3
22.08.2007, 11:42
www.adat.ch/pub/Vortrag_HAM_Radio_20 07.pdf




Откуда уверенность, что там будет ВСЯ информация ?
Информация о слабых сигналах будет искажена до неузнаваемости или вообще потеряна (из за недостаточного числа уровней квантования, приходящихся на этот слабый сигнал).
По моему Вы делаете ошибку, опираясь в своих выкладках на один синусоидальный сигнал. В реальном эфире все немного по другому

Повторюсь: Сигнал на входе АЦП с уровнем меньше одного младшего разряда на выходе приемника может иметь соотношение с/ш 40 дБ.
Выкладки не мои - это букварь.

PS: ЦОС и ПЛИС занимаюсь профессионально.
блин, наша песня хороша сначала... Пару лет назад уже спорили об этом. 40 dB - это хорошо конечно. Жаль, что этих Ваших букварей не читали производители музыкальной аппаратуры например, а то у них все так не прогрессивно :-( 24 бита, и обработка обязательно с float - т.е. никаких "блекфинов" или ПЛИС, а Shark им подавай.

А давить на профессиональность не нужно, "занимаюсь профессионально" - это всего лишь занимаюсь за деньги с той или иной эффективностью. :D Я когда делал свои первые нерешительные шаги в поиске работы был просто шокирован "высокими технологиями" которые заключались в сборке проекта с 95% чужих исходников - и напаривание этого шедевра как высокотехнологичнейш его шедевра, да еще за такие деньги, что куда там соотношению себестоимость/продажная цена того же ICOM (YAESU, TEN-TEC etc.)

Илья RW3FY
22.08.2007, 11:44
Откуда уверенность, что там будет ВСЯ информация ?
Информация о слабых сигналах будет искажена до неузнаваемости или вообще потеряна (из за недостаточного числа уровней квантования, приходящихся на этот слабый сигнал).
По моему Вы делаете ошибку, опираясь в своих выкладках на один синусоидальный сигнал. В реальном эфире все немного по другому :D
Согласен с Вами.

73!

Илья RW3FY
22.08.2007, 11:54
Повторюсь: Сигнал на входе АЦП с уровнем меньше одного младшего разряда на выходе приемника может иметь соотношение с/ш 40 дБ.
Выкладки не мои - это букварь.
Вы так и не ответили на два вопроса.
1. Каким количеством разрядов будет оцифрован у Вас сигнал, имебщий уровень, приведённый ко входу АЦП, -136 дБм?
2. Каким уровнем интермодуляционных искажений будет обладать такой сигнал?


PS: ЦОС и ПЛИС занимаюсь профессионально.
DSP приёмопередатчики для любительской связи тоже не любители разрабатывают. На сегодня норма 24 разряда при оцифровке суммарного поступающего на вход АЦП спектра с шириной не более 15...25 кГц. Та последующая обработка, о которой Вы говорите (после FIR-фильтра) --- 32 либо 48 разрядная. И то, находятся люди, которые в сложных условиях приёма чувствуют разницу между этой аппаратурой и чисто аналоговой.

73!

Sergey_gh
22.08.2007, 12:10
1. Каким количеством разрядов будет оцифрован у Вас сигнал, имебщий уровень, приведённый ко входу АЦП, -136 дБм?


В какой полосе ?


2. Каким уровнем интермодуляционных искажений будет обладать такой сигнал?


Какой второй сигнал ?

Зачем это. Я посчитаю. Поймите шумы квантования (шумы из-зи ограниченной разрядности цифрового представления сигнала) в правильно спроектированной цифровой обработке ВСЕГДА НАМНОГО ниже шумов другой природы (тепловых, фликер, джиттер клока тактирования ацп и т.д) содержащихся в этом ЦИФРОВОМ сигнале.
И это на любом этапе. На выходе АЦП, до и после фильтров, умножителей-смесителей и т.д. вплоть до динамика. Это всегда при ЛЮБОМ сигнале.


Та последующая обработка, о которой Вы говорите (после FIR-фильтра) --- 32 либо 48 разрядная.


Либо во floating point. Все зависит от целей и алгоритмов и архитектуры DSP.

Sergey_gh
22.08.2007, 12:29
to DRUID 3


Жаль, что этих Ваших букварей не читали производители музыкальной аппаратуры например, а то у них все так не прогрессивно 24 бита, и обработка обязательно с float - т.е. никаких "блекфинов" или ПЛИС, а Shark им подавай.


24 бита часто избыточная маркетинговая разрядность для тех параметров которыми обладает кодек. К нему сигнал нужно подводить проводами из монокристалической меди. :D


А давить на профессиональность не нужно, "занимаюсь профессионально" - это всего лишь занимаюсь за деньги с той или иной эффективностью.

Да не давлю я. С фразой согласен.

Genadi Zawidowski
22.08.2007, 12:30
Число значащих разрядов во floating point тем не менее конечно...

EU1ME
22.08.2007, 12:36
Верно Sergey_gh говорит.
Если сказать проще, и как я это понимаю при своем начальном уровне знаний по ЦОС, получаем так

Есть 80 млн. в сек 12-битных выборок в полосе 40МГц. Теперь, и это главное!!!!, при их обработке и выделении нужного участка спектра, например 3 кГц (8 частота дискретизации) происходит не просто отбрасывание 80млн-8тыс отсчетов, НЕТ!!! НЕТ!!! НЕТ!!!
С помощью фильтра (свертки) каждый битик из 80млн*12 битов вносит свой вклад в результат, происходит накопление с усреднением, именно это и повышает "разряднось", а вернее соотношение сигнал/шум.

Не следует думать, что если сигнал ниже уровня квантавания младшего бита, то информация о нем (сигнале) теряется, просто надо сделать большее число выборок и усреднив их ( отфильтровав, сделав свертку) сигнал уже сможет быть уже "поднят" над шумами

DRUID 3
22.08.2007, 12:55
Число значащих разрядов во floating point тем не менее конечно...
Отвечу каламбуром - "конечно". Есть очень эпохальный пример ограниченности применения ЭВМ вообще и бредовости журналистского оборота "ученные заложили в компьютер и получили ...". Типо была аналитически решена задача о бильярдных шарах, ну в такой-то отрезок времени идеально круглые шары на идеальном столе будут там-то... Решали с float - вышло совершенно бредовое решение - диагноз ограниченность применения численных методов из-за отсутствия реального "0"-я :D , а не подделочного очень маленького +-"0" float.


to DRUID 3


Жаль, что этих Ваших букварей не читали производители музыкальной аппаратуры например, а то у них все так не прогрессивно 24 бита, и обработка обязательно с float - т.е. никаких "блекфинов" или ПЛИС, а Shark им подавай.


24 бита часто избыточная маркетинговая разрядность для тех параметров которыми обладает кодек. К нему сигнал нужно подводить проводами из монокристалической меди. :D



Ну там еще есть заявленный ДД :D , а 24 бита это конечно с битами на "дэзеринг", т.е. на "аналоговое звучание шума. Сам же дезеринг появился не на пустом месте. Многие слышали квантование на 16 бит, вернее коррелируемую ошибку округления. А сарказм в сторону Hi-End неуместен, я не об этом, а о музыкальных инструментах, и микшерах. Здесь на форуме часто демонстрируют "качество" звучание того или иного аппарата в mp3. Ну я видел людей вживую отличающих mp3 от 16 бит аудиодиска. Я сам не такой :-( ... Просто такие люди появляюЦЦо, как появляюЦЦо дегустаторы ... Или их тоже нет??? :rotate:


Верно Sergey_gh говорит.
Если сказать проще, и как я это понимаю при своем начальном уровне знаний по ЦОС, получаем так

Есть 80 млн. в сек 12-битных выборок в полосе 40МГц. Теперь, и это главное!!!!, при их обработке и выделении нужного участка спектра, например 3 кГц (8 частота дискретизации) происходит не просто отбрасывание 80млн-8тыс отсчетов, НЕТ!!! НЕТ!!! НЕТ!!!
С помощью фильтра (свертки) каждый битик из 80млн*12 битов вносит свой вклад в результат, происходит накопление с усреднением, именно это и повышает "разряднось", а вернее соотношение сигнал/шум.

гхм... причем там свертка (встречное наложение двух функций) к усреднению накоплению? Совсем не так там все работает :lol: . Там цифровой даунконвертер, типо ППП токо программный, да еще и с децимацией - которая "антиоверсэмплинг".



Не следует думать, что если сигнал ниже уровня квантавания младшего бита, то информация о нем (сигнале) теряется, просто надо сделать большее число выборок и усреднив их ( отфильтровав, сделав свертку) сигнал уже сможет быть уже "поднят" над шумами

Тоже мне открыли Америку :D . Так сигма-дэльта АЦП работают которые реально 1-но (!!!) разрядные. Но в обмен что 8O ???

Sergey_gh
22.08.2007, 13:06
Многие слышали квантование на 16 бит, вернее коррелируемую ошибку округления.

Это уже не SNR а SFDR.


Ну я видел людей вживую отличающих mp3 от 16 бит аудиодиска.

Верю, конечно. А много ли людей отличат 24 бит от качественного 16 бит аудиодиска?

Sergey_gh
22.08.2007, 13:10
Там цифровой даунконвертер, типо ППП токо программный, да еще и с децимацией - которая "антиоверсэмплинг".


Как там все работает я поблочно написал в первом сообщении.

Илья RW3FY
22.08.2007, 13:10
1. Каким количеством разрядов будет оцифрован у Вас сигнал, имебщий уровень, приведённый ко входу АЦП, -136 дБм?

В какой полосе ?
Сорри, по невнимательности немножко не ту цифру назвал --- -136 дБм, это ж у Вас в полосе 1Гц. Поэтому немножко скорректирую условия вопроса:
Уровень сигнала на входе АЦП -100,5дБм, полоса сигнала 2,7 кГц. Т.е. интересует ситуация, когда уровень сигнала, поступающего на вход, равен величине вычисленной Вами пороговой чувствительности, приведённой к полосе 2,7 кГц. Если Вы читали внимательно то, что я говорил ранее, то должны понимать, зачем это нужно. Ну а раз я сам заикнулся про -136 дБм --- попутно назовите и число разрядов, которым будет оцифрован сигнал с уровнем на входе АЦП -136 дБм при полосе 1Гц.

В обоих случаях речь о количестве разрядов, с которым будет произведена первоначальная оцифровка, а не последующая обработка сигнала. А полоса --- имеется в виду эффективная полоса сигнала. Под мощностью сигнала подразумевается его пиковая мощность. Пик-фактор 10 дБ. Динамический диапазон сигнала тут называли --- порядка 45 дБ.


Какой второй сигнал ?
В данном случае это он же (Вашу любимую синусоиду заменяем двухтональным сигналом). Я ранее уже говорил, что тот сигнал, который предстоит принимать приёмнику --- не синусоида. Это сложный сигнал с переменной амплитудой. Кроме того, в полосе пропускания (то бишь 2,7 кГц) одновременно с ним могут присутствовать и ещё такого же рода сигналы, как с такими же уровнями, так и выше. Но Вам сейчас задача самая простая --- имеем непосредствено на входе Вашего АЦП двухтональный сигнал, средняя мощность которого составляет -100,5 дБм. (По идее, за -100,5 дБм надо бы брать пиковую, ну да ладно, облегчим Вам задачу ещё чуть-чуть.) Полоса приёмника 2,7 кГц. Разнос частот испытательных сигналов такой, чтоб в полосу пропускания попадали продукты 3-го и 5-го порядков. И, напомню, 2.7 кГц --- это полоса цифрового фильтра, а режим самого АЦП соответствует оцифровке полосы 40МГц.


Зачем это. Я посчитаю. Поймите шумы квантования (шумы из-зи ограниченной разрядности цифрового представления сигнала) в правильно спроектированной цифровой обработке ВСЕГДА НАМНОГО ниже шумов другой природы (тепловых, фликер, джиттер клока тактирования ацп и т.д) содержащихся в этом ЦИФРОВОМ сигнале.
И это на любом этапе. На выходе АЦП, до и после фильтров, умножителей-смесителей и т.д. вплоть до динамика. Это всегда при ЛЮБОМ сигнале.
Иными словами говоря, Вы предполагаете, что уровень "всяких разных аналоговых" шумов на входе Вашего АЦП, измереннный в полосе 2,7 кГц, будет существенно выше, чем -100,5 дБм? Логично. Но тогда и нижнюю границу ДД нужно называть соответствующую этим шумам :) .


Либо во floating point. Все зависит от целей и алгоритмов и архитектуры DSP.
Насколько я помню, не "либо", а "и". Хотя тут могу ошибаться. Но точную инфу на этот счёт раскопать несложно --- ни один производитель не упускает возможности похвастаться перед потенциальным покупателем мощностью применённого в аппарате DSP :) .

73!

DRUID 3
22.08.2007, 13:24
Многие слышали квантование на 16 бит, вернее коррелируемую ошибку округления.

Это уже не SNR а SFDR.
Угу, но этот то ДД битностью все равно задаеЦЦо...



Ну я видел людей вживую отличающих mp3 от 16 бит аудиодиска.

Верю, конечно. А много ли людей отличат 24 бит от качественного 16 бит аудиодиска?
Очень многие, сотрите первый подпункт, потому и начали "замазывать" шумом реально понижая ДД до 14 битного. Но потом вышли на 24-битный рубеж и о "дизиренге" стыдливо забыли...

Илья RW3FY
22.08.2007, 13:34
to DRUID 3


Жаль, что этих Ваших букварей не читали производители музыкальной аппаратуры например, а то у них все так не прогрессивно 24 бита, и обработка обязательно с float - т.е. никаких "блекфинов" или ПЛИС, а Shark им подавай.


24 бита часто избыточная маркетинговая разрядность для тех параметров которыми обладает кодек. К нему сигнал нужно подводить проводами из монокристалической меди. :D

Да не нужно в дебри аудиофильства забираться --- наши задачи лежат несколько в иной плоскости. Спросите тех, кто использует SDR радио (например, например то, о чём речь тут: www.flex-radio.com ), есть ли разница между использованием 24-разрядных и 16-разрядных звуковых карт в их приёмниках, и в чём именно (в различии каких параметров приёмника) она выражается (в т.ч. и численно). Там как раз селективность приёмника цифровыми фильтрами и определяется.

Оцифровка 16 битами в р/любительской связной аппаратуре используется в НЧ-DSP (т.е. обрабатывающих уже отфильтрованный обычными аналоговыми фильтрами и продетектированный сигнал) и работающих, соответственно, в диапазоне уровней, суженном за счёт АРУ до величин не более 30...40 дБ. И является очень компромиссным решением.

73!

Sergey_gh
22.08.2007, 13:46
to Илья RW3FY

Интермодуляционные искажения у АЦП имеют природу отличающуюся от аналоговах устройств. Передаточную характеристику аналогового устройства можно аппроксимировать полиномом, кубический член которого, даст IMD 3-его порядка. Интермодуляционная помеха, при снижении уровня сигнала, уменьшается гораздо быстрее и быстро тонет в шумах. У АЦП причина IMD 3 в интегральной и дифференциальной нелинейностях. IMD 3 у ацп уменьшается (в первом приближении) на столько же на сколько и полезные сигналы. Суть dithera в устранении (усреднении) диф. нелинейности и приближении поведения ацп к поведению аналогового устройства (в отношении IMD 3). Для 2 тонального сигнала с мощностью = мощности шума при наличии dither-а IMD 3 будет очень мал (как и у аналогового устройства).


... есть ли разница между использованием 24-разрядных и 16-разрядных звуковых карт ...

Большая разница. Сравните параметры дешевого realtek кодека на мат. платах и полупроф. звуковой карты. Сами все сможете посчитать.

DRUID 3
22.08.2007, 14:03
Планирую изготовить кв приемник по следующей схеме:

1. ФНЧ 9-12 порядка с частотой среза 30 МГц.
2. УВЧ - драйвер АЦП на двух OPA847 (по схеме аналогичной приведенной на 1 стр. datasheet на этот ОУ).

3. АЦП AD9236 (80 MSPS, SNR 70.4 dBc, SFDR 87.8 dBc).
Упорно не хотите понять об АЦП...



4. ПЛИС EP2C5T144C8 (4608 LEs, 119808 Kbits, 13 multipliers 18x18 bit).
И что, все влезет?


5. УНЧ SSM2211 (1 W, 0.2%).
6. Кварцевый генератор 80 МГц.
7. LDO iru1205 на 1.2 и 3.3 В, конфиг. flash M25P40, charge-pump voltage inverter LM2662, AD8039 - фнч dither.



Далее ядра внутри ПЛИС (приёмник по фазофильтровому принципу):

если честно, не осилил необходимость применения фазофильтровой схемы. Будет ненулевая ПЧ?


1. Квадратурный DDS синтезатор (на центральную частоту принимаемого спектра сигнала).
2. Комплексный умножитель (перенос спектра на 0-ую частоту, получение аналитического I,Q сигнала).
3. I,Q CIC фильтры 3-ей степени - децимация.
4. I,Q FIR фильтры (срез 1350 Гц).

DDS??? Хм, по-моему из таблицы, да еще на одну частоту, будет много эффективнее читать.



5. АРУ (сжатие динамического диапазона) прямого действия.
6. Квадратурный DDS синтезатор (на 1500 Гц).
опять?
7. Комплексный умножитель (сдвиг спектра и получение реального SSB сигнала).



8. ШИМ контроллер (получение НЧ сигнала и в сочетании с внешней матрицей r2r - электронная регулировка громкости).
Звучит очень красиво, но аппарат то для слухового приема, осилите усилитель класса D с параметрами хотя бы на 16 бит??? :rotate:



9. Псевдослучайный генератор dither сигнала.
10. RISC контроллер. (управляющая программа и интерфейс пользователя).
11. RS232.
12. Интерфейсы клавиатуры, валкодеров, ЖКИ.

По предварительным оценкам всё вмещается в ПЛИС с запасом.

Ожидаемые параметры:
Диапазон 0.1 - 30 МГц (1 - 30 МГц при включении dither-а).
Кш = ~12 dB.
ДД по блокированию (полоса 2700 Гц) = ~110 dB.
ДД по интермодуляции = ~90 dB.
Коэф. прямоугольности фильтра по -1 dB, -90 dB (FIR фильтры 1000-ого порядка) = ~1.2.
Подавление соседнего и побочных в 1 зоне найквиста = >90 dB.
Подавление "зеркального" 2 зоны найквиста = >70 dB.

Питание приемника 5 В, потребление при нулевой громкости ~250 мА, плата размером чуть больше пачки сигарет.

Хотелось бы обсудить всё это. Почему так никто (или почти никто) не делает? По-моему параметры не провальные.
Цена деталей меньше цены хорошего кварцевого фильтра. Где грабли?
Что Вы говорите, какая маленькая плата. И потребление что-то уж совсем, я правда с Вашей конкретно плисиной не знаком, но там даунконвертор иже с ним соизмеримо жрут... Найквист очень слабо подавлен!!! Это зеркалка шириной в 30 MHz!!! :!: 8O В ДД по блокированию подмена понятий просто зашибись. Не уровень усиления падает на 3 dB а приемник просто захлебывается. :? Да и звучать эта "жбанка" будет соответствующе - каждый радиолюбитель теперь сможет осознать чем отличается float от integer (+ еще ШИМ самопал). И что за апелляция к стоимости компонентов? А "компоненты" хорошего пива сколько стоят? Странно, не правда ли, что все восновном потребляют чужое . :D Есть такое понятие как стоимость разработки - для такого девайса это ~100 000$ без цены лицензионного софта при условии не воровать исходники. По той ссылке что я привел чел уже почти 5 лет ваяет, и параметры более чем скромные, не говоря о сервисе. Нет фазового перехода - выхода на новый уровень технических характеристик/возможностей, потому и не трудится никто.

Илья RW3FY
22.08.2007, 14:29
IMD 3 у ацп уменьшается (в первом приближении) на столько же на сколько и полезные сигналы.
Вы, наверно, имели в виду уменьшение IP3? (Точка пересечения 3-го порядка). Это есть так.


Суть dithera в устранении (усреднении) диф. нелинейности и приближении поведения ацп к поведению аналогового устройства (в отношении IMD 3). Для 2 тонального сигнала с мощностью = мощности шума при наличии dither-а IMD 3 будет очень мал (как и у аналогового устройства).
Меня интересует конкретная рассчитанная Вами цифра применительно к Вашему приёмнику, которая будет иметь место в обозначенных мной условиях. Также Вы не ответили и на вопрос, каким количеством разрядов будет оцифрован сигнал с мощностью, равной пороговой мощности АЦП.


Большая разница. Сравните параметры дешевого realtek кодека на мат. платах и полупроф. звуковой карты. Сами все сможете посчитать.
Тогда объясните, как Вы собираетесь получить результат с 12 битами АЦП при полосе 40МГц не хуже того, который получают сейчас с трудом с 24 битами АЦП при полосе всего 48 кГц (SDR), либо 15кГц ("цифро-аналоговые" трансиверы), для одних и тех же типов сигналов?

73!

Sergey_gh
22.08.2007, 14:37
to DRUID 3

Извините, но Ваш пост немного сумбурен и эмоционален. Общий тон - это обвинения в некомпетентности или обмане.

Также сумбурно:

Про DDS-ы, да правильнее NCO, сути не меняет, для начала смотрите datasheet ad6620.
Чем плох шим (только аргументировано с цифрами)?
Стоимость, потребление, размер платы посчитаны, цифры указаны.
Большинство блоков мной написано и отлажено, чужого = 0.
Стоимость софта для разработки = 0.

Единственное, что готов обсудить - клипирование АЦП. Аргументировано!

Илья RW3FY
22.08.2007, 14:52
Нет фазового перехода - выхода на новый уровень технических характеристик/возможностей, потому и не трудится никто.
Нет. Если Сергей обеспечит малые искажения слабых SSB и т.п. сигналов (в т.ч. предельно слабых), а также дополнит свою "коробочку" передатчиком --- то такой аппарат (при соблюдении заявленных им параметров и, возможно, некоторых дополнительных требований) будет востребован. Причём не только любителями (знаю достоверно). Но штука в том, что IMHO он всего этого обеспечить не сможет --- чисто технически.

73!

Sergey_gh
22.08.2007, 14:58
to Илья RW3FY


Вы, наверно, имели в виду уменьшение IP3? (Точка пересечения 3-го порядка). Это есть так.


Нет у АЦП этой точки. Неприменимо понятие.


Тогда объясните, как Вы собираетесь получить результат с 12 битами АЦП при полосе 40МГц не хуже того, который получают сейчас с трудом с 24 битами АЦП при полосе всего 48 кГц (SDR), либо 15кГц ("цифро-аналоговые" трансиверы), для одних и тех же типов сигналов?


Неплохой AD1871 24 bit 96 KHz SNR = 105 dB.

SNR в полосе 2.7K = 105 + 10log(48/2.7) = 117,5 dB

Против 112.6 dB у AD9236 проигрыш 4.9 dB. Но надо еще посмотреть не взвешенный ли это шум у AD1871.

Илья RW3FY
22.08.2007, 15:36
Нет у АЦП этой точки. Неприменимо понятие.
Естественно нет. Игра слов. Если уменьшать уровень двухтонального сигнала на входе АЦП, одновременно измеряя IMD3, и пытаться для каждой из точек, в которой проводятся измерения, вычислять IP3 как это принято для линейных трактов аналоговых устройств, то получим пропорциональное уменьшению уровня испытательных сигналов уменьшение вычисленной IP3. Когда уровень испытательных сигналов достигнет величины младшего разряда АЦП, величина IP3, полученной при этом, сравняется с уровнем испытательных сигналов. Потому IP3 у АЦП и нет :) . Полагаю, Вы это имели в виду. Думал, что поймёте, о чём речь.



Неплохой AD1871 24 bit 96 KHz SNR = 105 dB.

SNR в полосе 2.7K = 105 + 10log(48/2.7) = 117,5 dB

Против 112.6 dB у AD9236 проигрыш 4.9 dB. Но надо еще посмотреть не взвешенный ли это шум у AD1871.
Полагаю, тут нужно исходить не только из стандартного набора, но и из более других параметров. К примеру, SFDR, измеренного при подаче на вход АЦП того уровня сигнала, который предполагается брать за нижнюю границу ДД. Думаю, Ваша ошибка состоит в излишней формализации расчётов. Вместо того, чтоб попытаться смоделировать ситуацию под реальный тип сигнала и под реальный тип "системы распознавания" (т.е. физиологические особенности нашего слуха) с учётом всех особенностей цифровой техники, Вы используете стандартные оценки, применяемые при проектировании устройств более простого предназначения (тех же спектроанализаторов, к примеру, либо прочей измерительной техники --- где уровень искажений слабого сигнала, если они оказались под шумами, не играет никакой роли).

Повторюсь --- если Вы сможете обеспечить такую работу своего приёмника, при которой речевой сигнал, имеющий уровень, равный уровню шумов приёмника в полосе этого сигнала, будет совершенно разборчив (даже на фоне присутствующих в полосе пропускания ещё нескольких подобных сигналов) --- т.е. выполнение условий, при которых цифровой приёмник субъективно не отличается от аналогового --- то созданное Вами устройство найдёт свою область применения. Если нет --- останется невостребованным, как минимум в области КВ связи.

73!

UR5ZQV
22.08.2007, 15:59
DRUID 3

если честно, не осилил необходимость применения фазофильтровой схемы.
Я вообще не осилил зачем при таком ВЧ АЦП применять квадратурную схему. Достаточно в цифре перемножить весь сигнал на синус рабочей частоты а ненужную боковую в цифре же выделить цифровым фильтром. И не факт, что обработка во временной области даст меньше вычислений чем БПФ, которые обеспечивают резкое относительное снижение кол-ва вычислений при увеличении выборки (в нашем случае при разрешении 10 Гц потребуется выборка 2^23=8388608), плюс перенос частот значительно упрощается, правда и памяти на буфер потребует не хило (ок. 12 метров). Зато цифровые фильтры для уменьшения относительной полосы фильтра требуют значительного увеличения точности, т.е. разрядности цифр (специально протестил на генераторе коэфф. бих фильтра, для 10 порядка и 80МГц дискр. при переходе от 16 значащих цифр до 8 фильтр вообще полностью терял свойства, прямая линия на АЧХ.
И наконец, 12 бит и 70дб ДД конечно не фонтан, но на дороге тоже не валяются, правда одним ФНЧ тут не обойтись, но для начала думаю вполне можно попробовать.

Sergey_gh
22.08.2007, 16:14
to Илья RW3FY

Кажется невероятным, что аналоговый сигнал (речь) в 100 раз меньший младшего разряда АЦП после обработки окажется на уровне шумов и его можно будет разобрать. Может звучание станет cпецифическим, я не знаю, могу не учитывать или не знать всех нюансов. Допускаю, что владельцы трансиверов слышат разницу. Но это не шумы квантования. Возможно это различие в АЧХ, ФЧХ цифровых и аналоговах фильтров, разница в характере inband IMD и т.д. Практика должна расставить все на свои места.

Где можно прочитать как должна в идеале работать АРУ кв приемника. Время/скорость срабатывания/удержания/отпускания, сжатие ДД, что должно регулироваться? Для SSB, CW, АМ есть различия? Идеальная форма посылки CW? АЧХ и полоса CW фильтра? Допустимый выброс на переходной CW фильтра? Стандартный набор полос для SSB?
С панорамой небольшая засада, какой обзор и скорость обновления минимально достаточны?
Где прочитать теорию работы подавителя импульсных помех, сколько несучек умеют давить топовые кв трансиверы, полосы режекции?
Насколько все это необходимо?

Илья RW3FY
22.08.2007, 16:51
to Илья RW3FY
Кажется невероятным, что аналоговый сигнал (речь) в 100 раз меньший младшего разряда АЦП после обработки окажется на уровне шумов и его можно будет разобрать. Может звучание станет cпецифическим, я не знаю, могу не учитывать или не знать всех нюансов. Допускаю, что владельцы трансиверов слышат разницу. Но это не шумы квантования. Возможно это различие в АЧХ, ФЧХ цифровых и аналоговах фильтров, разница в характере inband IMD и т.д. Практика должна расставить все на свои места.

По всей видимости, возникающие иногда "артефакты" в DSP-трансиверах, связанные с ухудшением разборчивости слабых сигналов, связаны с тонкостями сопряжения цифры и аналога по уровням, приводящих к недостатку уровней квантования на предельно слабых сигналах. И даже при 24-битной обработке в полосах порядка 15 кГц разработчики вынуждены использовать перед DSP аналоговую АРУ, иногда многопетлевую. В плане АЧХ и ФЧХ ---цифровые фильтры вне конкуренции. Насчёт inband IMD --- при стандартных режимах измерений цифровые трансиверы совершенно однозначно выигрывают у аналоговых. А на нестандартных (например, имитирующих те ситуации со слабыми сигналами, о которых я говорил) их никто никогда не испытывал. Разработчики, конечно, наверняка какие-то эксперименты по этой части делали, но в широком доступе информации на этот счёт, естественно, нет. Но, полагаю, при 24-битах АЦП все артефакты, когда таковые возникают --- возникают лишь из-за недоработок разработчика. В плане системных возможностей всего должно хватать, хоть и без запаса. А при меньшем количестве разрядов --- из той же оперы, что и при разной разрядности звуковок, только в связной технике это намного сильнее чувствуется.


Где можно прочитать как должна в идеале работать АРУ кв приемника. Время/скорость срабатывания/удержания/отпускания, сжатие ДД, что должно регулироваться? Для SSB, CW, АМ есть различия? Идеальная форма посылки CW? АЧХ и полоса CW фильтра? Допустимый выброс на переходной CW фильтра? Стандартный набор полос для SSB?
Для начала вот это:
http://www.cqham.ru/ftp3/dw.php?b_ra3ao.djvu
В "лирической" части найдёте достаточно много ответов на интересующие вопросы. Пишет профи, много лет занимавшийся устройствами магистральной КВ р/связи. Поэтому, сопоставляя особенности профессиональной и спортивной КВ связи, чётко формулирует "ТЗ" и аргументированно анализирует различные варианты решения вопроса. Правда, то было в середине 80-х, так что сейчас нужно на всё взглянуть под более свежим углом.


С панорамой небольшая засада, какой обзор и скорость обновления минимально достаточны?
Где прочитать теорию работы подавителя импульсных помех, сколько несучек умеют давить топовые кв трансиверы, полосы режекции?
Насколько все это необходимо?
Необходимо много чего. Увы, с ходу мне не вспомнить, чего и где можно найти по заданным Вами вопросам, но вопросы в самом деле важные, и всё это реально нужно. Но постараюсь по мере того, как что-нибудь будет попадаться, подкидывать ссылки. Вообще, тему Вы открыли хорошую.

73!

Sergey_gh
23.08.2007, 12:02
Спасибо за ссылку. Видел ее раньше, но "прошел мимо".

23.08.2007, 14:25
P.S. IMHO модель нашего уха следует рассматривать не как пороговое устройство, сравнивающее уровень
сигнала с интегральной мощностью шума в полосе звуковых частот, а как оптимальный приёмник с многоканальной параллельной
обработкой, ширина полосы пропускания каждого из каналов которого равна разрешающей способности нашего уха по частоте (т.е.
той разнице между частотами слышимого тона, которую ухо способно почувствовать).73!

Илья, ты как обычно не могёшь коротко и понятно... :wink:
Скажи проще - поглазейте строение человеческого уха - там "разрядность" даже не 48,36 и тем паче не 24... Сколько? - берём
и считаем количество волосковых клеток - вот, каждый "волосок" - это "бит-разряд", которые реагирует на определённый звук.
Посему, пока разрядность "цифры" не приблизится к "разрядности аналога" (читай - уха) - до тех пор музыкальные уши будут отдавать предпочтение всяким хай-эндам типа виниловых пластинок и ламповых усилков!

Sergey_gh
23.08.2007, 15:02
Сигнал лампового усилителя квантуется ~62 битами ( при токе 1А - log2(1/1.6e-19) = 62 бита). Немного осталось. :D

Илья RW3FY
24.08.2007, 00:59
То Sergey_gh:
Как обещал, даю некоторые ссылки.

Вот тема, где проскальзывали высказывания по поводу работы DSP трансивера "Орион" на малых сигналах (обратите внимание на высказывания bhope от 25 Сен, 19:47 и далее):
http://forum.cqham.ru/viewtopic.php?t=8442&start=75

Здесь народ делится впечатлениями от работы DSP трансиверов:
http://forum.qrz.ru/thread15546.html
Достаточно болтовни, но есть и крупицы информации.

Описание самодельного трансивера с ПЧ-DSP:
http://skydan.in.ua/T03DSP/index.htm
К сожалению, автор ещё не обновил материал. Сейчас у него уже вторая версия аппарата, с более мощным DSP.

А это --- проект типа Вашего, аппарат с оцифровкой прямо со входа:
http://www.adat.ch/index_e.html

Во вложении --- статья про аналоговый подавитель импульсных помех. Возможно, Вам пригодится информация о параметрах импульсов.

73!

Илья RW3FY
24.08.2007, 01:23
Илья, ты как обычно не могёшь коротко и понятно... :wink:
Скажи проще - поглазейте строение человеческого уха - там "разрядность" даже не 48,36 и тем паче не 24... Сколько? - берём
и считаем количество волосковых клеток - вот, каждый "волосок" - это "бит-разряд", которые реагирует на определённый звук.
Дядька, ты сам подумай, откудова мне знать про такие навороты, как волоски и волосковые клетки :) --- тож мне, коротко и понятно :) --- ты ещё про ДНК и РНК завернул бы чё-нить, или про генотипы с фенотипами :) . Не, ынжынэрско-радистской братии гораздо проще понять слова "оптимальный приёмник", "многоканальная обработка" и "коррелятор", набившие оскомину ишшо со школьной скамьи --- це вполне канкрэтные понятия, подразумевающие каждое соответствующую "классическую" схему :) .


Посему, пока разрядность "цифры" не приблизится к "разрядности аналога" (читай - уха) - до тех пор музыкальные уши будут отдавать предпочтение всяким хай-эндам типа виниловых пластинок и ламповых усилков!
Ай молодца, ай погрел душу! :) Значит, не зря я калупаюсь с Ы-Мы-Эфами и И-Ым-Ди инбандными, добиваясь от ТРХ-а своего прозрачности и лампового звука :) --- а то я от этих битов-АЦП совсем закис :) --- типа чего я анахронизьмом всяким занимаюсь :)

73!

24.08.2007, 11:24
Илья, ты как обычно не могёшь коротко и понятно... :wink:
Дядька, ты сам подумай, откудова мне знать про такие навороты, как волоски и волосковые клетки :) --- тож мне, коротко и понятно :) --- ты ещё про ДНК и РНК завернул бы чё-нить, или про генотипы с фенотипами :) . Не, ынжынэрско-радистской братии гораздо проще понять слова "оптимальный приёмник", "многоканальная обработка" и "коррелятор", набившие оскомину ишшо со школьной скамьи --- це вполне канкрэтные понятия, подразумевающие каждое соответствующую "классическую" схему :)

Вот, от этого видимо и все "чиста технари" не могут друг с другом находить взаимопонимания! Бо тока в "одну сторону" у технарей мозги подточены... только у одного мозги в Ди-Би-Эмах градуированы, а у другого в Ди-Би-Вольтах. Мне то немного проще ориентироваться - в институте научили - ВУЗ то технический, а уклон биологический. И учили нас не придерживаться тупо одной формулы, а рассматривать проблему с максимально различных позиций. А технарям то невдомёк сличить свои "градуировочные таблицы" в головах - они и бьются друг с дружкой бедные, что-то пытаются доказать... :lol:
А нужно просто немного "в сторону отвлечься" от бесценных формул и сразу ясно становится, почему какому-нибудь радисту и 16-бит оцифровки хвататет, а "меломана" даже и от выше предложенных 64 бит воротит.



Посему, пока разрядность "цифры" не приблизится к "разрядности аналога" (читай - уха) - до тех пор музыкальные уши будут отдавать предпочтение всяким хай-эндам типа виниловых пластинок и ламповых усилков!
Ай молодца, ай погрел душу! :) Значит, не зря я калупаюсь с Ы-Мы-Эфами и И-Ым-Ди инбандными, добиваясь от ТРХ-а своего прозрачности и лампового звука :) --- а то я от этих битов-АЦП совсем закис :) --- типа чего я анахронизьмом всяким занимаюсь :)

То, что калупаешься - это говорит о том, что заложен в твоём генотипе достаточно качественный слуховой аппарат. Скажи большое спасибо предкам! А в фенотипе тебе ещё не удалось его разрушить настолько, что ему (твоему слуху) достаточно бы было и 12 бит оцифровки... Если хочешь, чтобы и далее слух сохранялся на прежнем уровне - береги его - не забывай вовремя продувку делать, когда бушь в очередной раз карасей фотографировать в океяне... :wink:
Я вот в институской барокамере забывал это делать - посему одному уху уже достаточно разрядности икома 746ПРО...

Sergey_gh
24.08.2007, 12:24
to Илья RW3FY

Почитал по Вашим ссылкам.

Правильно ли я себе представляю приемник с DSP ?

Высокая первая пч (45 Мгц и выше). Кварцевый фильтр с достаточно широким окном (6 КГц и выше, чтобы все типы модуляции). Высоколинейный УПЧ с небольшим Ку. Тоже высокодинамичный второй смеситель. Высоколинейный УПЧ2. Вторая ПЧ в районе 36 К (частота из компромиса между зеркалом из-зи относительно широкого КФ, фликером УПЧ2 и параметрами кодека).

Если правильно, то вопросы:

Как производитель умудряется сделать аналоговое АРУ? Даже если по низкой ПЧ2, он же убьет линейность насмерть. Даже без АРУ, для сигналов попадающих в полосу пропускания кварцевого фильтра, ДД вытянуть тяжело. Единственный выход - несколько переключаемых кварцевых фильтров.

Ваша ссылка, где народ делится впечатлениями от работы DSP трансиверов, немного меня запутала. DSP подключают и отключают. Докупают. Устанавливают сами.

Если можно, то расскажите какие есть структуры приемников с DSP? Кратко. Я так понимаю, под DSP могут понимать и просто обработку звука.

PS: Высокая производительность DSP не рекламный ход, а необходимость. Например. При частоте дискретизации 96К FIR на 2.7К с прямоугольностью 1.2 должен быть ~1000 порядка. => 96*10^6 умножений с накоплением в секунду. Для современных DSP - не вопрос. Но фильтр - это же не всё. Поэтому, я подозреваю, фильтры там БИХ, поэтому надо(лучше) плавающую точку, ФЧХ соответсвующая. Пишут производители что-нибудь в рекламных проспектах на этот счет?

Илья RW3FY
24.08.2007, 14:57
Правильно ли я себе представляю приемник с DSP ?

Высокая первая пч (45 Мгц и выше). Кварцевый фильтр с достаточно широким окном (6 КГц и выше, чтобы все типы модуляции). Высоколинейный УПЧ с небольшим Ку. Тоже высокодинамичный второй смеситель. Высоколинейный УПЧ2. Вторая ПЧ в районе 36 К (частота из компромиса между зеркалом из-зи относительно широкого КФ, фликером УПЧ2 и параметрами кодека).

В целом правильно. Есть вариации на тему ПЧ (например, и аппараты с низкой ПЧ (5...9МГц) и, соответственно, без непрерывного перекрытия (только на любительские диапазоны)). Ещё есть SDR --- у него на частоте сигнала сделаны аналоговые квадратуры, а после них уже всё в цифре, и нужное подавление боковой достигается точностью цифровой калибровки. Ну и прочие мелочи, касаемо уже тонкостей построения всех этих разновидностей аппаратов, а не их принципов.


Если правильно, то вопросы:

Как производитель умудряется сделать аналоговое АРУ? Даже если по низкой ПЧ2, он же убьет линейность насмерть. Даже без АРУ, для сигналов попадающих в полосу пропускания кварцевого фильтра, ДД вытянуть тяжело. Единственный выход - несколько переключаемых кварцевых фильтров.
АРУ начинает работать только тогда, когда уровень сигнала подходит близко к верхней границе ДД кодека. Например, уровень сигнала на входе приёмника может меняться аж на 110...120 дБ. А ДД кодека --- где-то 60...80 дБ. (Т.е. тут учитываются те тонкости, о которых я говорил в начале дискуссии --- теоретический-то ДД кодека, посчитанный так же, как считаете Вы, намного больше.). А АРУ под такую задачу можно построить так, чтобы особо жёстких требований к ней не было. Насчёт линейности в полосе пропускания --- в плане влияния на неё характеристик регулирующего элемента проблем никаких, это отработано ещё в аналоговой технике. А в плане влияния на IMD динамических характеристик замкнутой петли АРУ --- конечно, компромисс есть, как и в любой аналоговой АРУ. Но проявляется это меньше, чем в чисто аналоговых схемах. Поскольку эта АРУ лишь вспомогательная (защищает АЦП от перегрузок), да и делается часто по принципу "вперёд" --- т.е. не страдает большими задержками. А основная АРУ --- цифровая. Ну а несколько переключаемых кварцевых фильтров в 1-й ПЧ --- в технике Hi-End используют и это. В технике среднего и низкого класса стоит один фильтр, обычно с полоской 15 кГц.


Ваша ссылка, где народ делится впечатлениями от работы DSP трансиверов, немного меня запутала. DSP подключают и отключают. Докупают. Устанавливают сами.

Если можно, то расскажите какие есть структуры приемников с DSP? Кратко. Я так понимаю, под DSP могут понимать и просто обработку звука.
Да, там, где народ включает/выключает DSP либо вставляет и выдёргивает его --- это НЧ DSP, т.е. чисто вспомогательное, работающее как встраиваемая внутрь примочка к классическому аналоговому тракту. В той ветке в самом деле всё в кучу --- и владельцы аппаратов с IF-DSP, и с простыми AF-DSP.



PS: Высокая производительность DSP не рекламный ход, а необходимость. Например. При частоте дискретизации 96К FIR на 2.7К с прямоугольностью 1.2 должен быть ~1000 порядка. => 96*10^6 умножений с накоплением в секунду. Для современных DSP - не вопрос. Но фильтр - это же не всё. Поэтому, я подозреваю, фильтры там БИХ, поэтому надо(лучше) плавающую точку, ФЧХ соответсвующая. Пишут производители что-нибудь в рекламных проспектах на этот счет?
Тонкостей не знаю (не моя область), но насчёт того, что производительность нужна большая, у меня сомнений нет. По конкретным реализациям и структурам --- готовьтесь качать много, позже дам ссылки на некоторые сервисмануалы. Там, как правило, есть тот максимум "системной" информации, который не жмётся выдать производитель. Ну и все схемы, само собой.

73!

Илья RW3FY
24.08.2007, 15:18
Вот, от этого видимо и все "чиста технари" не могут друг с другом находить взаимопонимания! Бо тока в "одну сторону" у технарей мозги подточены... только у одного мозги в Ди-Би-Эмах градуированы, а у другого в Ди-Би-Вольтах. Мне то немного проще ориентироваться - в институте научили - ВУЗ то технический, а уклон биологический. И учили нас не придерживаться тупо одной формулы, а рассматривать проблему с максимально различных позиций. А технарям то невдомёк сличить свои "градуировочные таблицы" в головах - они и бьются друг с дружкой бедные, что-то пытаются доказать... :lol:
А нужно просто немного "в сторону отвлечься" от бесценных формул и сразу ясно становится, почему какому-нибудь радисту и 16-бит оцифровки хвататет, а "меломана" даже и от выше предложенных 64 бит воротит.
Та шо вы ховорытэ :) Ты глянь, как артист, колхозник, врач и адвокат со слесарем спорят от том, шо лучше, хрынвуд, или ицом :) --- во где она, оказывается, глубина взаимопонимания :) . Не. У ынжыныров усё как раз культурненько, а споры оттого, шо чем глубже копаешь, тем больше вопросов и спорных моментов. Тыорыя на все их ответы даёт, но це чертовски сложный инструмент, коим пользоваться умеет не всякий и не применительно к каждой задаче (и я в том числе). Потому и спорят :) . А знал бы каждый тыорыю назубок и умел бы прилагать к любому вопросу --- и спорить бы было не о чем :) --- все бы друг друга с полуслова понимали :) .


То, что калупаешься - это говорит о том, что заложен в твоём генотипе достаточно качественный слуховой аппарат. Скажи большое спасибо предкам! А в фенотипе тебе ещё не удалось его разрушить настолько, что ему (твоему слуху) достаточно бы было и 12 бит оцифровки... Если хочешь, чтобы и далее слух сохранялся на прежнем уровне - береги его - не забывай вовремя продувку делать, когда бушь в очередной раз карасей фотографировать в океяне... :wink:
Я вот в институской барокамере забывал это делать - посему одному уху уже достаточно разрядности икома 746ПРО...
Ну, по части меня це комплименты сплошные, а по части тебя --- излишнее скромничание :) . Нету у меня музыкального слуха и никогда не было, хоть и то, что есть ещё, некоторые вещи всё же чует :) . А шо беречь надо ушки при ловле карасей и продуваться не забывать --- верно. Но мне это несложно, у меня этот аппарат продувочный разработан неплохо ещё с тех времён, кады не карасей, а ворон гонял, в том окияне, шо крайний по счёту :) .

73!

R9LZ
24.08.2007, 15:19
Ну ДЯДЬКИ, до слёз рассмешили.
Спасибо за юмор замешанный на профессионализме!

Извиняюсь за офтоп перед участниками форума, бо не выдержал... :super:

Sergey_gh
24.08.2007, 16:09
to Илья RW3FY


Например, уровень сигнала на входе приёмника может меняться аж на 110...120 дБ. А ДД кодека --- где-то 60...80 дБ.

Значит ДД по блокированию по соседнему каналу и будет 60...80 дБ (учитывая широкую полосу фильтра).


Насчёт линейности в полосе пропускания --- в плане влияния на неё характеристик регулирующего элемента проблем никаких, это отработано ещё в аналоговой технике.

В полосе пропускания широкого фильтра!

Илья RW3FY
24.08.2007, 16:50
Значит ДД по блокированию по соседнему каналу и будет 60...80 дБ (учитывая широкую полосу фильтра).
В пределах полосы пропускания фильтра, стоящего по 1-й ПЧ (roofing-filter) --- именно так. Но это обычно не более 15 кГц. А за её пределами определяется параметрами узлов широкополосного тракта.



Насчёт линейности в полосе пропускания --- в плане влияния на неё характеристик регулирующего элемента проблем никаких, это отработано ещё в аналоговой технике.
В полосе пропускания широкого фильтра!

Почему только широкого? Типичный пример --- когда не нужно непрерывное перекрытие, используют низкие ПЧ --- 5...9МГц. В этом случае фильтр в 1-й ПЧ может быть установлен достаточно узкий --- хоть даже и 250Гц (для CW). И никаких нерешаемых проблем при этом нет. Есть определённые сложности в получении всей противоречивой совокупности характеристик АРУ --- но все они решаемы. Вопрос линейности регулирующего элемента --- самый простой из них. Например, регулировка двухзатворного транзистора по насыщению характеристики 1-го затвора, о которой, кстати, пишет Дроздов, позволяет иметь IMD, не ухудшающийся при "зажатии" транзистора. Есть и другие варианты. Очень линейную и стабильную регулировку, например, обеспечивают м/схемы серии AD6xx от Analog Devices. Я, кстати, в конструкции одного из вариантов тракта 2-й ПЧ аналогового трансивера с 2-мя преобразованиями частоты использовал AD605, и все интермодуляционные характеристики тракта снимал для самых разных уровней сигнала. При замкнутой петле АРУ, естественно, и положении ручной регулировки в положении максимум усиления. Схема самой первой версии этого тракта (впоследствии я проделал ряд её доработок) и её обсуждение, а также аудиофайлы проделанных с её участием записей с эфира, можно найти вот тут:
http://forum.cqham.ru/viewtopic.php?t=7262&postdays=0&postorder=asc&&start=60

73!

Sergey_gh
24.08.2007, 17:08
to Илья RW3FY

Понял. Спасибо. Про приемник с DSP прояснили.

Илья RW3FY
25.08.2007, 21:48
to Илья RW3FY

Понял. Спасибо. Про приемник с DSP прояснили.
Как и обещал, вот ссылки, по которым можно скачать сервис-мануалы некоторых трансиверов, в которых используется DSP:

IC-746PRO (IF-DSP)
http://www.cqham.ru/ftp3/dw.php?IC-746PROSMfull.djvu

IC-756PRO2 (IF-DSP)
http://www.cqham.ru/ftp3/dw.php?service_756pr o2-A3format.pdf

IC-756PRO3 (IF-DSP)
http://www.cqham.ru/ftp3/dw.php?ser_man_IC756 PRO3.pdf

IC-7800 (IF-DSP)
http://www.cqham.ru/ftp3/dw.php?IC-7800SMfull.djvu

IC-7000 (IF-DSP)
http://www.cqham.ru/ftp3/dw.php?Serv_Manual_I C-7000.pdf

TS-870 (IF-DSP)
http://www.cqham.ru/ftp3/dw.php?ser_man_TS-870S.pdf

TS-480 (AF-DSP)
http://www.cqham.ru/ftp3/dw.php?ser_man_ts480 .pdf

73!

vadim_d
26.08.2007, 09:38
Кажется невероятным, что аналоговый сигнал (речь) в 100 раз меньший младшего разряда АЦП после обработки окажется на уровне шумов и его можно будет разобрать.
Сергей, прежде чем начинать пилить железку (hard по-нашему), есть смысл попробовать сквозную модель тракта, начиная с исходного звукового сигнала (несколько секунд вполне хватит), переноса его на ВЧ (возможно с компрессией на НЧ до того), добавлением ВЧ шума, какой-нибудь гармоноческой помехи хорошего уровня и после этого пропустить через модель тракта, начиная с фильтра и АЦП. Возможно какие-то модули тракта подойдут из того, что есть готового в Матлабе, но я им практически не пользуюсь, по работе даже behavioral model подразумевает Verilog.

Sergey_gh
26.08.2007, 20:06
To Илья RW3FY

Илья, спасибо Вам за ссылки.

В IC756PRO3 - отличный кодек CS5396-KS (стоимость ~50$ опт.).

Шум в полосе 20К - 120дБ (правда взвешенный, реально поменьше). В полосе 0.5К - 136 дБ. Интермод из datasheet понять сложно, но судя по THD он более 100 дБ.

Данные ARRL Lab на IC756PRO3 (разнос 5 КГц - т.е. работает кодек).

ДД по блокированию - 102 дБ. (Цифра которая может опровергать как Ваше так и мое мнение. Я предполагаю что виновато тройное преобразование частоты и АРУ а не кодек). IMD при разносе 5 КГц - 78 дБ (ну это точно испортили до кодека).

Вообще эти цифры - информация к дальнейшему размышлению. Пока у меня впечатление, что параметры кодека избыточны.



To vadim_d.

Моделировал приемник так:

Написал основные verilog-овские модули (сразу синтезируемые под данный чип). Далее с помощью DSP Builder-а сгенерил модели в формате matlab/simulink. Отладил модули по отдельности, подключая к ним стандартные библиотечные компоненты simulink-а (генераторы, осциллографы, FFT и т.д.).

После того, как оттестировал и отладил основные модули, попробовал собрать из них приемник полностью. Но моделировать не получается из-за того, что на один отсчет НЧ сигнала нужно отработать/обсчитать ~10000 входных отсчетов. Время моделирования нереально велико.

vadim_d
26.08.2007, 22:30
Но моделировать не получается из-за того, что на один отсчет НЧ сигнала нужно отработать/обсчитать ~10000 входных отсчетов. Время моделирования нереально велико.
Сергей, нереально - это как? По работе гоняем днями, в тяжелых случаях до недель. Как я понял, модель пытаетесь собрать в Симулинке? Может, сделать все на Верилоге или наоборот - сборную солянку на нескольких симуляторах, писать в одном данные в файл, потом зачитывать в другом, где реализованы следующие модули? Вроде как объем вычислений грандиозностью не поражает...

Sergey_gh
26.08.2007, 22:55
To vadim_d

Да, модель собрана в Симулинке. Дискретно-временная модель, все работает, но 10-15 шагов в секунду. Тормозят модули сгенеренные с verilog-а. Возможности гонять днями нет. Цель моделирования была все отладить, а не проверять теорию. Для этого лучше писать на С.

Илья RW3FY
26.08.2007, 23:37
ДД по блокированию - 102 дБ. (Цифра которая может опровергать как Ваше так и мое мнение. Я предполагаю что виновато тройное преобразование частоты и АРУ а не кодек). IMD при разносе 5 КГц - 78 дБ (ну это точно испортили до кодека).
Всё может быть. Но посмотрите IC-7800. Там на одно преобразование меньше, а соотношение параметров кодека и результатов измерений характеристик трансивера находится в похожей пропорции. Однако, я уже отмечал, что в цифровых трактах, как мне кажется, двухтональный тест на больших сигналах не несёт в себе информации о том, как вся эта цифра будет работать на малых сигналах, особенно когда сигнал сложный, а не просто синусоида.


Пока у меня впечатление, что параметры кодека избыточны.
Не думаю. Буржуи не те люди, чтобы закладывать ощутимые запасы в такие вещи, где этого никто не заметит. Такая перезакладка --- IMHO как раз и учитывает особенности работы на слабых сигналах.

Однако, спорить можно до бесконечности. Я полагаю, нужен эксперимент. Собрать модуль IF-DSP, который бы работал, скажем, на ПЧ = 500 кГц, 8867 кГц либо 9МГц --- наиболее распространённые значения в любительской самодельной аппаратуре. Так, чтоб его было несложно подключить в уже работающий аппарат. После чего провести испытания не только по стандартным методикам, но и в условиях реального эфира. У меня, например, есть незавершённый аппарат, в который можно было бы подобный модуль подключить. Результатов измерений Inband IMD отдельно взятого тракта 1-й ПЧ у меня не сохранилось, но могу и посчитать, и померить, сколько там получается. Пока могу только сказать, что параметр этот там достаточно высокий, поскольку требование низкого IMD в полосе пропускания я в тракт закладывал, и на начальном этапе определённые просчёты по этой части делал. Проверив DSP модуль на такой простой задаче, можно было бы в случае положительного результата переходить к более сложному --- тому, что Вы изначально задумывали. Чтобы эксперимент нёс максимум информации, параметры АЦП упрощённого "экспериментального" модуля нужно выбирать без запаса --- в соответствии с теми Inband IMD и полосой пропускания, которые имеют место в тракте 1-й ПЧ.

Вопрос посторения аппарата с DSP уже поднимался здесь на форуме:
http://forum.cqham.ru/viewtopic.php?t=8421&postdays=0&postorder=asc&&start=0
Полагаю, Вам было бы интересно пообщаться с человеком, появляющемся в этих форумах под ником СО2040. Напишите ему в личку, скажите, что это я порекомендовал Вам связаться с ним. Он профессионально занимается DSP. Когда-то раньше он пытался сделать DSP КВ приёмника на частоте 500кГц, но после экспериментов ушёл в строну традиционных ПЧ DSP в десятки кГц.

73!

vadim_d
27.08.2007, 00:15
Да, модель собрана в Симулинке. Дискретно-временная модель, все работает, но 10-15 шагов в секунду. Тормозят модули сгенеренные с verilog-а. Возможности гонять днями нет. Цель моделирования была все отладить, а не проверять теорию. Для этого лучше писать на С.
Сергей, если все модули на верилоге, то может есть смысл на нем же сделать и тестбенч? Из сложного видится аналоговый входной фильтр, если хочется "всамделишный", но при любом раскладе в ModelSim все должно бегать намного веселее.

Nicky
27.08.2007, 09:27
Всем здравствуйте!
Очень заинтересовала данная тема, поэтому и решил написать.
Меня зовут Николай. Я бывший радиолюбитель (до того как женился).
В молодости собрал несколько трансиверов (UA1FA - 160м, "Я строю КВ радиостанцию", "Трансивер с кварцевым фильтром",
а потом и по собственным схемам). Сейчас подрос сын. Хочу приобщить его к радиолюбительству.
Стал опять интересоваться связной аппаратурой. Перелопатив много информации в интернете, понял,
что за SDR (раньше говорили "цифровое радио") - будущее. Первый приемник, который собрали недавно с сыном,
был регенератор из ж.Радио N4 1997г в статье "Последний из могикан..." рис.2 с выходом на звуковую карту компьютера
(вместо УНЧ). Теперь же хочется что-нибудь посолидней. Начали собирать SDR IQ приемник по собственной схеме
(исходя из имеющихся в наличии м/с) на 2-х КФ174ПС1 и c DDS на плате с ПЛИС Virtex (ф.Xilinx)
(осталась не нужной от макета на работе). Когда писал код (VHDL) на DDS, возник соблазн сделать смеситель в "цифре"
для следующей версии приемника с АЦП до смесителя. Но умножитель 12х12=24
(исходил из разрядности быстродействующих доступных АЦП и примененных ЦАП в DDS микросхемах) занял много места.
В то же время, в большинстве (если не во всех) SDR приемниках применяют ключевые смесители, требующие не синусоиды,
а логический уровень гетеродина. Так может быть и комплексный смеситель после АЦП сделать по тому же принципу?
Это будет всего-лишь 24 двухвходовых элемента "исключающее ИЛИ" (по 12 на I и Q). И подавать на них сигнал гетеродина
можно будет не с DDS, который требует большого ПЗУ, а с цифрового компаратора, стоящего непосредственно после NCO.
Но не все так просто, как кажется на первый взгляд. Ключевые смесители, применяемые в SDR приемниках, строят по принципу
"детектора Тейлоу" (Dan Tayloe, N7VE) на переключаемых конденсаторах, коммутируемых с частотой в четыре раза превышающей
частоту сигнала (чтобы получить квадратуру). Исходя из этого, частоту тактирования АЦП нужно будет взять в четыре раза
больше частоты сигнала (а не в два, как по теореме Кательникова). И тип АЦП, возможно, подойдет только с выборкой-хранением
(что и предлагается Сергеем). Может быть есть и другие "подводные камни". Вобщем, есть над чем подумать и поэкспериментировать
(да так оно и интересней). Ниже привожу ссылку на первую часть статьи "A Software-Defined Radio for the Masses" где описан
"детектор Тейлоу", для тех кто ее не читал. Сам в теории я не силен, поэтому было бы интересно "услышать" мнения по предлагаемой
мной реализации цифрового смесителя. Проверить эту идею быстро не имею возможности, так как плата приемника должна быть
на промышленном уровне. Хотя сам код на VHDL уже написал (руки чесались) до выходов I и Q после предварительного фильтра НЧ
для подачи на обработку в процессор. Работаю я разработчиком цифровых устройств (в том числе и процессоров).

http://www.ece.jhu.edu/~cooper/SWRadio/Yblood1.pdf

Илья RW3FY
27.08.2007, 10:23
В то же время, в большинстве (если не во всех) SDR приемниках применяют ключевые смесители, требующие не синусоиды,
а логический уровень гетеродина. Так может быть и комплексный смеситель после АЦП сделать по тому же принципу?
Это будет всего-лишь 24 двухвходовых элемента "исключающее ИЛИ" (по 12 на I и Q). И подавать на них сигнал гетеродина
можно будет не с DDS, который требует большого ПЗУ, а с цифрового компаратора, стоящего непосредственно после NCO.
Но не все так просто, как кажется на первый взгляд.
Приветствую, Николай!

Да, всё реально сложнее, чем могло бы показаться. В Вашей затее есть и ещё один подводный камень. Следствием теоремы Котельникова является то, что наивысшая частота спектра синтезируемого сигнала должна быть как минимум в два раза ниже частоты дискретизации. Поэтому качественно синтезировать сигналы, обладающие бесконечным спектром, физически невозможно. А меандр как раз и обладает бесконечным спектром. В результате попытка синтезировать меандр предложенным Вами способом приводит к тому, что основное колебание получается сильно модулированным по фазе. Поэтому такой способ в DDS и не применяют, а сначала формируют синусоиду (т.е. простейший из сигналов, обладающих конечным спектром), а уже потом делают, если надо, из неё меандр.

Сказанное мной выше проверено и на практике. В далёком 94-м году, когда ещё не было доступных чипов DDS, а иметь простую схему прямого синтеза хотелось, мой друг пытался в практической схеме синтезировать меандр методом прямого цифрового синтеза, но в итоге независимо от меня пришёл к тем же выводам, которые я изложил выше.

73!

Sergey_gh
27.08.2007, 11:29
to Илья RW3FY

Железка, которую я описал в первом посте, итак максимально упрощена (посмотрите, я перечислил ВСЕ микросхемы). Ее можно будет применить и для оцифровки на ПЧ. (и даже на высокой первой ПЧ до 500 МГц - undersampling).

to vadim_d

Согласен, можно и в ModelSim, со своими заморочками и написанием тестбенчей. Но это отдельная, немалая работа. Проще и достоверней уже в железе.

to Nicky

У Вас что, древний 1-ый Virtex. Только в нем не было аппаратных умножителей. DDS(NCO) надо большей разрядности чем АЦП (у меня 18 бит, усечение кода фазы 20 бит и умножители в режиме 12x18 бит), и внимательно тестировать его на SFDR. Как резко сократить размер ПЗУ для таблицы синуса (на порядки !), могу рассказать.

Что касается меандра, то его можно сгенерить только с частотой F = Fclk/(2*N) где N целое. И вспомните спектр меандра и преобразование на гармониках. Частоту тактирования АЦП для меандра менять не надо.

Илья RW3FY
27.08.2007, 11:47
Железка, которую я описал в первом посте, итак максимально упрощена (посмотрите, я перечислил ВСЕ микросхемы). Ее можно будет применить и для оцифровки на ПЧ. (и даже на высокой первой ПЧ до 500 МГц - undersampling).
Я не говорю, что она сложная :) . Я лишь имел в виду, что параметры железки, тестирование которой на ПЧ принесло бы необходимую информацию, нужно уменьшить пропорционально тому, насколько при переходе на ПЧ облегчатся для неё условия работы (вместо 40МГц полосы по входу будем иметь 3 кГц, вместо максимальной частоты 40МГц будем иметь 9МГц, вместо ДД в 90...100 дБ достаточно будет, возможно, поменьше (сколько именно --- могу впоследствии уточнить)).

Если железка физически уже существует --- можно подумать, как организовать тестирование чисто организационно.

73!

DRUID 3
27.08.2007, 11:56
to Илья RW3FY

Кажется невероятным, что аналоговый сигнал (речь) в 100 раз меньший младшего разряда АЦП после обработки окажется на уровне шумов и его можно будет разобрать. Может звучание станет cпецифическим, я не знаю, могу не учитывать или не знать всех нюансов. Допускаю, что владельцы трансиверов слышат разницу. Но это не шумы квантования. Возможно это различие в АЧХ, ФЧХ цифровых и аналоговах фильтров, разница в характере inband IMD и т.д. Практика должна расставить все на свои места.
Блин, я конечно понимаю, что матлаб разучивает думать, и заставляет поверить, что в недрах его примеров можно обнаружить все на свете а потом это же все на свете корректно смоделировать... Но героин тоже многих вводит в заблуждение :D .


С панорамой небольшая засада, какой обзор и скорость обновления минимально достаточны?
Ну желательно 100 kHz с разрешением в 1024 или 1000 точек и обновлением хотя бы 25 Hz - т.е. Real Time. Больший обзор для любительского радио на КВ бессмысленен, тяжело за всем уследить. Для УКВ имеет смысл до нескольких мегагерц. И еще желательно две спектрограммы - риал тайм и регулируемого скользящего среднего (для отмечания недлительной активности попавших в панораму станций). Это конечно высокие требования, но если смотреть в будущее...


Где прочитать теорию работы подавителя импульсных помех, сколько несучек умеют давить топовые кв трансиверы, полосы режекции?
Насколько все это необходимо?
Ну теорию читать в любой книжке по ЦОС, и причем там количество несущих??? Вы их как вообще собрались вычитать? Поочередно? :)

Да и о ШИМе чуть не забыл, Вы не получите его с таким качеством, чоб было приятно слушать. Это еще хорошо, если достигните характеристик усилителя класса D производства TI например.

Да и если честно я Вам не верю, что у Вас есть весь этот проект. Между прочим, если он у Вас действительно появиЦЦо, то продайте его "Харрису", а если не понравиЦЦо, то продайте российской армии (абсолютно без подвоха, просто первые заплатят гораздо больше). И у Вас будет столько денег, что Вы сможете жить в личном коттедже в центре города и посвящать все свободное (т.е. вообще все) развлечениям с супермоделями... Вспомните ли вы тогда о любительском радио - вопрос...


DRUID 3

если честно, не осилил необходимость применения фазофильтровой схемы.
Я вообще не осилил зачем при таком ВЧ АЦП применять квадратурную схему. Достаточно в цифре перемножить весь сигнал на синус рабочей частоты а ненужную боковую в цифре же выделить цифровым фильтром.
Фильтр то будет с изменяемыми параметрами, которые в ходе перестройки еще и нужно будет вычислять. Для этого нужен о-о-о-о-огромный вычислительный ресурс, причем сам фильтр зачастую намного "тяжелее" FFT. А в том же SDR-1000 FFT не только реализует сам приемник, но и является одновременно спектроанализатором. Потому грех приемник строить по иному принципу - т.е. на основе комплексного даунконвертера и комплексного же FFT :D . А фазо-фильтровый вариант Полякова с "несущей" посреди спектра это во-первых попытка обойтись ФНЧ вместо полосовых фильтров, во-вторых родной брать приемников с cFFT прямого преобразования и околонулевой ПЧ.

vadim_d
27.08.2007, 12:25
я конечно понимаю, что матлаб разучивает думать
Скорее наоборот - заставляет иногда задумываться о сущности бытия.

vadim_d
27.08.2007, 12:31
и даже на высокой первой ПЧ до 500 МГц - undersampling)
С undersampling имеем эквивалентное умножение тактовой частоты АЦП со всеми негативными последствиями для ее фазового шума. Теоретически после 15 кГц фильтра на ПЧ в 45 МГц можно цифровать с частотой 30 кГц, но практически этого лучше не делать :D

Sergey_gh
27.08.2007, 12:40
to DRUID 3


Блин, я конечно понимаю, что матлаб разучивает думать, и заставляет поверить, что в недрах его примеров можно обнаружить все на свете а потом это же все на свете корректно смоделировать... Но героин тоже многих вводит в заблуждение .


Матлаб - это опиум для народа. В то что он показывает, я неверю. Потому, что этого не может быть никогда. А если и может то за 100К$ или ворованное. :D


Ну теорию читать в любой книжке по ЦОС, и причем там количество несущих??? Вы их как вообще собрались вычитать? Поочередно?


А я импульсную помеху разложу в ряд фурье, получу много несущих, и потом их вычту все разом. :D


Потому грех приемник строить по иному принципу - т.е. на основе комплексного даунконвертера и комплексного же FFT . А фазо-фильтровый вариант Полякова с "несущей" посреди спектра это во-первых попытка обойтись ФНЧ вместо полосовых фильтров, во-вторых родной брать приемников с cFFT прямого преобразования и околонулевой ПЧ.

О страшном многопотребляющем и непонятном даунконвертере я что-то слышал, но так и не понял чем он отличается от предложенного мной. :D


To All

Извините, не удержался.

DRUID 3
27.08.2007, 12:55
to DRUID 3


Блин, я конечно понимаю, что матлаб разучивает думать, и заставляет поверить, что в недрах его примеров можно обнаружить все на свете а потом это же все на свете корректно смоделировать... Но героин тоже многих вводит в заблуждение .


Матлаб - это опиум для народа. В то что он показывает, я неверю. Потому, что этого не может быть никогда. А если и может то за 100К$ или ворованное. :D

Именно!!! Да здравствует GNU движение... :P




Ну теорию читать в любой книжке по ЦОС, и причем там количество несущих??? Вы их как вообще собрались вычитать? Поочередно?


А я импульсную помеху разложу в ряд фурье, получу много несущих, и потом их вычту все разом. :D

"Круто", 8O и очень "экономично"... Не обязательно переходить в частотную область для отделения сигнала от помехи. Надо просто знать параметры сигнала. Причем БПФ там совершенно ничем не поможет.




Потому грех приемник строить по иному принципу - т.е. на основе комплексного даунконвертера и комплексного же FFT . А фазо-фильтровый вариант Полякова с "несущей" посреди спектра это во-первых попытка обойтись ФНЧ вместо полосовых фильтров, во-вторых родной брать приемников с cFFT прямого преобразования и околонулевой ПЧ.

О страшном многопотребляющем и непонятном даунконвертере я что-то слышал, но так и не понял чем он отличается от предложенного мной. :D
.
Он отличается отсутствием фазофильтрового приемника сразу за ним. Мало того, его можно сделать и в аналоговом виде даже выиграв в параметрах как в SDR-1000 и SDR-5000.

Sergey_gh
27.08.2007, 12:59
To vadim_d


С undersampling имеем эквивалентное умножение тактовой частоты АЦП со всеми негативными последствиями для ее фазового шума. Теоретически после 15 кГц фильтра на ПЧ в 45 МГц можно цифровать с частотой 30 кГц, но практически этого лучше не делать


Верно. Дальше надо считать. AD9236 - IF sampling. Для Fin = 100 МГц SNR уже 69 дБ, SFDR 76 дБ.

Nicky
27.08.2007, 13:21
To Илья RW3FY

Если подавать частоту с цифрового компаратора на тактовый вход АЦП
или на аналоговый смеситель, то я с Вами согласен на все 100.
Но в предлагаемой мной схеме на АЦП надо подавать частоту
с малым джиттером, которая и определит (возможно) фазовые шумы.
Полученный в результате цифровой сигнал можно подавать хоть
сразу на программную обработку. Я лишь предложил аппаратную
реализацию части программы, которая никак не должна вносить
фазовый шум в обрабатываемый сигнал (не считая, конечно
ограниченность разрядов переменных). Или я не прав?

To Sergey_gh

Да, блин, у меня древний virtex, но это никак не влияет на идею.

EU1ME
27.08.2007, 13:47
Уважаемые, подскажите плз, а будет ли результат опубликован для повторения, т.е. открытые прошивки и схемы?

Илья RW3FY
27.08.2007, 14:06
To Илья RW3FY

Если подавать частоту с цифрового компаратора на тактовый вход АЦП
или на аналоговый смеситель, то я с Вами согласен на все 100.
Но в предлагаемой мной схеме на АЦП надо подавать частоту
с малым джиттером, которая и определит (возможно) фазовые шумы.
Полученный в результате цифровой сигнал можно подавать хоть
сразу на программную обработку. Я лишь предложил аппаратную
реализацию части программы, которая никак не должна вносить
фазовый шум в обрабатываемый сигнал (не считая, конечно
ограниченность разрядов переменных). Или я не прав?

Джиттер клока тут ни при чём. Фазовая модуляция в сформированном меандре возникнет и при идеальном клоке, и будет обусловлена невозможностью задать точное положение фронта при использовании ненулевых интервалов между временнЫми отсчётами. Этого эффекта не будет только тогда, когда клок и выходная частота кратны.

73!

Sergey_gh
27.08.2007, 15:08
To Alex007


Уважаемые, подскажите плз, а будет ли результат опубликован для повторения, т.е. открытые прошивки и схемы?


Об этом говорить пока рановато.

Но если все получится, и это будет кому-то интересно, то схему и прошивку на этот приемник опубликую.

UR5ZQV
27.08.2007, 16:21
DRUID 3

Фильтр то будет с изменяемыми параметрами, которые в ходе перестройки еще и нужно будет вычислять. Для этого нужен о-о-о-о-огромный вычислительный ресурс, причем сам фильтр зачастую намного "тяжелее" FFT.
Вот и я думаю, что БПФ здесь удобней, можно обойтись даже без комплексных. В простейшей схеме это будет - 1.Оцифровка, 2.БПФ 3.Берем нужный нам кусочек спектра, запоминаем. 3.Все обнуляем. 4.Ложим кусочек спектра в область звуковых частот. 4.ОБПФ.
Вот и все. И никаких синтезаторов и фазовой компенсации.

Sergey_gh
27.08.2007, 16:53
To ХАМский designer


Вот и я думаю, что БПФ здесь удобней, можно обойтись даже без комплексных. В простейшей схеме это будет - 1.Оцифровка, 2.БПФ 3.Берем нужный нам кусочек спектра, запоминаем. 3.Все обнуляем. 4.Ложим кусочек спектра в область звуковых частот. 4.ОБПФ.
Вот и все. И никаких синтезаторов и фазовой компенсации.


Мысль интересная. Вполне естественное желание так сделать. Но попробуйте ответить на вопросы.

1. Размер буфера для оцифровки перед БПФ?
2. Время выполнения БПФ суперкомпьютером?
3. Зачем нам комплексная часть БПФ?
4. Зачем вычислять то, что потом обнулим?

Есть менее ресурсоемкие способы.

DRUID 3
27.08.2007, 17:21
To ХАМский designer

Вот и я думаю, что БПФ здесь удобней, можно обойтись даже без комплексных. В простейшей схеме это будет - 1.Оцифровка, 2.БПФ 3.Берем нужный нам кусочек спектра, запоминаем. 3.Все обнуляем. 4.Ложим кусочек спектра в область звуковых частот. 4.ОБПФ.
Вот и все. И никаких синтезаторов и фазовой компенсации.


очень забавно поучить I и Q отсчеты и обойтись без комплексного БПФ :rotate:



Мысль интересная. Вполне естественное желание так сделать. Но попробуйте ответить на вопросы.

1. Размер буфера для оцифровки перед БПФ?

а зачем буфер??? Это же ПЛИСина а не проц с ОС. 1024 пар килослов при БПФ на 1024 отсчета.


2. Время выполнения БПФ суперкомпьютером?
96 kHz, 4096 точек, "риалтайм" делают почти все проги комповых СДРов.


3. Зачем нам комплексная часть БПФ?
Уууууууууу... вернемся в выпускные классы школы??? Вы вообще сколько ЦОС изучали? Уже почти второй день?


4. Зачем вычислять то, что потом обнулим?
Что обнулим!!!??? Упал со стула, честно... 8O


Есть менее ресурсоемкие способы.
Круто...патентируйте ...

Sergey_gh
27.08.2007, 17:45
To DRUID 3


очень забавно поучить I и Q отсчеты и обойтись без комплексного БПФ


Этой фразой вы расписываетесь в полном непонимании, что такое аналитический сигнал и что с ним можно сделать.


а зачем буфер???


Не имеете даже отдаленного представление об алгоритме БПФ.



Это же ПЛИСина а не проц с ОС. 1024 пар килослов при БПФ на 1024 отсчета.


Полное непонимание принципов фильтрации через БПФ-ОБПФ.



Что обнулим!!!??? Упал со стула, честно...


Поднимитесь и читайте посты внимательнее.

EU1ME
27.08.2007, 17:46
To DRUID 3
Что за колкости на протяжении всей темы? Хотите поумничать? Или Вам "на хвост" Sergey_gh наступил? Конкурент? Ну так "задушите" его своей разработкой, чтоб мы все ахнули и побежали детальками закупаться. Человек вполне конструктивно ведет диалог, а Вы в последнем посте "выпали" из темы. Читайте самое первое сообщение.

DRUID 3
27.08.2007, 18:02
To DRUID 3


очень забавно поучить I и Q отсчеты и обойтись без комплексного БПФ


Этой фразой вы расписываетесь в полном непонимании, что такое аналитический сигнал и что с ним можно сделать.


а зачем буфер???


Не имеете даже отдаленного представление об алгоритме БПФ.



Это же ПЛИСина а не проц с ОС. 1024 пар килослов при БПФ на 1024 отсчета.


Полное непонимание принципов фильтрации через БПФ-ОБПФ.



Что обнулим!!!??? Упал со стула, честно...


Поднимитесь и читайте посты внимательнее.

Не понимаете как это работает как раз Вы :D , мне даже оправдываться нечего. Длинна классического БПФ по основанию 2 (будь то прореживание по частоте или времени) в точности равно количеству точек от него требуемых, комплексной арифметики не понимаете, хоть может и мурлыжили что-то преподу в институте ... Кароче есть у нас в Украине такая поговорка "Дурень думкою багатіє" (Дурак мыслью обогащается). Это из того же цикла. Вы может и поколупали "Матлаб", написали и отладили строчек 200 в VHDL . Но до таких проектов как трансивер это еще как до неба навкарачках. Это у всех начинающих программистов так. "Ах давайте напишем операционную систему" Ну давайте. Жду. :D . Был бы у Вас такой проект делали бы его уже во всю. А так "Ха, да у меня все есть... только я не понимаю как оно работает"...


To DRUID 3
Что за колкости на протяжении всей темы? Хотите поумничать? Или Вам "на хвост" Sergey_gh наступил? Конкурент? Ну так "задушите" его своей разработкой, чтоб мы все ахнули и побежали детальками закупаться. Человек вполне конструктивно ведет диалог, а Вы в последнем посте "выпали" из темы. Читайте самое первое сообщение.

Что Вы говорите!!!??? Ну если такие "специалисты" как Вы и Sergey_gh возьмуЦЦо за эту разработку, то у нас точно скоро появяЦЦо однобитные электрогитары и трансиверы... :D

P.S.: тему изначально читал, вношу конструктивную критику в отличие от некоторых :P

P.P.S.: To Sergey_gh а RISC-контроллер сами написали? :lol: :rotate: Может Вы еще перед сном и над своим компилятором работаете? :wink:

P.P.P.S.: To Sergey_gh again. Кстати, какой-такой "Матлаб"??? 50 000$ за лицензию отдали? 8O Продукт то коммерческий... :rotate:

Tadas
27.08.2007, 22:33
...А фазо-фильтровый вариант Полякова с "несущей" посреди спектра это во-первых попытка обойтись ФНЧ вместо полосовых фильтров...
Во первых не Полякова, а Weaver'a, во вторых не "вместо полосовых фильтров", а вместо НЧ фазовращателей.

UR5ZQV
28.08.2007, 10:13
Sergey_gh

1. Размер буфера для оцифровки перед БПФ?
2. Время выполнения БПФ суперкомпьютером?
3. Зачем нам комплексная часть БПФ?
4. Зачем вычислять то, что потом обнулим?

1.Для данного случая (частота оцифровки 80МГц, 12 бит) выборка под БПФ 2^23=8388608. Для одного буфера (используем не комплексные БПФ, половина выборки) 4194304*12=50 331 648 бит (т.е около 3 Мбайт), не хило конечно, а надо 2 буфера, один заполняется другой обрабатывается.
2.Насчет времени надо прикинуть, конечно процессор надо достаточно скорострельный но думаю не супер, по крайней мере 500МГц комп у меня спокойно тянет БПФ ОБПФ в двух каналах, а нам нужен один. Есть ли такие процессоры, вопрос и память вопрос к спецам.
3.Не нужно, см. п.1.
4.Наверное я не ясно высказался. Сначала надо соранить нужную нам часть спектра, а затем обнулить рабочий буфер. Кстати возникла бредовая идея. Можно этогои не делать, сразу после сохранения части сектра можно перейти на низкую частоту дискретизации и использовать для обработки гораздо менее ресурсные ОБПФ, ЦАП и обработку.
И еще, наверное я не точно дал понять предполагаемую схему обработки, используется не I/Q схема обработки а прямое БПФ в одном канале.

YuriP
28.08.2007, 12:02
Ниче не выйдет
0. Обязательно наличе полосовых фильтров
1. АЦП должно быть 16 разрядным, например Линеар техноледжи
2. Фильтр лучше AD6620 или подобный
3 DSP
ну и т.д.
Тогда и получим 96 дб ДД,
А Ваша структура будет успешно забита вещательными станциями

Sergey_gh
28.08.2007, 12:07
To ХАМский designer


1.Для данного случая (частота оцифровки 80МГц, 12 бит) выборка под БПФ 2^23=8388608. Для одного буфера (используем не комплексные БПФ, половина выборки) 4194304*12=50 331 648 бит (т.е около 3 Мбайт), не хило конечно, а надо 2 буфера, один заполняется другой обрабатывается.
2.Насчет времени надо прикинуть, конечно процессор надо достаточно скорострельный но думаю не супер, по крайней мере 500МГц комп у меня спокойно тянет БПФ ОБПФ в двух каналах, а нам нужен один. Есть ли такие процессоры, вопрос и память вопрос к спецам.
3.Не нужно, см. п.1.
4.Наверное я не ясно высказался. Сначала надо соранить нужную нам часть спектра, а затем обнулить рабочий буфер. Кстати возникла бредовая идея. Можно этогои не делать, сразу после сохранения части сектра можно перейти на низкую частоту дискретизации и использовать для обработки гораздо менее ресурсные ОБПФ, ЦАП и обработку.
И еще, наверное я не точно дал понять предполагаемую схему обработки, используется не I/Q схема обработки а прямое БПФ в одном канале.


Спектр реального сигнала комплексный. В данном случае от -40МГц до +40МГц. Re и Im ВМЕСТЕ несут информацию об амплитуде и фазе сигнала.
Взяв БПФ от буфера, мы не получим "правильного" спектра. До вычисления БПФ необходимо наложить окно высокого разрешения во временной области (иначе "насосем" лепестков в полосу пропускания). Нельзя просто занулить ненужные спектральные компоненты, нужно наложить окно в спектральной области (иначе получим выбросы во временной области). Далее, получив спектр, нельзя спектральные составляющие просто "переложить" в область НЧ. Перед ОБПФ нужна честная свертка с фурье образом от exp(jw). Считая БПФ, нам необходимо "тянуть" в вычисления все спектральные компоненты, до последнего слоя "бабочек", а потом 99.99% занулить! И все это только вершина айсберга.

Есть общепринятый способ, над которым много умных людей долго думали. Я даже пытаться не буду изобретать свое.

DRUID 3
28.08.2007, 12:10
...А фазо-фильтровый вариант Полякова с "несущей" посреди спектра это во-первых попытка обойтись ФНЧ вместо полосовых фильтров...
Во первых не Полякова, а Weaver'a, во вторых не "вместо полосовых фильтров", а вместо НЧ фазовращателей.

Ну может с Поляковым я и дал маху (но прочел впервые об этом приемнике у него) но в остальном Вы немного недопоняли. Я рассказывал зачем там гетеродины в центре полосы пропускания, а не в стороне. Уведя гетеродин за полосу, мы получим околонулевую ПЧ и полосовые фильтры как ФОС.


Sergey_gh

1. Размер буфера для оцифровки перед БПФ?
2. Время выполнения БПФ суперкомпьютером?
3. Зачем нам комплексная часть БПФ?
4. Зачем вычислять то, что потом обнулим?

1.Для данного случая (частота оцифровки 80МГц, 12 бит) выборка под БПФ 2^23=8388608. Для одного буфера (используем не комплексные БПФ, половина выборки) 4194304*12=50 331 648 бит (т.е около 3 Мбайт), не хило конечно, а надо 2 буфера, один заполняется другой обрабатывается.
2.Насчет времени надо прикинуть, конечно процессор надо достаточно скорострельный но думаю не супер, по крайней мере 500МГц комп у меня спокойно тянет БПФ ОБПФ в двух каналах, а нам нужен один. Есть ли такие процессоры, вопрос и память вопрос к спецам.
3.Не нужно, см. п.1.
4.Наверное я не ясно высказался. Сначала надо соранить нужную нам часть спектра, а затем обнулить рабочий буфер. Кстати возникла бредовая идея. Можно этогои не делать, сразу после сохранения части сектра можно перейти на низкую частоту дискретизации и использовать для обработки гораздо менее ресурсные ОБПФ, ЦАП и обработку.
И еще, наверное я не точно дал понять предполагаемую схему обработки, используется не I/Q схема обработки а прямое БПФ в одном канале.

Вы меня тоже немного удивляете. Что за странный расчет БПФ? Для всего КВ диапазона??? 8O

Ну пункты 3 и 4 даже комментировать не буду
:D

Sergey_gh
28.08.2007, 12:25
To Tadas


...не "вместо полосовых фильтров", а вместо НЧ фазовращателей.

Естественно, рассматривал вариант с преобразователем Гильберта.

UR5ZQV
29.08.2007, 10:13
To Sergey_gh,
Повидимому мне так и не удалось доходчиво объяснить мысль. Попробую на пальцах.
1.Входная часть, АЦП-БПФ работает как обычный одноканальный БПФ спектроанализатор, к примеру проги которые снимают спектр скажем с микрофона через обычную звуковую карту, только более широкополосный, с шириной 40 мгц. Результаты БПФ ложим в буфер.
2.Теперь о самих БПФ. По большому счету и вещественные и комплексные БПФ являются "комплексными" (тут коллизия, по видимому терминологии, которая многих (в том числе и меня сначала) сбивает с толку), т.к. каждая точка в них представлена вектором, описываемым действительной (Re) и мнимой (Im) величинами. Отличие вещественных БПФ заключается только в том, что не используются отрицательные частоты, они как бы "подразумеваются" по правилам симметрии БПФ, а они нам и не нужны, т.к. мы не используем квадратурную обработку, + вещественные БПФ вдвое экономят ресурс памяти.
3.Теперь о БПФ фильтрации. Да при прямоугольной оконной функции имеются изрядные боковушки, но при разрешении скажем 10Гц, за скатом фильтра их величина не превышает – 72 дБ, что весьма неплохо для практики. Пробовал для улучшения избирательности вводить различные окна, но на звуке присутствует модуляция "окном" в нашем случае ок. 10 Гц, ну над этим можно еще поработать.
4.Теперь об использовании симметричной (отрицательной) части частот. Ну во первых в вещественных БПФ ее просто нет и потерять там данные невозможно. На практике я использую комплексные БПФ и при обнулении ненужных частот при фильтрации пробовал оставлять симметричную часть, так и оставлял либо положительную часть частот либо отрицательную и после ОБПФ потери информации или разницы не заметил.
5.Дальше обработка. Вырываем из буфера узкий участок частот в нужной части общего спектра, скажем 90 кГц и грузим их в другой уже гораздо меньший буфер. Теперь имеем область частот 0…90 кгц которую можно обрабатывать и проводить ОБПФ с меньшей частотой дискретизации, скажем 192 кгц, т.е. обычной звуковой картой, только данные точек надо положить в строгом соответствии с частотами для новой частоты дискретизации и выборки для НЧ БПФ.

Sergey_gh
29.08.2007, 12:14
To ХАМский designer

Вашу мысль я понял правильно с самого начала. Теоретически все верно. С Вашим последним постом полностью согласен (если дискретность перестройки 10 герц (да еще не круглая) устраивает, то действительно, спектральные составляющие можно просто переложить).

Я просто сначала выразил скепсис по поводу требуемого быстродействия, а затем начал список проблем и граблей на которые придется наступить.

В предыдущем посте, Вы что-то намудрили с вычислением размеров буферов под оцифровку и результат. В любом случае не менее 8388608 x 12 бит. Теперь возьмем SRAM память 100МГц. Только для того чтобы прочитать буфер и записать результат уйдет ~160 мс. Уже не укладываемся. Поэтому и съязвил про суперкомпьютер.

UR5ZQV
29.08.2007, 13:00
Sergey_gh, тут полностью с вами согласен, потому как в цифровом железе не знаток, но если есть АЦП с таким быстродействием (а у них на выборку уходит я думаю больше операций чем на обмен в памяти) значит и можно подозревать что можно найти более быстродействующую память. И еще, нам надо лишь успеть загонять в буфер данные, а выбираем мы лишь его часть (в данном случае 1/400), а это намного быстрей.

DRUID 3
29.08.2007, 13:00
To: Sergey_gh & ХАМский designer

:crazy: Ужос!!! Так для каких практических целей и кому понадобится спектрограмма для всего КВ диапазона??? 8O Зачем этот избыток, если как раз всю историю техники стремятся убрать избыточность процессов?
Отказ от "даунконвертера"? Странно зачем бы это. Или кто-то будет всматриваЦЦо в монитор с суперразрешением пытаясь взором охватить "туеву хучу" точек БПФ да еще и в реальным времени? А время задержки в этих буферах, а, как правильно заметили, время обращения к памяти... Тригонометрию еще хранить надо. Какие-то электронные монстры, ей-богу...

Кстати, если все-таки down converter то его DDS врядли предназначен для приема слабых сигналов в условиях сильных помех, они все-таки для ПЧ предназначены, а "замерять" реальную отстойность такого гетеродина будет очень нелегко...


Sergey_gh, тут полностью с вами согласен, потому как в цифровом железе не знаток, но если есть АЦП с таким быстродействием (а у них на выборку уходит я думаю больше операций чем на обмен в памяти) значит и можно подозревать что можно найти более быстродействующую память. И еще, нам надо лишь успеть загонять в буфер данные, а выбираем мы лишь его часть (в данном случае 1/400), а это намного быстрей.
:D На выборку уходит такт. А на обмен с динамической памятью - несколько. Статическую заявленного Вами объема может и содержат какие-то "ТигерШарки" самые суръезные, но для таких задач применить такой DSPшник это как раз на премию по АНТИоптимизации потянет :crazy:

Sergey_gh
29.08.2007, 15:05
To DRUID 3


Кстати, если все-таки down converter..

Да конечно он. Всю ветку об этом.


.. то его DDS врядли предназначен для приема слабых сигналов в условиях сильных помех, они все-таки для ПЧ предназначены, а "замерять" реальную отстойность такого гетеродина будет очень нелегко...


Уже писал. DDS 18 бит, усечение кода фазы 20 бит. Таблица синуса - четверть периода, 1024 слова, с интерполяцией промежуточных. SFDR в худшей точке (F вокруг Fclk/8 ) лучше 105 дБ.

vadim_d
29.08.2007, 16:26
DDS 18 бит, усечение кода фазы 20 бит. Таблица синуса - четверть периода, 1024 слова, с интерполяцией промежуточных. SFDR в худшей точке (F вокруг Fclk/8 ) лучше 105 дБ.
Сергей, подобную интерполяцию я делал в Stratix-II и остался ей весьма доволен. Но вот Ваших цифр по DDS понять не могу. 18 бит - это длина аккумулятора???

Sergey_gh
29.08.2007, 16:38
To vadim_d


Сергей, подобную интерполяцию я делал в Stratix-II и остался ей весьма доволен. Но вот Ваших цифр по DDS понять не могу. 18 бит - это длина аккумулятора???

18-и битные выходные данные. 32-ух разрядный аккумулятор фазы усекается до 20 разрядов. Эти 20 разрядов и адресуют таблицу синуса и интерполятор.