* * * Форум CQHAM.RU Тема * * * -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Тема : SDR DDC трансивер ЕРМАК Started at 29.04.2017 21:40 by UT4UBK Visit at http://www.cqham.ru/forum/showthread.php?t=35581 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1] Автор : UT4UBK Дата : 29.04.2017 21:40 Добрый день, по совету старожилов форума , обозвал свою разрабатываемую конструкцию Ермак и выкладываю описание для обсуждения. Что сейчас готово и запущено: 1. Плата DSP_ARM на базе TI SoC. Экран 5 дюймов, 800x472. OS QNX, написаны и отлажены все драйвера, и гуи. В наличии - сетка 100 мбит и два USB, тачскрин, 4 энкодера, 26 кнопок, основной энкодер. Софт полностью отлажен, гуйня в процессе, приемник принимает. Есть небольшие проблемы с подавлением зеркалки, тк требуется переписать стандартный фильтр гильберта, чтобы получить групповую задержку в целое число раз. Подключение динамиков или телефона, 5 полосный эквалайзер для динамика и микрофона. FPS примерно 25. Можно больше. Врямя старта всей сиcтемы - 5 секунд. На приемнике поднят telnet. Память 64 мб, свободно 40. Автомонтирование флеша. Rootfs развернута в RAM. Есть встроенный флеш диск на 200 мбайт. 2. Плата FIP на ней расположены кнопки и энкодеры, и ставится модуль DSP_ARM. 3. Фронтенд. За оcнову взять Давидовский модуль DDC, полностью переразведен. Шумовая полка порядка -132 dB. Есть проблемы с большими сигналами, при уровне -35dB происходит переполнение. Причины - изучаются. По предварительным оценкам - приемник тянет два FIR фильтра основной селекции 192 порядка +гильберт 72 + периодически оконный FFT 1024 точки для спектроанализатора. Требуется около 400тыс циклов DSP. Без децимации можно обрабатывать до 760 кгц в реалтайме, с децимацией - 960 кгц, больше, думаю, и не надо. Или ввести второй приемник. В планах - закончить прошивку DSP (подгружаемые фильтра, шумоподавитель, нотч фильтр, работа на передачу итд ). Сделать вторые, исправленны версии плат. Развести плату разъемов, DPF, УМ и автотюнер. Во вложении - схема фронтенда, просьба прокоментировать для версии номер 2. Из ошибок - забыл завеcти VCOM на балун. Также выкладываю прошивку FPGA. Виде работы приемной части выложу позже. Коммерчеcкого интереса не преследую, just for fun. Просьба не пинать пианиста, он играет как может. Это первая моя конструкция в КВ. Всех предупреждаю - авторские ветки на CQHAM будут модерироваться предельно жестко. Если вам что-то не нравится, вы в них просто не заходите, а за наезды на авторов будут баны вплоть до пожизненных. Запрещены любые обсуждения в стиле "это не правильно". Авторская ветка предполагает повторение желающими авторской конструкции, ВСЕ. Автор для этого предоставил все необходимое - решайте сами, будете вы это собирать, или пойдет искать что-то другое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2] Автор : rolin Дата : 29.04.2017 23:57 OS QNX, написаны и отлажены все драйвера, и гуи. Класс! Думаю, этот проект ожидает большое будущее. Давид, посоветуйте, пожалуйста, как ввести остальные битрейты , вплоть до 960. И возможно - есть ошибка в приемнике. Скопируйте как есть, код первого приемника из моего проекта Odyssey, тогда и ошибка уйдет и до 960к будет. Но в этом случае передатчик уже не поместится в 10к плисину. Нужно закладывать на 16к в том же корпусе (внимание - распиновка немного отличается от 10к версии). Либо скопируйте как есть второй (компактный) приемник и получите семплрейт до 192к. https://github.com/Dfinitski/Odyssey_TRX Лично я считаю, что для standalone 192к достаточно вполне. И выше частоту I2S шина уже не поддерживает, придется что-то мудрить и возможны проблемы. Уже сейчас я вижу реальную альтернативу VisAir с открытым исходным кодом и семплрейтом выше 96к. Добавлено через 20 минут(ы): Извините, уже вижу плисина на 25к, места хватит на все, но она прямо скажем не народная, стоит немало и сложно купить. На 16к как раз золотая середина, а на 10к стоит копейки. Добавлено через 26 минут(ы): По схеме... Аттенюатор нужно заменить на PE4312, он же DAT31, он же PE4306. Это даже не обсуждается. Согласование сопротивлений выхода УВЧ и входа АЦП, его нет. Нужно поставить сопротивление 50 Ом последовательно на выход УВЧ и транс 1:4, тогда получим на входе АЦП сопротивление 200 Ом и сможем расчитать дифференциальную RC цепочку (2*25 Ом + 12пф для 55МГц полосы) на входе АЦП, чтобы блокировать собственный СВЧ шум УВЧ, который может несколько раз складываться через высшие зоны Найквиста. AD7801 можно заменить на операционник как в DDC Module 1 и сэкономить изрядно ног плисины. Опорный генератор на 10 МГц должен быть, без него работа в цифре будет мучительной. Можно сделать как в Одиссее, с автопереключением и внешним входом. Сэкономив место на RF2420 и AD7801 это запросто можно сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3] Автор : Genadi Zawidowski Дата : 30.04.2017 00:05 мой проект - под q13.1 - revision что сейчас стоит текущим как раз вариант с выдачей 192 кило/2*32 на отдельный порт процессора. под 25к. Проект тут https://188.134.5.254/browser/hfreceiver/trunk/fpga_q131 Если улучшите, буду рад. У меня с .sdc проблема... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4] Автор : UT4UBK Дата : 30.04.2017 00:47 Спасибо большое. Посмотрю обязательно, текущий проект выдаёт 192. Необходимы битрейты выше. В принципе, второй поток на сериализатор для второго приёмника можно гнать вместо hclk.он может работать и от bclk. По поводу большое объёма фпга, заложил с запасом, чтобы можно было поместить второй приемник, или обзор всего диапазона, возможно дополнительную обработку. Например делать децимацию до 12 -24 килосэмплов параллельно, чтобы нё грузить процессор итд У меня вопрос по цос. Какую лучше всего структуру дециматоров использовать до 12 24 ксэмплов? Я понимаю так, что гильберта лучше делать до децимации , чтобы повысить точность. Может как то это можно объединить? Как разбивать ступени с больших рейтов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5] Автор : rolin Дата : 30.04.2017 00:52 Sidoroffff, смотрите исходники этого проекта, там сделана децимация до 8к http://dl2stg.de/stefan/hiqsdr/fpga.html Обзор всего диапазона делается элементарно и много места не занимает. Смотрите там же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 6] Автор : UT4UBK Дата : 30.04.2017 00:54 Трансформатор 1÷4 уже едут, резистор можно поставить в текущий вариант. По аттенюатору поставил что было, где вы заказывали рекомендуемые ? Все изменения внесу во второй вариант, возможно поставлю 16 бит АЦП по конским ценам -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 7] Автор : rolin Дата : 30.04.2017 01:07 Sidoroffff, 16 бит - это лишнее для такого проекта, как на мой взгляд. Аттенюаторы можно купить в Китае, на Али. DAT-31 подороже, PE4306 дешевле. По проекту, если по-хорошему, вам нужно иметь два приемника. Один будет выдавать 24к для декодирования, второй до 960 к для обзора и обзор всей полосы в дополнение. Все вышеперечисленное вы сможете найти в исходниках проекта Одиссей. Полосу для обзора можно буферизировать и медленно гнать по SPI. С такой конфигурацией вы сможете показывать любую полосу на дисплее и процессор будет чувствовать себя комфортно. Про задержки обработки не забывайте, они должны быть максимально низкими. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 8] Автор : UT4UBK Дата : 30.04.2017 01:15 У меня от 24 МГц с фпга тактируется звуковой кодек, процессор може сформировать опору только 12 кГц из 228 МГц опорной. Или шаманить с плл кодека. Тогда по ноге клока могу гнать второй поток. Может для обзора фурье делать на борту Фпга? 1024 оконный поместится ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 9] Автор : rolin Дата : 30.04.2017 01:24 Sidoroffff, опору для процессора нужно брать с плл плис, тогда вы сможете избежать очень больших проблем с синхронизацией. Я не знаю, есть ли в процессоре своя плл и какие у нее параметры и ограничения, но в идеале опорником процессора должен быть MCLK или BCLK шины I2S, то есть плисины. Количество точек ФФТ должно быть в 2 - 4 раза больше, чем разрешение дисплея по горизонтали, такой ФФТ скорее всего просто не влезет в вашу плисину, особенно если там будут жить два независимых приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 10] Автор : UT4UBK Дата : 30.04.2017 01:32 Какие проблемы с синхронизацией могут появиться? Spi сходу не заработал, пришлось переписывать на синхронную верстию с выделением фронтов по внутренним клокам.Я специально отнес фронтенд подальше от dsp и данные гоню по лвдс. Интересный эффект, касаюсь пальцем soc - появляются шумы. Думаю, радиатор не стоит на него ставить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 11] Автор : rolin Дата : 30.04.2017 01:46 Какие проблемы с синхронизацией могут появиться? ДСП блоки в процессоре придется как-то тактировать частотой гораздо выше, чем частота входных семплов. Если эта частота не будет кратно равна частоте входных семплов, то возникнет рассинхронизация. Если частоты будут кратно равны, то есть сгенерированы от одного опорника, то нет необходимости во входной буферизации и последующих хитростях как же победить рассинхронизацию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 12] Автор : UT4UBK Дата : 30.04.2017 02:06 Процессор работает на 456 МГц. Поток загоняется по дма и буферизируется по 1024 сэмпла в два пинг понг буфера. Склейку и выдачу обработанных блоков на кодек уже отработал. Пришлось изрядно потрудиться. Для производительности лучше работать блоками, они остаются во внутреннем кэше данных. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 13] Автор : VFO Дата : 30.04.2017 09:31 У Вас на схеме каскад на AD8099 имеет входное сопротивление 25 Ом вместо 50, ведь помимо того, что входное сопротивление инвертирующего каскада определяется значением R21, так у Вас ещё и R23 присутствует такого же номинала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 14] Автор : SVd2004 Дата : 30.04.2017 13:29 Плата FIP на ней расположены кнопки и энкодеры, и ставится модуль DSP_ARM. Если не трудно, фото обратной стороны платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 15] Автор : rolin Дата : 30.04.2017 17:53 У Вас на схеме каскад на AD8099 имеет входное сопротивление 25 Ом вместо 50 Да, в схеме ошибка. Левый вывод R21 должен идти на землю через кондер 0.1мкф. Резистор R23 вообще лишний. Вот, как надо 261811 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 16] Автор : Kia2700d Дата : 30.04.2017 18:37 https://github.com/Dfinitski/Odyssey_TRX немного оффтоп, но гитхаб это не FTP сайт, и туда обычно выкладывают исходники не в архивах, а всю структуру файлов в развернутом виде. тогда легко просматриваются изменения между версиями. глянь какой-нибудь ролик по использованию системы Git. архивы и откомпилированные прошивки создаются в закладке "Releases" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 17] Автор : UT4UBK Дата : 30.04.2017 18:54 Я его заложил на всякий случай во время реверс инженеринга Давидовски плат:-P. На плате он не установлен. Спасибо за замечание -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 18] Автор : R6YY Дата : 30.04.2017 19:04 По аттенюатору поставил что было, где вы заказывали рекомендуемые? Я брал здесь (https://ru.aliexpress.com/item/Free-shipping-5pcs-lot-PE4304-PE4302-PE4306-digital-attenuator-QFN20-new-original/32595231168.html?spm=2114.13010608.0.0.M6cjos). Проверено, рабочие. При заказе указать "нужны PE4306" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 19] Автор : UT4UBK Дата : 30.04.2017 20:02 Ок заказал, спасибо. Куда можно видео выложить, нужны советы по звуку и полосе фильтров. На ютуб не хочу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 20] Автор : rolin Дата : 30.04.2017 20:05 Sidoroffff, на яндекс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 21] Автор : rx9cim Дата : 30.04.2017 20:10 Можно ссылку на SoC DSP_ARM? Какой аудио кодек и куда пристегивается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 22] Автор : UT4UBK Дата : 30.04.2017 20:16 Ок,вы случайно не отсюда http://www.kpda.ru/? Фото выложил, распиновку индикатора пришлось отзеркалить вручную и жестоко :-) Кодек TI AIC31, SoC omap. Незаконченную работу пока не хочу выкладывать полностью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 23] Автор : rx9cim Дата : 30.04.2017 20:24 Ок,вы случайно не отсюда http://www.kpda.ru/? Нет. В позывном rx9cim 9C- Свердловская область. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 24] Автор : UT4UBK Дата : 30.04.2017 20:32 Я тоже с Урала. Про встриваемые системы - это вопрос к SVD2004 Ссылка на видео https://rutube.ru/video/private/0b9c1b8c48fccaa631047d494eca0d36/?p=HUEUIxI_2ryDqVCBAmGPjw Антенна типа веревка за окном 4 метра вдоль стены. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 25] Автор : rx9cim Дата : 30.04.2017 20:36 https://rutube.ru/video/private/0b9c...2ryDqVCBAmGPjw А можно куда-нибудь чтобы без регистрации? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 26] Автор : UT4UBK Дата : 30.04.2017 20:40 Попробуйте так https://rutube.ru/video/0b9c1b8c48fccaa631047d494eca0d36/ Жду комментариев. Сейчас делаю плавную регулировку полосы сверху и снизу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 27] Автор : rx9cim Дата : 30.04.2017 20:43 https://rutube.ru/video/0b9c1b8c48fc...47d494eca0d36 Не катит. Говорит убрано из публичного доступа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 28] Автор : rolin Дата : 30.04.2017 20:43 Sidoroffff, вы забыли открыть публичный доступ. И с тем же успехом можно было бы и на ютуб выложить, тогда прямо в сообщение форума можно видео встроить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 29] Автор : UT4UBK Дата : 30.04.2017 20:45 Зашел без регистрации с другого браузера. Все открыто -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 30] Автор : SVd2004 Дата : 30.04.2017 20:47 Про встриваемые системы - это вопрос к SVD2004 Избави бог. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 31] Автор : rolin Дата : 30.04.2017 20:52 Ну, отлично все. Динамик только шипящий или микрофон камеры некачественный. https://rutube.ru/video/0b9c1b8c48fccaa63104 7d494eca0d36/ Потом как-нибудь сделайте, чтобы при перестройке до определенного момента двигалась шторка фильтра по панораме и только потом уже ехала сама панорама. Скажем, 2/3 от ширины панорамы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 32] Автор : UT4UBK Дата : 30.04.2017 20:52 Надо эквалайзер запустить, чтобы верхи подрезать и полосу сузить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 33] Автор : rx9cim Дата : 30.04.2017 20:53 1. Видео короткое. 2. Надо делать усреднение панорамы, иначе мельтешащая картина выглядит не айс. 3. Надо оценить прямоугольность фильра по приему. Это можно сделать только на мощных станциях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 34] Автор : UT4UBK Дата : 30.04.2017 20:55 По шторке все заложено, но там надо выводить только половину спектра, чтобы масштабировать. Фильтр 192 порядка , прямоугольность сейчас посмотрю по расчетам. Закладывал подавление 120 Дб. Можно прямоугольность улучшить при меньшем подавлении. Да, спектр усредняю, но мало. Там надо динамически при перестройке частоты попадать в предыдущие измерения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 35] Автор : rolin Дата : 30.04.2017 20:59 но там надо выводить только половину спектра, чтобы масштабировать. Может лучше NCO поставить или что там если вы в частотной области ? Как-то неправильно показывать половину обработанного спектра, нерационально. Если вы реализуете два независимых приемника, как я выше советовал, можно легко решить этот вопрос. Надо делать отдельный приемник декодера и отдельный на панораму. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 36] Автор : UT4UBK Дата : 30.04.2017 21:03 Это в следующей версии платы. Придумал как буду делать децимацию до 24 (сейчас на 48 работаю).В SoC есть два дополнительных RISC ядра, одно задействовано дял работы с енкодерами и реализует интерфейс для фронтенда, второй будет заниматься децимацией и готовить данные для DSP. Ресурсов там хватит, на 24 кгц бюджет по циклам 16 млн. Сейчас использую только 400 тыс, так что наворотить можно много чего. Думаю и 1,5 мбита потянет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 37] Автор : rx9cim Дата : 30.04.2017 21:13 Ограничением будет доступ к внешней памяти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 38] Автор : UT4UBK Дата : 30.04.2017 21:14 Попробуем, там SDRAM на 133 МГц, вся обработка крутится в быстрой памяти на 300 МГц В любом случае надо вытягивать по максимуму из железа -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 39] Автор : rx9cim Дата : 30.04.2017 21:14 Чем ограничен порядок 192? Почему не больше? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 40] Автор : UT4UBK Дата : 30.04.2017 21:17 А зачем больше? В Quisk такие порядки используются. Подскажите - какого порядка гильберта достаточно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 41] Автор : rolin Дата : 30.04.2017 21:20 ридумал как буду делать децимацию до 24 (сейчас на 48 работаю) 24 можно прямо в плисине сделать элементарно. Платки надо причесать и следующую версию надеюсь уже можно будет повторять. Желающие найдутся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 42] Автор : UT4UBK Дата : 30.04.2017 21:24 Можно, но мне нужен сейчас полный спектр для обзора, это во второй версии со вторым приемником -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 43] Автор : rolin Дата : 30.04.2017 21:26 упс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 44] Автор : rx9cim Дата : 30.04.2017 23:12 по поводу непоняток с приемом больших сигналов - а данные принимаемые с и2с нормируете? Не забываем, что принимаем 24 бита в 32-х разрядном слове, потом надо преобразовать в нормальные 32 бита с учетом знакового бита в принятом 24-х битном слове. Ну и потом еще траблы аналогичные могут быть если приемный регистр и2с в проце 16 разрядный - надо учитывать при преобразовании в 32 разряда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 45] Автор : rolin Дата : 30.04.2017 23:22 потом надо преобразовать в нормальные 32 бита с учетом знакового бита в принятом 24-х битном слове. Там нечего преобразовывать, старший бит знаковый, младшие 8 бит просто выбрасываем, как не несущие информацию. А можно и не выбрасывать, если вся арифметика 32-битная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 46] Автор : rx9cim Дата : 30.04.2017 23:34 Преобразовывать надо. Для проца 0х00800000 и 0хFF800000 разные вещи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 47] Автор : rolin Дата : 30.04.2017 23:52 Для проца 0х00800000 и 0хFF800000 разные вещи. Точно, дополнительный код - это не так просто как прямой со старшим знаковым битом А процессор с прямым работает, что ли ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 48] Автор : UT4UBK Дата : 01.05.2017 00:25 Проверил. Посылал константы с фпга и смотрел на приемной стороне. Там есть режим, по которому он смотрит последний бит в данных и растягивать его на оставшиеся старшие . Те 24 растягивает в 32. Завтра проверю на больших числах. Возможно неправильно настроил его. Вопрос, на каком уровне подавления боковой имеет смысл остановиться. Какую примоугольность фильтра заложить, ниже 200 Гц насколько хорошо фильтровать. Там есть нюансы, гильберт и другие методы неидеальны возле нулевой частоты и надо задирать его порядок. Или децимировать до 12 к. С другой стороны, остатки неподавленной боковой можно от фильтровать снизу. Кстати, лучше использовать два фильтра на 45 градусов в обоих каналах, тогда недальность ачх скомпенсируется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 49] Автор : rolin Дата : 01.05.2017 00:50 Там есть режим, по которому он смотрит последний бит в данных и растягивать его на оставшиеся старшие . Те 24 растягивает в 32. Завтра проверю на больших числах. Возможно неправильно настроил его. Все, что ниже первых 24 бит настолько малая величина, что их огрехи нельзя заметить, даже если всегда держать младшие 8 бит в нулях. Но по-правильному, они должны быть равны младшему нулевому биту верхнего 24-битного слова. Сделайте в плисине 24-битный счетчик, с приращением в каждом такте и по форме пилы на приемной стороне можно будет определить, правильно ли интерпретируются данные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 50] Автор : Jozef55 Дата : 01.05.2017 21:08 Уважаемый конструктор вы пишете про какую то плату "DSP_ARM на базе TI SoC".Можно точнее какую ссылку на этот продукт.Хотел посмотреть сколько стоит это чудо и.т.д. Поздровляю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 51] Автор : SVd2004 Дата : 02.05.2017 07:34 Можно точнее какую ссылку на этот продукт.Хотел посмотреть сколько стоит это чудо и.т.д. На фото видно, что это авторская разработка. "ERMAK DSP MCU (Rev 1.0)" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 52] Автор : UT4UBK Дата : 03.05.2017 12:16 Нашел неплохой софт по моделированию и расчету цифровых фазовращателей http://iowahills.com/A5HilbertPhasePage.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 53] Автор : Genadi Zawidowski Дата : 03.05.2017 12:41 Ну напишите тип TI процессора! Этих "DSP_ARM на базе TI SoC" там как грязи... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 54] Автор : UT4UBK Дата : 03.05.2017 13:06 OMAPL-137. Есть и более мощные, но смысла в них никакого нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 55] Автор : Genadi Zawidowski Дата : 03.05.2017 13:51 Имя, пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 56] Автор : UT4UBK Дата : 03.05.2017 14:06 OMAPL-137. Есть и более мощные, но смысла в них никакого нет. . Кого имя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 57] Автор : SVd2004 Дата : 03.05.2017 14:12 Кого имя? OMAPL137DZKB4 Это? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 58] Автор : US8IDZ Дата : 04.05.2017 10:18 OMAPL137DZKB4 Это? наверняка для дальнейшего общения важнее знать как величать автора сего проекта, т.е. его имя и отечество, коль уж нет позывного и мы не можем подсмотреть эту информацию в колбуке, а справочник никнэймов и аватаров еще никто не сподобился создать :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 59] Автор : Genadi Zawidowski Дата : 04.05.2017 10:21 Я интересовался точным названием процессора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 60] Автор : R3KBL Дата : 04.05.2017 10:31 Похоже на какую-то аферу. Точного партнамбера платы нет, названия процессора нет. Что обсуждаем вообще? Почему нельзя было взять уже отработанный, заранее рабочий фронтэнд ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 61] Автор : Genadi Zawidowski Дата : 04.05.2017 10:45 В стертом сообщении коллега говорил что выложит SCH после окончания разработки... It's my own PCB design which based on TI Omap SoC. I don't see any commercial future with it by now, so I provide documentation (sch, gerbers , binary etc) after finishing this project. Почему нельзя было взять уже отработанный, заранее рабочий фронтэнд ? У нас у всех свои взгляды как должен выглядеть качественный аппарат... Мне вот не все нравится в версиях rolin, не все в версиях Hermes/Anan (хотя конечно роскошные изделия...). Делаю своё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 62] Автор : UT4UBK Дата : 04.05.2017 11:36 OMAPL137DZKB4. Имя Константин. Работа идет по плану. Сейчас добился подавления боковой не хуже 80дБ. Сделал перестраиваемый фильтры, добавляю адаптивный шумоподавитель. Переделываю прошивку FPGА для двух отдельных потоков.Причем тут афера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 63] Автор : US8IDZ Дата : 04.05.2017 11:59 Геннадий, а мне интерсно знать и имя автора. А писать картну на полотне или на заборе и с какого мольберта это сам художник решает. Тут уж право на свободу творчества никто не может ограничивать - хоть на OMAP-L137 хоть на OMAP-L138, хоть на OMAP4460 или Exynos 8895 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 64] Автор : UT4UBK Дата : 05.05.2017 16:06 24 можно прямо в плисине сделать элементарно. Платки надо причесать и следующую версию надеюсь уже можно будет повторять. Желающие найдутся. Давид, сделал 24 на выходе. Все работает отлично. Хотелось бы совета, с верилогом работаю от силы - месяц .Хочу аккумулировать пачками по 1024 *2 (Re & Im) сэмплов с нужным рейтом и выкидывать наружу по второй линии данных. Основной рейт 24К остается неизменным. Алгоритм примерно такой, делаю буфер на такое количество сэмплов с нужным рейтом, по сигналу на отдельной линии его заполняю и по началу следующего фрейма серилизирую его через вторую линию данных I2S. В каком модуле это лучше всего сделать, я полагаю в varcic? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 65] Автор : UR3IQO Дата : 05.05.2017 16:45 У нас у всех свои взгляды как должен выглядеть качественный аппарат... Золотые слова :) 2 Sidoroffff: По поводу Гильберта - сдался он Вам, все делается вообще без него в явном виде. Уже где-то рассказывал не помню, если интересно могу еще раз, основная идея - синтезируются сразу два фильтра с нужной АЧХ, ФЧХ которых имеет фозовый сдвиг в 90градусов друг относительно друга, и все (так сделано у меня). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 66] Автор : UT4UBK Дата : 05.05.2017 18:49 Олег, именно так и сделал - два BPF фильтра -45 и 45 градусов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 67] Автор : UT4UBK Дата : 05.05.2017 21:42 Давид, сделал 24 на выходе. Все работает отлично. Хотелось бы совета, с верилогом работаю от силы - месяц .Хочу аккумулировать пачками по 1024 *2 (Re & Im) сэмплов с нужным рейтом и выкидывать наружу по второй линии данных. Основной рейт 24К остается неизменным. Алгоритм примерно такой, делаю буфер на такое количество сэмплов с нужным рейтом, по сигналу на отдельной линии его заполняю и по началу следующего фрейма серилизирую его через вторую линию данных I2S. В каком модуле это лучше всего сделать, я полагаю в varcic? Спасибо, уже разобрался. Просто сделал второй приемник :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 68] Автор : rolin Дата : 06.05.2017 04:20 Спасибо, уже разобрался. Просто сделал второй приемник Да, нужен второй независимый приемник. Если вы хотите использовать его для отображения панорамы, то берите первый приемник от Одиссея, он до 960к работает. Буферизировать необходимо объем, соответствующий дальнейшему необходимому качеству ФФТ. Например, у вас дисплей 800 точек по горизонтали, для качественного отображения панорамы берем ФФТ размер хотя бы двухкратный, то есть это 1600 *2 = 3200 семплов или 9600 байт буфер. Периодичность заполнения буфера должна соответствовать частоте обсчета ФФТ то есть обновления экрана, то есть 25 Герц. Понятное дело, что для каждого семпл-рейта придется выставлять свою периодичность заполнения буфера. Будет удобнее, если процессор будет давать плисине команду когда начинать заполнение а плисина будет говорить процессору когда данные готовы. С общим принципом буферизации на верилоге можно ознакомится в исходниках Одиссея, модуль bandscope , если не ошибаюсь. Там буквально 5 строчек кода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 69] Автор : UR3IQO Дата : 06.05.2017 07:53 берем ФФТ размер хотя бы двухкратный, то есть это 1600 *2 = 3200 семплов или 9600 байт буфер. Не пойдет, размер БПФ должен быть кратен степени двойки, БПФ на 2048 точек, ИМХО, для такого экрана должно быть нормально. Периодичность заполнения буфера должна соответствовать частоте обсчета ФФТ то есть обновления экрана, то есть 25 Герц. Я бы по возможности еще добавил усреднения. Кроме того, для водопада есть смысл иметь возможность обсчитывать быстрее, чем частота кадров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 70] Автор : EU1SW Дата : 06.05.2017 09:44 Не пойдет да в общем то принципиально без разницы, все равно необходимо какое нибудь "окно" поприличней накладывать на данные перед FFT, а после этого дополняется нулями до степени двойки, или "окном" вырезать из большего буфера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 71] Автор : UT4UBK Дата : 06.05.2017 11:53 А какой смысл в большем буфере. чем 1024 сэмпла? Все равно потом масштабируется до 800. Окно Блэкмана-Харриса накладываю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 72] Автор : UR3IQO Дата : 06.05.2017 15:18 а после этого дополняется нулями до степени двойки Это есть смысл делать, если данных не хватает, а тут с этим все в полном порядке. Дописывая нули мы будем по-любому терять часть полезной информации. А какой смысл в большем буфере. чем 1024 сэмпла? В основном это зависит от того как Вы видите поведение программы/водопада при масштабировании/сдвиге. Мне, например, нужны данные с запасом по краям, для того, чтобы получить желаемое поведение водопада. Было бы много памяти, я бы задумался не оцифровать ли весь диапазон с хорошим разрешением, с той же целью 8-). Я так понимаю ребята из SunSDR пошли по такому пути (судя по видео SunSDR-MB1). Но с моими 8МБ памяти это нереально. Из мелочей: - больше точек - меньше требования к децимационным фильтрам. В смысле чем меньшую относительную полосу мы показываем, тем менее жесткие требования к децимационным фильтрам. - после накладывания окна (прямоугольное окно я здесь не рассматриваю) у нас разрешение по частоте ухудшится. Можно взять больше точек и обработав дополнительно данные получить лучшую чувствительность и улучшить разрешающую способность (по частоте) панорамы/водопада, правда с этим думаю мало кто заморачивается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 73] Автор : UT4UBK Дата : 06.05.2017 15:49 Подскажите, как лучше решить проблему со спектром. Поясню, когда шторка не двигается, нам необходимо двигать весь спектр, те в время усреднения спектра , необходимо учитывать его сдвиг по частоте относительно предыдущих показаний и попадать в нужные точки. Сделал, но все равно размазывается.С двигающейся шторкой и вторым приемником проще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 74] Автор : rolin Дата : 06.05.2017 20:15 Было бы много памяти, я бы задумался не оцифровать ли весь диапазон с хорошим разрешением, с той же целью . Я так понимаю ребята из SunSDR пошли по такому пути (судя по видео SunSDR-MB1). Но с моими 8МБ памяти это нереально. Все равно не хватит разрешения, чтобы качественно отобразить панораму на большом зуме. Гибче надо, с хитрецой :) 8кБ достаточно для буферизации, а для зуммирования отдельный приемник с переменным семплрейтом. И хоть весь диапазон отображайте, без проблем. Собственно, эта конфигурация и обсуждается в данный момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 75] Автор : UR3IQO Дата : 07.05.2017 09:50 Все равно не хватит разрешения, чтобы качественно отобразить панораму на большом зуме. Гибче надо, с хитрецой 8кБ достаточно для буферизации, а для зуммирования отдельный приемник с переменным семплрейтом. И хоть весь диапазон отображайте, без проблем. Вы не поняли о чем я, в итоге мы поговорили каждый о своем :) Найдите в сети видео, где "прокручивается" спектр с водопадом при перестройке и сравните тот же MB1 и Maestro. Разница будет сразу заметна. Я про это - имея много памяти, при достаточной производительности можно отображать достоверную информацию на водопаде при его прокрутке/зуммировании в пределах всего диапазона. А когда памяти мало, то при прокрутке водопада настанет момент, когда накопленной информации для этого участка просто не будет и будем показывать черный экран. Например, смотрю панораму с обзором 20кГц, потом раз и перестроился на 200кГц в сторону - подумайте, что будет на водопаде? Ну или смотрим полосу 200кГц, а потом переключились на 20кГц. Я про это. На счет отдельного приемника для панорамы это понятно, у меня тоже отдельный, причем DDC :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 76] Автор : rx9cim Дата : 07.05.2017 15:10 Какие параметры в проекте ПЛИС выставить для memcic для частоты дикретизации 24кГц? пробовал memcic #(.STAGES(13), .DECIMATION(20), .ACC_WIDTH(71)) и .ACC_WIDTH(68) - идет сильный шум и много мусора. Может конечно где то в другом месте у меня что-то не так, смотрю. Добавлено через 20 минут(ы): При .ACC_WIDTH(72) уровень шума меньше, но все равно большой, 58. Может имеет смысл с varcic поиграться, сделать децимацию 64 вместо 32? При .ACC_WIDTH(73) сплошной шум, без сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 77] Автор : UT4UBK Дата : 07.05.2017 19:09 Я в varcic менял DECIMATION на 640, но пропали характерный завалы АЧХ на краях диапазона. Давид, можете прояснить почему? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 78] Автор : rx9cim Дата : 07.05.2017 19:14 может не на 640, а на 64? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 79] Автор : UT4UBK Дата : 07.05.2017 19:19 вот параметры //design parameters parameter STAGES = 5; parameter DECIMATION = 320; parameter IN_WIDTH = 22; Вот здесь происходит выбор 48\96\192 if (sample_no == ((DECIMATION << (2-extra_decimation))-1)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 80] Автор : rx9cim Дата : 07.05.2017 19:22 может не на 640, а на 64? но пропали характерный завалы АЧХ на краях диапазона. Яполагаю что просто упало чутье, надо ACC_WIDTH подгонять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 81] Автор : UT4UBK Дата : 07.05.2017 19:24 Да заметил, что по другому начал работать приемник -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 82] Автор : rolin Дата : 07.05.2017 21:31 Например, смотрю панораму с обзором 20кГц, потом раз и перестроился на 200кГц в сторону - подумайте, что будет на водопаде? Ну или смотрим полосу 200кГц, а потом переключились на 20кГц. Я про это Понял. Ну, качественно буферизировать полосу даже в 1 Мгц это все равно не то же самое, что всю полосу 0 - 30 МГц. Там у них есть предел, может он 200 кГц как раз. Добавлено через 36 минут(ы): Sidoroffff, лучше по varcic увеличить децимацию. Измените в receiver //I channelvarcic #(.STAGES(4), .DECIMATION(64), .IN_WIDTH(22), .ACC_WIDTH(54), .OUT_WIDTH(24)) varcic_inst_I1( .clock(clock), .in_strobe(1'b1), .extra_decimation (s_rate[1:0]), //00=24, 01=48, 10=96 kHz .out_strobe(cic_outstrobe_1), .in_data(cordic_outdata_I), .out_data(cic_outdata_I1) ); -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 83] Автор : UR3IQO Дата : 07.05.2017 21:43 качественно буферизировать полосу даже в 1 Мгц это все равно не то же самое, что всю полосу 0 - 30 МГц. Это да, я про 0-30МГц и не думал (да там такое и не нужно) - один любительский диапазон, а точнее даже наверное полосы обзора в 0.5МГц вполне достаточно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 84] Автор : UT4UBK Дата : 02.06.2017 15:12 Добрый день всем, Как и планировалось, закончил большую часть работы по приемнику 1. Добавлены полосы обзора 384, 480, 640 и 960 кГц 2. Решена проблем с перегрузкой приемника. В приемнике поменял следующие строчки memcic #(.STAGES(12), .DECIMATION(10), .ACC_WIDTH(70)) 3. Добавлены Notch Blanker, Auto notch ,Noise reduction фильтра (предварительно посчитанные в Матлабе) 4. Запущена AGC И S-Meter (true RMS) 6. 5 встроенных фильтров и один регулируемый (оба ската с шагом 50 Гц) 7. Запущен 5 полосный эквалайзер по приему 8. Исправлены недоработки с отображением спектра (автоматическое масштабирование, вычисление шумовой полки, сглаживание итд ) Tnx RX9CIM! Есть планы по переносу некоторых узлов в fpga. Сейчас пишется служба для записи эфира на USB или встроенную память. Ресурсов еще много, можно запустить второй приемник, но пока смысла не вижу. В планах - закончить передающую часть и заказать новые образцы плат. Вопрос к обладателям автономного модуля от RX6DAN/RX9CIM. Этот модуль послужил стартовой точкой для разработки фронтенда. При каком максимальном уровне входного сигнала происходит перегрузка? Интересует реальный динамический диапазон? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 85] Автор : rolin Дата : 03.06.2017 02:53 1. Добавлены полосы обзора 384, 480, 640 и 960 кГц 2. Решена проблем с перегрузкой приемника. В приемнике поменял следующие строчки memcic #(.STAGES(12), .DECIMATION(10), .ACC_WIDTH(70)) В этих двух пунктах есть несоответствие. Маленький приемник, в котором есть memcic, не работает выше 192к. Похоже, вы попытались захимичить что-то из блоков разных приемников. Я очень рекомендую вам просто взять большой приемник от Одиссея и тогда не будет вопросов о преждевременном переполнении приемника и недостатке ДД. Да, хотелось бы увидеть исправленные платы и больше инфы, чтобы самому собрать можно было бы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 86] Автор : UT4UBK Дата : 03.06.2017 09:31 Основной приемник не тянет больше 192. Поэтому для панорамы пришлось вставить второй приемник от mercury или одиссея. Там варкик стоит после основного дециматора. И немного отмасштабировал код от adc По багам. При приеме появляются спуры на расстоянии 26 кГц от центральной частоты. Похоже это особенность данной реализации ddc. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 87] Автор : EW2MS Mikhail Дата : 03.06.2017 14:40 При приеме появляются спуры на расстоянии 26 кГц от центральной частоты. Это похоже на то, что Вы скопировали код, от VisAir, в котором такая проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 88] Автор : DeXter Holland Дата : 03.06.2017 15:35 скопировали код, от VisAir насколько известно, код закрытый и не публиковался, похоже на одни и те же грабли наступили -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 89] Автор : UT4UBK Дата : 03.06.2017 17:52 Весь код открытый tapr.org. Причем это проявляется на обоих вариантах приемников, палки видны на спектре и слышны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 90] Автор : rolin Дата : 03.06.2017 20:51 это проявляется на обоих вариантах приемников А ведь они такие разные по структуре... Скорее всего это проблема помехи по питанию или по генератору, вылазить они будут при очень сильном входном сигнале, близком к переполнению АЦП. Я проделал множество экспериментов со своим Одиссеем - никаких спуров нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 91] Автор : romanetz Дата : 03.06.2017 21:07 1. Плата DSP_ARM на базе TI SoC. Экран 5 дюймов, 800x472. OS QNX, написаны и отлажены все драйвера, и гуи. В наличии - сетка 100 мбит и два USB, тачскрин, 4 энкодера, 26 кнопок, основной энкодер. Софт полностью отлажен, гуйня в процессе, приемник принимает. QNX планируете выкладывать, исходники, образом флешки, или как? Этот момент как-то скромно умолчали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 92] Автор : UT4UBK Дата : 03.06.2017 21:08 Почему 26 кГц на разных конструкциях?Тоже грешил на генератор, но в Визиайр такое же показывали, и на прием и на передачу. Qnx очень уважаю, поэтому нарушать закон не буду. Где взять свободно - могу подсказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 93] Автор : rolin Дата : 03.06.2017 21:30 Почему 26 кГц на разных конструкциях? Трансивер Одиссей, оба приемника, большой сверху, маленький снизу. Уровень входного сигнала вызывает переполнение АЦП. 264065 но в Визиайр такое же показывали, и на прием и на передачу. Это было только у одного пользователя, больше никто не подтвердил. А вы сами подумайте своей головой, отчего чистый тон на входе может получить спектральные составляющие +/- 26кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 94] Автор : UT4UBK Дата : 03.06.2017 23:59 Возможно - модуляция генератора по питанию. Но откуда могла взяться в двух разных конструкциях одна и та же частота -26 кГц? Второе, в Вашем приемнике стоит другой АЦП. Как предположение - влияние АЦП на несущую генератора. Тогда - спуры появятся и на передачу и на прием. По питанию на генератор у меня стоит отдельный стабилизатор. Возможно - влияние нагрузки (вход АЦП) на генератор. В любом случае - надо проверять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 95] Автор : rolin Дата : 04.06.2017 00:25 Но откуда могла взяться в двух разных конструкциях одна и та же частота -26 кГц? Эта частота не является кратной частоте задающего генератора, поэтому она никак не может взяться из ПЛИС или как наводка от цифровой шины. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 96] Автор : UT4UBK Дата : 04.06.2017 00:37 Возможно, внутренняя плл шалит, завтра проверю разные варианты тактировки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 97] Автор : rx9cim Дата : 04.06.2017 09:50 В Visair все нормально. Какой то случай лишних палок был, но это единичный случай был и что там было уже не помню. Возможно заморочка была с тактированием i2s. Специально у себя еще раз проверил - никаких лишних палок нет при подаче сигнала с уровнем на 100дБ больше шума. Более генератор дать не способен. Теперь что касается спуров в конкретном обсуждаемом случае- а спур ли это? Надо понимать, что сигнал тактирования идет и на плис, системы ведь синхронные и если бы что то колебалось то этого не должно быть заметно. Это 1. 2 - по интерфейсу звука плис и проц в идеале должны тактироваться от отдной точки, плис мастер, а проц слэйв. 3 - ситуация выглядит как будто есть смешивание квадратурных каналов. Как будто в одном канале есть чуток данных другого. Либо при отрисовке панорамы используются повторяющиеся данные. Посмотрите внимательно это место. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 98] Автор : UR3IQO Дата : 04.06.2017 09:55 Возможно, это просто недостаточно подавленные алиасы основного сигнала. Надо проверить как помехи ведут себя при перестройке, тогда будет понятнее, что это может быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 99] Автор : rx9cim Дата : 04.06.2017 10:43 Скорее всего нет. Можно предположить что на больших полосах пропускания панорамы не хватает порядка фильтра для подавления алиасов. Но на фото полоса 96кГц, а при такой полосе подавление должно быть норм. У себя проверял с кодом от модуля 1- все норм, никаких алиасов нет ни на одной из полос пропускания (до 192кГц). вопрос скорее всего в приеме данных (смешивание данных или каналов) или в обработке (что менее вероятно на мой взгляд). И еще один довод - палки ниже основного сигнала на 36дБ, на спуры это не похоже, обычно там что то типа 80дБ и более. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 100] Автор : UR3IQO Дата : 04.06.2017 11:15 Как по мне, то самое простое: 1. Посмотреть как меняется частота/уровень палок при изменении частоты сигнала 2. Посмотреть как меняется частота/уровень палок при перестройке трансивера 3. Посмотреть как меняется уровень палок при изменении уровня сигнала Скорее всего этого будет достаточно, чтобы определиться с наиболее вероятной причиной палки ниже основного сигнала на 36дБ, на спуры это не похоже, обычно там что то типа 80дБ и более. Как я понял (судя по S-метру), основной сигнал намного "выше" верха панорамы, т.е. там вполне возможно под 80дБ разницы и есть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 101] Автор : RA6ANR Дата : 04.06.2017 12:56 Признаюсь-мой косяк, что не сделал этого раньше.запитал module1 от батареи через линейный стабилизатор.палки пропали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 102] Автор : UT4UBK Дата : 11.06.2017 21:55 Сделана запись файлов на внутренний диск и подключаемую флешку. По ссылкам - образцы двух файлов (80 метров и радиовещалка АМ) https://www.dropbox.com/s/7srweokephqte4r/1970_01_01_00%3A00%3A35_3654650kHz.wav?dl=0 https://www.dropbox.com/s/0zqzowye2k6omza/1970_01_01_00%3A04%3A19_1413000kHz.wav?dl=0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 103] Автор : rx9cim Дата : 11.06.2017 22:16 На аудио иногда слышны шелчки - буфера не хватает? Какой сэмплрейт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 104] Автор : UT4UBK Дата : 11.06.2017 22:37 Буфер два по 32кб. Щелчок из-за антенн кусок провода за окном и три монитора на столе . На неделе приедет антена получше. Сэмплрэйт 24к -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 105] Автор : rx9cim Дата : 11.06.2017 23:48 Причину зеркалок на панораме выяснили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 106] Автор : UT4UBK Дата : 12.06.2017 00:16 Уровень минус 85 дб, плюс минус 24 кГц, немного растет с частотой. Надо все ставить в корпус и добавлять фильтра по входу. Похоже на спуры, поэтому отложил на следующий этап. Возможно из за питания, но не уверен. В коде фронтенда полностью избавился от плл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 107] Автор : UR3IQO Дата : 12.06.2017 09:05 Сделана запись файлов на внутренний диск и подключаемую флешку. А куда-нибудь где скачать без регистраций можно выложить можно? Все, со второго захода регистрацию перестало просить :) Щелчок из-за антенн Нет, не похоже на это. Скорее всего какие-то проблемы при записи. Добавлено через 12 минут(ы): А вот и аргумент в пользу того, что щелчки возникают скорее всего уже после обработки сигнала в приемнике - посмотрите на спектрограмму (есть конечно небольшая вероятность, что так АРУ реагирует, но тогда и на нее стоит обратить внимание): 264532 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 108] Автор : Genadi Zawidowski Дата : 12.06.2017 11:35 мне тоже показалось похожим на пропуски при записи. пока не сделал блочную запись, скорости SD не хватало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 109] Автор : UR3IQO Дата : 12.06.2017 11:41 пока не сделал блочную запись, скорости SD не хватало У меня скорости хватало в любом случае. Это от карточки зависит, ну и от буфера, я только SDHC и новее поддерживаю, а буфер записи у меня огромный (это сделано не для записи, а для другой фичи, но для записи оказалось тоже очень удобным) :) А так поток небольшой (в моем случае 12000*2*2 ~ 48кБ/сек) и проблем быть не должно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 110] Автор : hOne Дата : 12.06.2017 11:51 Понравился вид вашего S - метра. Где брали если не секрет? Сейчас ищу красивый S - метр, что бы встроить в программу UnoSDR, с этим проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 111] Автор : Genadi Zawidowski Дата : 12.06.2017 12:05 У меня скорости хватало в любом случае скорости-то хватает... в среднем. но задержки в карте при записи меньше, если использовать блочную запись. что позволило сократить размеры буфера до разумных... У меня пишется моно, 16 бит, 48 кГц. попробую догадаться - "фича" это запись с предысторией? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 112] Автор : UR3IQO Дата : 12.06.2017 12:16 скорости-то хватает... в среднем. но задержки в карте при записи меньше, если использовать блочную запись. Ну, для этого буфер. Если ничего не путаю, то карточка может вполне законно "задержаться" в состоянии программирования на 0.25сек. попробую догадаться - "фича" это запись с предысторией? Да, "машина времени", я пишу два канала по 16бит, 12кГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 113] Автор : SVd2004 Дата : 12.06.2017 12:18 SidoroFFFF Пайка процессора машинная или ручная? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 114] Автор : UT4UBK Дата : 12.06.2017 12:35 Странно, открыл в звуковом редакторе, решил исследовать - увидел один щелчек. Если про низкочастотный фон - то это наводки от светодиодных ламп. Щелчки обычно появляются на стыках пакетов, если неправильное склеивание, с периодом 20.3 мс. В моем варианте - два пинг-понг буфера по 8к сэмплов. Понравился вид вашего S - метра. Где брали если не секрет? Сейчас ищу красивый S - метр, что бы встроить в программу UnoSDR, с этим проблема. Попросил знакомого , переделал в фотошопе рисунок из инета. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 115] Автор : UR3IQO Дата : 12.06.2017 12:36 Кстати, автор (извиняюсь имени не знаю) пишет данные во float формате - далеко не все программы такие файлы корректно обрабатывают (например, та же SpectraPLUS работает с ними некорректно, мне пришлось конвертировать). Возможно писать в 24бит или даже 16бит знаковое целое будет лучше. Буфер два по 32кб Т.е. 64кБ, а аудио поток 96кБ/сек. Теперь смотрим на спектрограмму щелчек в среднем раз в 2/3сек... Вы верите в совпадения? Я как-то не особо :) Надо обратить внимание на смену буферов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 116] Автор : UT4UBK Дата : 12.06.2017 12:36 SidoroFFFF Пайка процессора машинная или ручная? Ручная,только шары надо перекатать для надежности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 117] Автор : UR3IQO Дата : 12.06.2017 12:37 открыл в звуковом редакторе, решил исследовать В звуковом редакторе разрывы фазы сложно увидеть, а на спектрограмме их видно сразу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 118] Автор : UT4UBK Дата : 12.06.2017 12:39 В звуковом редакторе разрывы фазы сложно увидеть, а на спектрограмме их видно сразу. Я тоже не верю в совпадения. В каком редакторе вы смотрели спектрограмму? Смена буферов происходит каждые 330мс. Склеиваются чанками по 512 сэмплов. Если бы было проблема в обработке сигнала , то артефакты были бы заметны с таким периодом. Возможно на стыке буферов. Вот место склейки второго буфера. Вы может точно сказать, на каких отрезках (номерах сэмплов) вы слышите щелчки, я хочу проанализировать эти места. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 119] Автор : Genadi Zawidowski Дата : 12.06.2017 12:51 Как демонстрация как выгдядят пропуски... вот записи с целой кучей в начале... https://drive.google.com/open?id=0B3YM8Zv8syvjMkhzaU5iVGxQWFE https://drive.google.com/open?id=0B3YM8Zv8syvjWWZhLTc5b3ozaVE Кстати, в алгоритме "всегда полностью заполненный буфер" для time machine есть изъян - при начале записи система абсолютно не защищена от тормозов со стороны накопителя. Приведённые мною записи как раз это демонстрируют. если удалить примерно секунду самого старого - чтобы образовался запас буферов - все лучше. Характерный щелчёк ни с чем не путать... разве что с переполнениями при обработке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 120] Автор : UR3IQO Дата : 12.06.2017 13:05 Смена буферов происходит каждые 330мс. Не все так просто - первый на второй и второй на первый меняется с периодом 330мс, а вот повторное заполнение первого (или второго) идет с периодом 660мс. Кстати, в алгоритме "всегда полностью заполненный буфер" для time machine есть изъян - при начале записи система абсолютно не защищена от тормозов со стороны накопителя. А он у меня не "всегда полностью заполненный" :), так что с тормозами все ок. Вот место склейки второго буфера. Вы может точно сказать, на каких отрезках (номерах сэмплов) вы слышите щелчки, я хочу проанализировать эти места. Сгенерируйте в программе тестовый сигнал (синусоиду, пилу или что угодно, где будет легко увидеть проблему) на нем все сами сможете увидеть. Заодно убедитесь антенна это или нет. вот записи с целой кучей в начале Ужс :shock: В каком редакторе вы смотрели спектрограмму? Это не редактор - программа SpectraPLUS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 121] Автор : Genadi Zawidowski Дата : 12.06.2017 13:08 А они, оказывается, периодические... период около 16300 сэмплов... намекает на 16384 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 122] Автор : UT4UBK Дата : 12.06.2017 13:31 Вот, нашел два места в местах склейки буферов, очень похоже на выпадание последнего сэмпла в буфере. Сэмплы равны нулю. Ок , исправим. Добавлено через 19 минут(ы): Как демонстрация как выгдядят пропуски... вот записи с целой кучей в начале... https://drive.google.com/open?id=0B3YM8Zv8syvjMkhzaU5iVGxQWFE https://drive.google.com/open?id=0B3YM8Zv8syvjWWZhLTc5b3ozaVE Кстати, в алгоритме "всегда полностью заполненный буфер" для time machine есть изъян - при начале записи система абсолютно не защищена от тормозов со стороны накопителя. Приведённые мною записи как раз это демонстрируют. если удалить примерно секунду самого старого - чтобы образовался запас буферов - все лучше. Характерный щелчёк ни с чем не путать... разве что с переполнениями при обработке. В операционках намного проще, там это проблема драйвера файловой системы, и конечно же - надо использовать неблокирующий write. В QNX под буфер сразу же выделяется 10мБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 123] Автор : UT4UBK Дата : 12.06.2017 14:53 Спасибо заметившим, нашел проблему. В mmap надо было выделять на 4 байта больше, забыл учесть размер указателя на текущий буфер, поэтому последний сэмпл был всегда равен 0. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 124] Автор : UT4UBK Дата : 12.07.2017 22:03 Разработан блок фильтров+LNA. Индуктивности будут мотаться на амидоне. На номиналы смотреть пока не стоит Просьба аксакалов покритиковать.:smile: 266575 Ну и до кучи - картинка разведенной платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 125] Автор : Genadi Zawidowski Дата : 12.07.2017 22:22 1) пятаки под выводы катушек на кольцах увелмичить, как и сверловку с полутора-двух кратным запасом сделать. 2) фильтры закорачивать на резисторы а не на землю. 3) Странно шунтировать с помощью BLMxx выходы. уж тогда дроссели и развязать по постоянке от трансформатора. 4) роль C81 & C85? 5) в гальванически развязанные от всего цепи (например шины со всех реле сигнальные) поставить утечки килоом 10..100). 6) ставить разнотипные разъемы на питание и управление (или совместить в одном). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 126] Автор : UT4UBK Дата : 12.07.2017 22:38 Пора уже думать о коробке. Осталось разработать два блока - PA и антенный тюнер. На рисунках - примерный дизайн. Планирую использовать штамповку, сборка на вытяжных заклепках. Под выводы там отвертстия 0.75 Провод 0.3 Зачем закорачивать на резисторы? Отключение микросхемы - есть, выключается стабилизатор. Аттенюатор - ок. По BLM - да поменю, еще не подобрал индуктивности. роль C81 & C85?Развязка по постоянке. 5) в гальванически развязанные от всего цепи (например шины со всех реле сигнальные) поставить утечки килоом 10..100). Поясните? ставить разнотипные разъемы на питание и управление (или совместить в одном). Именно так и сделано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 127] Автор : Genadi Zawidowski Дата : 12.07.2017 22:46 Развязка по постоянке. зачем? Поясните? "натекает" как показывает опыт. прием-передача можно и помощней реле поставить... на эту же плату выбор одной из двух антенн. Не увидел еще аттенюатора в тракте сигнала (hint: прием на средних волнах, да и просто хорошие антенны). Именно так и сделано. Я увидел рядом два четырехконтьактных разъема X5 и X4 и полумал что это оно... Ошибся. Да, плашмя контакты много места в корпусе для монтажа требуют, я бы вертикальные все ставил... SMA тут незачем. там отвертстия 0.75 Провод 0.3 Зачем закорачивать на резисторы Про "отражение" сигнала слышали? Закороченный через реле фильтр все полученное будет переизлучать. Как и не закороченный. Под отверстия 0.75 в таком месте я бы не менее двухмилиметровых пятаков сделал... Явно будет подбор витков - сохраннее плата. Вряд ли нужно отключать полосовики, если планируете непрерывный диапазон перекрыть. Низкочастотный фильтр переделайте в ФНЧ 1.6 + ФВЧ на 30 кГц - там тоже жизнь есть. ps (глобальный): зачем там вообще амидоны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 128] Автор : UT4UBK Дата : 12.07.2017 23:03 Развязка по постоянке. зачем? Ну вопервых - это красиво :-) на эту же плату выбор одной из двух антенн. Зачем выбор? Логичнее на тюнере. Не увидел аттенюатора. Это LNA.Он отключается по питанию. Да, плашмя контакты много места в корпусе для монтажа требуют Плашмя не удобно для монтажа в коробке и протяжки жгутов. SMA тут незачем. Поменяю на торцевые. Пока стоят для удобства монтажа и замены фронтенда Про "отражение" сигнала слышали? Закороченный через реле фильтр все полученное будет переизлучать. Как и не закороченный. Ок Под отверстия 0.75 в таком месте я бы не менее двухмилиметровых пятаков сделал... Явно будет подбор витков - сохраннее плата. Все будет подбираться на стенде с предварительным измерением индуктивности. Низкочастотный фильтр переделайте в ФНЧ 1.6 + ФВЧ на 30 кГц - там тоже жизнь есть. ps (глобальный): зачем там вообще амидоны? Тоже стоял выбор между SMD (ака Flex) или более добротные на амидоне. Пошел по более дешевому пути -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 129] Автор : UR3IQO Дата : 12.07.2017 23:10 покритиковать. 1. Реле К11 убъет все подавление в полосе задерживания. Заменить на два, включенных также, как с другими фильтрами, вместо фильтра перемычка. Я не знаю какое подавление хочется получить, если больше 60дБ, то стоит подумать о: 2. Дополнительно развязать цепи коммутации/питания реле на входе и выходе фильтров. 3. Конденсаторы фильтров, которые идут на землю должны подсоединяться прямо к сигнальному проводнику, т.е. сигнал подходит к контактной площадке конденсатора и идет дальше. 4. С землей не понятно, что будет, но это очень важная часть топологии. Закорачивать отключенные фильтры на резисторы не вижу смысла - будет хуже подавление. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 130] Автор : UT4UBK Дата : 12.07.2017 23:17 1. Реле К11 убъет все подавление в полосе задерживания. Заменить на два, включенных также, как с другими фильтрами, вместо фильтра перемычка. Точно, тоже думал об этом. 2. Дополнительно развязать цепи коммутации/питания реле на входе и выходе фильтров. Ок 3. Конденсаторы фильтров, которые идут на землю должны подсоединяться прямо к сигнальному проводнику, т.е. сигнал подходит к контактной площадке конденсатора и идет дальше. Ок 4. С землей не понятно, что будет, но это очень важная часть топологии. Заливку скрыл для читабельности. Развязку полигонов буду делать после утряски компоновкию 3. Конденсаторы фильтров, которые идут на землю должны подсоединяться прямо к сигнальному проводнику, т.е. сигнал подходит к контактной площадке конденсатора и идет дальше. Неужели на этих частотах будет у стубов такая большая индуктивность? По импедансам - посчитаны зазоры для 50 ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 131] Автор : Genadi Zawidowski Дата : 12.07.2017 23:27 Закорачивать отключенные фильтры на резисторы не вижу смысла - будет хуже подавление А доводы? Не, конечно 0.01 ома контактов реле немного меньше отражение чем холостой ход, но резистор 51 ом потребит все что пришло лучше... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 132] Автор : rz3qs Дата : 12.07.2017 23:56 но резистор 51 ом потребит все что пришло лучше... Тоже так думал, когда начал измерять, то чистое КЗ дает лучший результат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 133] Автор : UR3IQO Дата : 13.07.2017 07:05 А доводы? Реальные измерения реальных фильтров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 134] Автор : UN7RX Дата : 08.01.2018 23:57 Проект замерз? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 135] Автор : UT4UBK Дата : 28.01.2018 17:49 Новые обновления по Ермаку: 1. Закончено изготовление 3 корпусов 2. Закончены платы ДПФ и Power distribution 3. Зафиксированы все изменения в mainboard в версии 2.1 4. Закончена и определен окончательный дизайн GUI (gradient or flat styles) 5. Закончена работа с приемной частью Добавлены: 1. Все виды модуляции (кроме FM) 2. Встроенный RTTY decoder 3. Эквалайзер на прием 4. ntp служба для синхронизации времени 5. Утилиты настройки часов и сети 6. 55 ячеек памяти 7. Режим Zoom (24-12-6 KHz) для спектроанализатора 8. Шесть различных скинов для спектроанализатора 9. Два типа сглаживания 10. Регулировка скорости нарастания и спада для сглаживания 11. Двенадцать типов раскраски для waterfall дисплея 12. Работа с флешкой (запись, форматирование,извлечение) 13. Все типы цифровых шумоподавителей (NB,NR,Auto NOTCH) 14. Единый GUI для всех приложений 15. Окно консоли 16. Поддержка клавиатуры 17. Блокировка валкодера 18. Два типа перемещения "шторки" center+fixed 19. Регулируемое усиление дял Waterfall 20. Регулировка опорного уровня для спектроанлизатора В ближайших планах: 1. Переразводка фронтэнда 2. Запуск TX 3. Разработка усилителя мощности 4. Разработка антенного тюнера 5. Внесение изменений в схемы и изготовление финальных релизов плат 6. Гравировка надписей 7. Позывной :-) Добавлено через 11 минут(ы): Примеры GUI Скриншоты сделаны с помощью специально написанной утилиты. Основное окно 280596 Окно настроек дисплея 280597 Ячейки памяти 280598 Экранная клавиатура 280599 Утилита настройки времени (выбор источника синхронизации и часовых поясов) 280600 Утилита настройки сети 280601 Выбор цветовой схемы для Waterfall 280602 Работа с флешкой и внутренней памятью (форматирование, старт записи, извлечение) 280603 Эквалайзер RX 280604 Выбор диапазонов 280605 Добавлено через 26 минут(ы): Ручной ввод частоты 280615 Выбор шага 280616 Отключение Waterfall 280617 Другая цветовая схема для Waterfall 280618 Zoom 280619 Flat GUI экранная клавиатура 280620 Flat GUI окно настроек 280621 Добавлено через 6 минут(ы): Работа декодера RTTY - пока только в консольном режиме, GUI не прикручивал 280622 Декодирование - скриншот со встроеенной консоли 280623 280624 Ссылка на видео https://youtu.be/I4l7E7_XBwo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 136] Автор : UT4UBK Дата : 28.01.2018 18:45 Второе видео https://youtu.be/Hns7q7gDF0U -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 137] Автор : UN7RX Дата : 28.01.2018 19:42 Sidoroffff, великолепно выглядит. Константин, если будете что-то изменять и размещать в своих постах, предупреждайте пожалуйста, чтобы модераторы вовремя вносили изменения в первый пост. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 138] Автор : UT4UBK Дата : 28.01.2018 19:54 Третье видео. Прием АМ, смена скинов, настройки, включение адаптивного шумоподавителя https://youtu.be/Txx0bT5Btxo Sidoroffff, великолепно выглядит. Константин, если будете что-то изменять и размещать в своих постах, предупреждайте пожалуйста, чтобы модераторы вовремя вносили изменения в первый пост. Хорошо. Реально - очень много работы пришлось сделать, а выкладывать незаконченное - не люблю. Поэтому и сложилось впечатление, что проект замерз. Мне теперь с ним - "либо в Загc, либо - к прокурору" :-P Бросить - не получится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 139] Автор : rolin Дата : 28.01.2018 20:21 Sidoroffff, круть!!! Вот это я понимаю, настоящий автономный СДР. Уже хочу повторить. Скажите, что за аппаратная платформа используется, где купить ? Что за дисплей используется ? Как установить QNX и ваши проги и утилиты ? Как подключить к СДР, шина I2S ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 140] Автор : UT4UBK Дата : 28.01.2018 20:32 Sidoroffff, круть!!! Вот это я понимаю, настоящий автономный СДР. Уже хочу повторить. Скажите, что за аппаратная платформа используется, где купить ? Что за дисплей используется ? Как установить QNX и ваши проги и утилиты ? Как подключить к СДР, шина I2S ? Текущий набор схем - во вложении. Осталось внести изменения, проверить, изготовить.Экран - TFT 800x480 RGB16 bit, емкостный тачскрин. В ближайших планах - усилитель мощности, антенный тюнер. Доку (схемы, гербера, корпус) - выложу по окончании. Софт- обычный бинарный файл (13M), заливается в NAND в U-BOOT. Планирую добавить аппаратный журнал (подключается USB клавиатура, разъем - на задней панели), удаленное управление по сети -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 141] Автор : rolin Дата : 28.01.2018 21:05 Sidoroffff, у вас на схеме процессор, память.... Это означает, что будет собственная плата процессора ? Никаких демоборд ? По схеме - неоднократно прОклятый аттенюатор 2420 все еще стоит. С эмиттерными резисторами по 100 Ом генератор 4513 поджарит сам себя. Стабилизацию частоты с опорником на 10 МГц вы убрали совершенно зря. Делов на копейки, а класс оборудования был бы выше. Есть вопросы по питанию УВЧ 5534 - питание подано через ферритовые бусины ? Тут или ставить нормальные индуктивности или подать питание через среднюю точку трансформатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 142] Автор : UT4UBK Дата : 28.01.2018 21:54 Sidoroffff, у вас на схеме процессор, память.... Это означает, что будет собственная плата процессора ? Никаких демоборд ? По схеме - неоднократно прОклятый аттенюатор 2420 все еще стоит. С эмиттерными резисторами по 100 Ом генератор 4513 поджарит сам себя. Стабилизацию частоты с опорником на 10 МГц вы убрали совершенно зря. Делов на копейки, а класс оборудования был бы выше. Есть вопросы по питанию УВЧ 5534 - питание подано через ферритовые бусины ? Тут или ставить нормальные индуктивности или подать питание через среднюю точку трансформатора. Давид, схема планировалось как универсальная, для установки различных процесорных плат. Те можно под этот разъем и обвязку спрректировать любую плату. По фронтенду - ничего не менял пока. Главное - выработал требования по размеру, положению разъемов, спецификации интерфейсов. После ум буду его переделывать под 4 циклон и 16 разрядный ацп. По бедам - туда надо ставить 470нгн индуктивности и есть еще несколько изменений в части коммутации реле. На днях на работе возьму спектроанализатрр с трекинг генератором и прогоню фильтры, номиналы брал из вашей конструкции . Индуктивности подгонял при намотке , измерял значения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 143] Автор : rolin Дата : 28.01.2018 22:32 Sidoroffff, ну а есть какая-то готовая плата для этого ? Проще ж выбрать что-то подходящее и плясать уже вокруг него. А такая неопределенность только оттолкнет желающих собирать, проект и так непростой. Не думаю, что кто-то будет заниматься переразводкой платы чтобы втыкнуть туда какую-то особую демоборду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 144] Автор : UT4UBK Дата : 28.01.2018 22:38 Sidoroffff, ну а есть какая-то готовая плата для этого ? Проще ж выбрать что-то подходящее и плясать уже вокруг него. А такая неопределенность только оттолкнет желающих собирать, проект и так непростой. Не думаю, что кто-то будет заниматься переразводкой платы чтобы втыкнуть туда какую-то особую демоборду. Есть готовая, ту что я спроектировал на омапе 137. При необходимости, можно быстро перейти на 138 омар или более старшии версии с дсп на борту. Главное ,чтобы был qnx bsp. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 145] Автор : rolin Дата : 28.01.2018 23:07 Sidoroffff, не нашел подходящих плат, а что нашел они недешевые весьма. Вы сами разработали процессорную плату ? есть фото крупным планом ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 146] Автор : UT4UBK Дата : 28.01.2018 23:32 Sidoroffff, не нашел подходящих плат, а что нашел они недешевые весьма. Вы сами разработали процессорную плату ? есть фото крупным планом ? Да , конечно. Немного позже выложу фото. Там только две бга с шагом 1 мм процессор, и 0.8 нанд dm8127 и dm3725 выглядят очень привлекательно для будущих усовершенствований. Правда будет секс с написанием bsp под них. Под второй даже где-то был выложен . Вот под 8147 есть бсп . Можно перенести на 8127 https://community.qnx.com/sf/wiki/do/viewPage/projects.bsp/wiki/TexasInstrumentsJacinto6ECODRA72xEVM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 147] Автор : rolin Дата : 28.01.2018 23:50 Sidoroffff, но я не вижу ни одной нормальной готовой платы под них с нормальной ценой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 148] Автор : RA4HJW Дата : 28.01.2018 23:57 В ближайших планах: 1. Переразводка фронтэнда 2. Запуск TX 3. Разработка усилителя мощности 4. Разработка антенного тюнера 5. Внесение изменений в схемы и изготовление финальных релизов плат 6. Гравировка надписей 7. Позывной :-) 8. может предусмотреть какой-нибудь разъёмчик для установки платы "опции анахронизма" - вывод на экран побольше? а то пять дюймов ушатают глазки... ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 149] Автор : UT4UBK Дата : 29.01.2018 00:14 8. может предусмотреть какой-нибудь разъёмчик для установки платы "опции анахронизма" - вывод на экран побольше? а то пять дюймов ушатают глазки... ;-) Можно, но придется весь корпус перепроектировать. У 138 процессора есть видео выход, у старших HDMI, можно ввести опцию подключения монитора. Sidoroffff, но я не вижу ни одной нормальной готовой платы под них с нормальной ценой. Они разводятся на ура в течении месяца. Три bga+pmu+готовая обвязка. Весь обмен с остальными платами сделан на i2c для уменьшения количества проводов. Основные затраты времени это написание софта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 150] Автор : rolin Дата : 29.01.2018 00:17 Можно, но придется весь корпус перепроектировать. У 138 процессора есть видео выход, у старших HDMI, можно ввести опцию подключения монитора. Проще выдать через LAN протокол Гермеса и запускать ПоверСДР или HDSDR на компе если надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 151] Автор : UT4UBK Дата : 29.01.2018 00:32 Проще выдать через LAN протокол Гермеса и запускать ПоверСДР или HDSDR на компе если надо. Тоже подумал о банально пробросе трафика и эмуляции протокола. Второй вариант у фотона есть возможность удаленного подключения к графическому окну. Третий вариант делать всю предобработку на дсп и написать плагин для программ. Трафик снизится на порядок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 152] Автор : RA4HJW Дата : 29.01.2018 00:33 Можно, но придется весь корпус перепроектировать. Да весь то что перепроектировать... да там где-нить скраю сзади отверстие с заглушкой... заглушку снял - разъем прикрутил, плата раздачи видео припаяна к разъему тот что наружу, а внутрь шлейфик к процу на разъём... Проще выдать через LAN протокол... ну это понятно, для серьёзной работы... а так, посидеть, покрутить без компа... пять дюймов слишком мелко всё для такой красоты... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 153] Автор : UT4UBK Дата : 29.01.2018 01:17 Да весь то что перепроектировать... да там где-нить скраю сзади отверстие с заглушкой... заглушку снял - разъем прикрутил, плата раздачи видео припаяна к разъему тот что наружу, а внутрь шлейфик к процу на разъём... ну это понятно, для серьёзной работы... а так, посидеть, покрутить без компа... пять дюймов слишком мелко всё для такой красоты... Шуметь будет не по детски ргб интерфейс . Еще вариант, самый реализуемый, поднять простейший веб-сервер на борту и отдавать статическую страницу со скриптами, которые будут отрисовывать всю картинку на клиенте. Для 15 фпс трафик для картинки 60 кбайт плюс аудио в обе стороны 24 кбайта. И можно с любого планшета заходить. Для старших моделей TLS прикрутить для зашифрованного плдключения, хотя может и этот потянет, если заморозить отрисовку на экране при внешнем подключении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 154] Автор : rolin Дата : 29.01.2018 01:35 поднять простейший веб-сервер на борту и отдавать статическую страницу со скриптами, которые будут отрисовывать всю картинку на клиенте. Да, это было бы круто, по-современному. Но это все потом, потом. Сначала нужно довести конструкцию до уровня, чтобы ее можно было бы повторить. Для RA4HJW, видимо нет проблем припаять память в BGA с шагом шаров 0.8мм, осталась только одна проблема - как подключить внешний монитор. (это сарказм). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 155] Автор : UT4UBK Дата : 30.01.2018 14:48 Измерения АЧХ фильтров 280751280758280755280752280756280753280757280754 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 156] Автор : Genadi Zawidowski Дата : 30.01.2018 15:34 А в абсолютных цифрах сколько на самом вч фильтре в полосе? Или там действительно ноль децибел затухание в полосе пропускания? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 157] Автор : UT4UBK Дата : 30.01.2018 16:31 А в абсолютных цифрах сколько на самом вч фильтре в полосе? Или там действительно ноль децибел затухание в полосе пропускания? Попозже посмотрю, сейчас не до этого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 158] Автор : UT4UBK Дата : 30.01.2018 22:45 Давид, как и просили, выкладываю фото платы DSP-MSU rev2.0 В rev2.1 введены следующие изменения: 1. Батарейка заменена на подзаряжаемый аккумулятор. 2. Разъем консоли перенесен на верхний торец, чтобы можно было подключаться не снимая переднюю панель. 3. Там же добавлена кнопка сброса 4. Добавлены элементы для работы телеграфом 5. Мелкие улучшения для технологичности 280809 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 159] Автор : rolin Дата : 31.01.2018 06:49 Sidoroffff, а чем обусловлена необходимость работы именно под данный процессор ? QNX конечно штука хорошая, но разве нельзя было сделать то же самое под какую-то распберри с Линуксом ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 160] Автор : UT4UBK Дата : 31.01.2018 10:26 Sidoroffff, а чем обусловлена необходимость работы именно под данный процессор ? QNX конечно штука хорошая, но разве нельзя было сделать то же самое под какую-то распберри с Линуксом ? По выбору кристалла: 1. Очень надежный кристалл. У ti эти кристаллы идут, в том числе,как military and high relaible 2. Ничего лишнего, никаких видео ускорителей, видео кодеров- енкодеров, "свистелок-перделок" 3. Низкая потребляемая мощность 4. Встроенный float DSP 5. Два встроенных дополнительных RISC ядра. Используются для работы с енкодером и генерации SPI для фронтенда, независимо от процессора 6. Простой BGA корпус (1мм pitch) По выбору qnx: 1. Великолепные инструментальные средства написания кода и отладки прямо из коробки 2. Надежность, быстрая скорость загрузки (6 сек вместо 30сек) 3. Photon GUI, по скорости и объему рвет QT как тузик грелку. Объем система со всеми службами 13М. Одна билиотека QT заниамет около 10M 4. Отличная документация -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 161] Автор : SVd2004 Дата : 31.01.2018 12:39 И где же, к примеру я, могу взять эти мизерные 13М со всеми службами...;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 162] Автор : UT4UBK Дата : 31.01.2018 13:31 И где же, к примеру я, могу взять эти мизерные 13М со всеми службами...;-) А есть варианты ?:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 163] Автор : SVd2004 Дата : 31.01.2018 14:06 А есть варианты ? В том то и проблема... Диск с QNX весит 2 Гига, а приготовить из него надо 13М со всеми службами. Так что вариантов действительно нет...;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 164] Автор : Vlad9 Дата : 31.01.2018 19:53 QNX 2Гб ? Насколько помню ОС реального времени под контроллеры? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 165] Автор : UT4UBK Дата : 31.01.2018 22:11 Это диск с софтом столько весит. По слухам, на новом Союзе для бортового компа тоже писали на QNX+Photon -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 166] Автор : sgk Дата : 31.01.2018 22:26 :offtop: Это на Союз-2.1б где 19 спутников грухнули. Сомнительная популяризация QNX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 167] Автор : UT4UBK Дата : 31.01.2018 22:43 :offtop: Это на Союз-2.1б где 19 спутников грухнули. Сомнительная популяризация QNX. Не я слышал про КК, а не РН -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 168] Автор : LZ1AO Дата : 01.02.2018 13:24 :offtop: sgk, Объявили, что причина потери тех спутников - баг в программе управления последней степени носителя. Не операционная система, человеческая ошибка грохнула их.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 169] Автор : UT4UBK Дата : 12.02.2018 00:11 Разработана новая плата 16 разрядного фронтэнда (LTC2165) Основные отличия: Используется более дешевая FPGA Cyclone IV 16 разрядный ADC LTC2165 Предусилитель мощности 10МГц высокостабильный опорный генератор Фильтры RLP50+ Выведен на разъем сигнал перегрузки ADC для индикации в GUI Аттенюатор PE4306 Убран ненужный разъем JTAG, оставлен только AS LNA вынесен на плату фильтров Габариты (78х58 мм ) и положения разъемов остались прежними. Спасибо Давиду за предварительные комментарии. Просьба, отписаться, если что не так в схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 170] Автор : rolin Дата : 12.02.2018 01:14 Sidoroffff, глобально, вроде как ничего так. Сигнал Sense АЦП можно просто на землю замыкать, эта конфигурация (+6дБ) будет лучшей если вы не используете драйвер на входе. Выводы PUP1 PUP2 аттенюатора у меня в воздухе висят, у вас на земле. Лучше бы перепроверить. Управление аттенюатором лучше по SPI делать, выводы экономятся (исходники у Гермес) OPA2695 уступает по мощности выхода 2677 (2674), можно получить плохой IMD. Конечно, потом переставить можно, но я не вижу никакого смысла в использовании 2695. Сигнал FPGA_PTT должен поступать на первый кондер петли через резистор 3.3к, а то что ж вы цифровой выход на на кондер сразу... Защитные диоды на разьем AS включены неправильно. Нужно использовать отдельный BAR43S на каждую линию и защищать и от отрицательного напряжения тоже. Как минимум следует защитить N_Config и NCE так как они идут сразу на плисину и не подключены к памяти. А у памяти свои защитные диоды стоят внутри. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 171] Автор : UT4UBK Дата : 17.02.2018 16:19 Вот это попал. Ни диджик ни маузер не хотят отправлять LTC2165 :cry: Где их можно купить? На алиекспрессе - не уверен, что придут настоящие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 172] Автор : R3KBL Дата : 17.02.2018 16:24 Вот это попал. Ни диджик ни маузер не хотят отправлять LTC2165 :cry: Где их можно купить? На алиекспрессе - не уверен, что придут настоящие. Обращайтесь, организую. Есть в наличии 2 шт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 173] Автор : UT4UBK Дата : 17.02.2018 17:48 Спасибо. Попробовал через посредников, выходит $190 за две. Если не пройдет, тогда обращусь обязательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 174] Автор : UT4UBK Дата : 27.02.2018 18:04 Нашел вот такое чудо у TI https://www.digikey.com/products/en/integrated-circuits-ics/clock-timing-programmable-timers-and-oscillators/689?k=LMK61E2 Джиттер очень даже неплохой и цена приемлимая, можно программировать любую частоту для SDR фронтэнда . Частота сохраняется в EEPROM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 175] Автор : rolin Дата : 27.02.2018 19:44 Sidoroffff, есть также много других вариантов получить любую частоту с достаточным качеством, но наш Epson по цене и качеству всех уделывает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 176] Автор : rolin Дата : 28.02.2018 05:57 Вот наверное самый дешевый вариант программируемого задающего генератора с достаточным качеством сигнала для наших применений. Можно инициализировать из ПЛИС сразу после запуска, а можно программатором (или ардуиной) записать несколько частот в память. Я использовал это в одном проекте приемника на 14 бит, сигнал хороший. Как опорник был 10 МГц термокомпенсированный генератор. https://www.digikey.com/product-detail/en/idt-integrated-device-technology-inc/5P49V5923B000NLGI/800-3404-ND/5761994 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 177] Автор : UT4UBK Дата : 02.03.2018 14:29 Ни у кого, случайно, не осталось схемы вот этого PA http://www.technologie2000.de/hiqsdr/hppa-100w-pa.html На странице упоминается pdf со схемой ,а линка - нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 178] Автор : rolin Дата : 02.03.2018 16:30 тут http://hiqsdr.com/index.php?title=Category:HiQSDR-MPPA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 179] Автор : UT4UBK Дата : 02.03.2018 18:56 Спасибо, но это немного не то. Интересно, как у них сделаны смещения транзисторов и защиты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 180] Автор : LZ1AO Дата : 02.03.2018 19:55 283547 Google groups, HiQSDR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 181] Автор : UT4UBK Дата : 02.03.2018 22:10 283547 Google groups, HiQSDR Огромное, человеческое спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 182] Автор : UT4UBK Дата : 09.03.2018 14:39 Пришли наконец-то все компоненты для нового 16 разрядного фронтенда, кроме TCXO кварца 10 МГц. В аттаче - финальная схема, под спойлером фотографии 284207 284208 284209 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 183] Автор : UN7RX Дата : 09.03.2018 14:53 Можно взять за основу вот такую плату (https://www.aliexpress.com/item/fpga-development-board-EP4CE22E22C8N-board-altera-fpga-board-altera-board/32834586200.html)? И почему OPA2695, а не 2674? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 184] Автор : VFO Дата : 09.03.2018 15:56 Фильтр U14 не имеет нагрузки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 185] Автор : UT4UBK Дата : 09.03.2018 16:32 Фильтр U14 не имеет нагрузки. Ок поставим. Можно взять за основу вот такую плату (https://www.aliexpress.com/item/fpga-development-board-EP4CE22E22C8N-board-altera-fpga-board-altera-board/32834586200.html)? И почему OPA2695, а не 2674? Не хотел очень сильно раскачивать сигнал передатчика во фронтенде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 186] Автор : rolin Дата : 10.03.2018 04:38 Sidoroffff, красиво, по-богатому. Что касается схемы, то по приемнику вроде все ОК. А вот по передатчику есть вопросы. Во-первых, ставить RLP 50 в передатчик несколько расточительно... Во-вторых, выход фильтра ничем не нагружен, сопротивление неинвертирующих входов ОУ очень велико. По-хорошему, выходы трансформатора должны подключаться к резисторам R38, R39, тогда нагрузка на трансе будет как раз 200 Ом. Но похоже, плата уже сделана, тогда остается только напаять резистор 200 Ом между ногами 2 и 3 ОУ. Кстати, интересно что покажет выходной каскад по IMD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 187] Автор : UT4UBK Дата : 10.03.2018 13:50 Приемник поднялся , все ок. Пробежался по всем диапазонам - нет ни одного спура (в отличии от первого варианта), в одном месте небольшой горб - усилитель динамика работает в классе D, при подключении наушников - пропадает. Потребляет и нагрев тоже значительно меньше. Для себя сделал следующие выводы: 1. УВЧ надо ставить всегда отдельно 2. Фильтра - только экранированные RLP 3. Для таких размеров платы крайне важно качество разводки земли и пути возвратных токов 4. PE4306 намного лучше работает. Единственно- надо посмотреть "горбы" от внутреннего умножителя напряжения. По поводу RLP50 в передатчике- их все равно меньше 10 штук не продают По OPA2695. Плата имеет небольшие размеры, поэтому греется достаточно прилично. Приходится делать термоинтерфейс на металлический корпус. Поэтому, решил недостающее усиление набрать на плате PA. По планам на прошивку - добавить субприемник с панорамой. И последнее - если это нужно, могу выложить гербера и полную доку для самостоятельной сборки. Можно взять за основу вот такую плату (https://www.aliexpress.com/item/fpga-development-board-EP4CE22E22C8N-board-altera-fpga-board-altera-board/32834586200.html)? Если это кому-нибудь надо - без проблем, за недельку разведу и выложу к ней плату с АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 188] Автор : SVd2004 Дата : 10.03.2018 14:46 И последнее - если это нужно, могу выложить гербера и полную доку для самостоятельной сборки. В любом случае, это будет очень полезно.;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 189] Автор : UN7RX Дата : 10.03.2018 15:41 И последнее - если это нужно, могу выложить гербера и полную доку для самостоятельной сборки. Если это кому-нибудь надо - без проблем, за недельку разведу и выложу к ней плату с АЦП. Об этом можно было и не спрашивать. :smile: Ваш трансивер, если будет все информация по сборке, однозначно станет одним из самых интересных проектов. Один экран 5' чего стоит, плюс полная автономность. Но если будете реально все это выкладывать, то давайте переработаем первый пост, укажите что нужно из прошивок и прочей информации оставить, что удалить, чтобы не вводили в заблуждение, возможно нужно модифицировать описание и так далее. Пишите и выкладывайте то, что сочтете нужным в постах, попутно командуйте что и как, а мы перенесем все это в заголовок и оформим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 190] Автор : EW2MS Mikhail Дата : 10.03.2018 17:46 http://www.cqham.ru/forum/images/misc/quote_icon.png Сообщение от UN7RX http://www.cqham.ru/forum/images/buttons/viewpost-right.png (http://www.cqham.ru/forum/showthread.php?p=1508438#post1508438) Можно взять за основу вот такую плату (https://www.aliexpress.com/item/fpga-development-board-EP4CE22E22C8N-board-altera-fpga-board-altera-board/32834586200.html)? Если это кому-нибудь надо - без проблем, за недельку разведу и выложу к ней плату с АЦП. Смысл от этой платы какой? Платить лишние 15$, если не могут запаять ПЛИС, тогда остальное тем более не смогут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 191] Автор : SVd2004 Дата : 10.03.2018 18:25 Смысл от этой платы какой? Если уже есть, то на разборку... Будет намного проще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 192] Автор : UT4UBK Дата : 10.03.2018 19:04 Об этом можно было и не спрашивать. :smile: Ваш трансивер, если будет все информация по сборке, однозначно станет одним из самых интересных проектов. Один экран 5' чего стоит, плюс полная автономность. Но если будете реально все это выкладывать, то давайте переработаем первый пост, укажите что нужно из прошивок и прочей информации оставить, что удалить, чтобы не вводили в заблуждение, возможно нужно модифицировать описание и так далее. Пишите и выкладывайте то, что сочтете нужным в постах, попутно командуйте что и как, а мы перенесем все это в заголовок и оформим. Хорошо, подготовлю полную доку на в течении 1-2 недель на уже существующие блоки и выложу. По запайке - если в домашних условиях, то только заказывать стенсилы, наносить пасту вручную и запекать в печке. Печку можно купить за $300, стенсил на одну плату - $35, принтер для нанесения пасты самый дешевый - $120. Выгоднее обратиться на завод, заплатить $1000 за запуск линии и сразу десяток комплектов запаять. Из последних нововведений - добавлен драйвер для USB-UART конвертера для поддержки протокола CAT (пока софт не написан), добавлена индикация перегрузки ADC - красная точка возле S-метра. Схеама усилителя практически нарисована, тоже выложу для обсуждения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 193] Автор : rolin Дата : 10.03.2018 19:32 Слабое место данного проекта - плата ДСП. Мало кто сможет такое спаять. Может автор смог бы наладить ппоизводство ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 194] Автор : EW2MS Mikhail Дата : 10.03.2018 19:37 Будет очень здорово. Если автор возьмётся за распространения комплекта плат уже с запаянными сложными микросхемами BGA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 195] Автор : UT4UBK Дата : 10.03.2018 19:51 EW2MS Mikhail Вопрос очень непростой. Специально под них разрабатывался корпус, тогда надо будет и комплекты корпусов готовить. Кажется Вам, я писал стоимость изготовления корпуса, вкруговую со всеми покрытиями, токарка ручки итд: штамповка 800 грн, передние+задние панели+токарка ручки - $60,анод+краска+стеклоструй - 300 грн. Единстенный неприятный момент - заклепочный монтаж - стойки. Нужна оснастка- пресс. Это все на количестве 3 штуки. На десятке будет меньше. Качество штамповки - это не Тайвань, но потянет Добавлено через 5 минут(ы): Слабое место данного проекта - плата ДСП. Мало кто сможет такое спаять. Может автор смог бы наладить ппоизводство ? Да , я это хорошо понимаю, но не хотелось ограничивать себя номенклатурой деталей. Похожий ДСП стоить в 7300 айкоме, поэтому и ориентировался на него. Плюс со встроенной плавучкой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 196] Автор : rolin Дата : 10.03.2018 20:22 не хотелось ограничивать себя номенклатурой деталей Что, вы, конечно не нужно себя ограничивать, это же как искусство. Я просто к тому, что производство десятка хотя бы полусобранных плат обошлось бы вполне в разумные деньги, чтобы желающие повторить смогли бы приобрести. Например, только СМД пассив и BGA что б запаяно было, остальное легко запаять дома. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 197] Автор : UT4UBK Дата : 10.03.2018 21:32 Вопрос по реле, коммутаторам фильтров на выходе 100 ваттного усилителя. Смотрю различные конструкции, в некоторых - ставят IMG06. Они разве способны коммутировать такую мощность? На всякий случай заказал эти https://ru.aliexpress.com/item/hls-4078-dc12v-hls-4078-Good-quality-HOT-SELL-FREE-SHIPPING-BUY-IT-DIRECT/32809638744.html?spm=a2g0s.9042311.0.0.jv8Hqw И второе, по нагрузке 100 вт. Хочу заказать эту https://ru.aliexpress.com/item/Free-Shipping-5W-RF-Attenuator-Coaxial-DC-3GHz-N-type-15dB/32490708326.html?spm=a2g0s.13010208.99999999.265.GR1od6 Там настоящие 100 вт или китайские? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 198] Автор : RA1AGB Дата : 10.03.2018 22:59 ставят IMG06. Они разве способны коммутировать такую мощность? Константин, если не коммутировать "на горячую" и не будет высокого КСВ, то нормально работают IM06GR. Это мой личный опыт +посмотрите конструкции VisAir и SunSDR-MB1. Ни у кого нет проблем. Павел RA1AGB 73 P/S По нагрузке для усилителя. Наверное, лучше взять аттенюатор, а не просто нагрузку. Заглушку на выход всегда можно накрутить. Насчет мощности, лучше запасом в 1,5-2,0 раза. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 199] Автор : UT4UBK Дата : 10.03.2018 23:05 Константин, если не коммутировать "на горячую" и не будет высокого КСВ, то нормально работают IM06GR. Это мой личный опыт +посмотрите конструкции VisAir и SunSDR-MB1. Ни у кого нет проблем. Павел RA1AGB 73 У VisAir в коммерческой версии как раз стоят желтые большие (смотрел фото). Может я и ошибаюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 200] Автор : RA1AGB Дата : 10.03.2018 23:14 VisAir в коммерческой версии как раз стоят желтые большие Большие желтые стоят в тюнере. См. фото с сайта http://visair.ru/ Вот тут видно по MB-1 http://rentaldj.ru/watch/DeLx3ayJuBQ/mb1-assembled-videoObzor.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 201] Автор : UT4UBK Дата : 10.03.2018 23:23 Большие желтые стоят в тюнере. См. фото с сайта http://visair.ru/ Вот тут видно по MB-1 http://rentaldj.ru/watch/DeLx3ayJuBQ/mb1-assembled-videoObzor.html Тогда да, логично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 202] Автор : rolin Дата : 10.03.2018 23:58 Недорого и настоящие 100 Ватт можно купить тут https://www.digikey.com/product-detail/en/riedon/PF2472-50RF1/696-1118-5-ND/2447860 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 203] Автор : UT4UBK Дата : 20.03.2018 19:58 Добрый вечер, Нарисовал предварительную схему УМ. Пока на схеме не обозначен выходной трансформатор и разъем подачи питания. Операционники для смещения выбраны от "балды", поставил что есть в библиотеке, пока не подобрал дешевые rail-to-rail. Также пока не добавлены тетспойнты на схему. Из особенностей: 1. Все управление по шине I2C 2. Возможность подключения 4-pin вентилятора 3. Датчик температуры с автоматическим отключением смещения 4. Датчик тока с автоматическим отключением смещения 5. Вход от измерителя VSWR (расположен на плате тюнера) с автоматическим отключением смещения 6. Выходные фильтра будут расположены на плате тюнера Буду благодарен за комментарии и отзывы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 204] Автор : rolin Дата : 20.03.2018 20:08 Sidoroffff, доброе утро. Мне кажется, что даже при нулевом смещении усилитель будет способен качать какую-то мощу, поэтому я бы поставил релюшку на вход для снятия входного сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 205] Автор : LZ1AO Дата : 20.03.2018 20:52 Sidoroffff, Если ето будеть работать из автомобильного аккумулятора (минимум 10.8V :-P), я бы отказалься от стабилизированнго питания 10V в показанной конфигурации. Емкость разделительных конденсаторов C5 и C6 ( около 19 Ом в сумме на 1.8MHz) да и не только она можеть оказатся недостаточной. Трюк с серийними диодами в затворах - IMHO не очень помагает. R6, R7, R8 и трансформатор L5 - ето сумматор мощности. Усилитель с сумматором - в такой конфигурации КПД получается пониже да и работает она несколько иначе, чем стандартная двухтактная схема. На форум V E 3 K F обсуждались и измерялись усилители в разных конфигурации, с диодами, сумматорами и т.д. Хотя основного автора на том форуме здесь не очень любять, стоит посмотреть на написанное им. :) 73! P.S.IN3OTD делал SPICE модель RD100HHF1, можеть оказатся полезной. http://www.qsl.net/in3otd -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 206] Автор : UN7RX Дата : 20.03.2018 21:42 ВЧ часть УМ - MUNIN2? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 207] Автор : UT4UBK Дата : 20.03.2018 22:01 Да, оттуда брал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 208] Автор : UN7RX Дата : 20.03.2018 22:15 Мне кажется он излишне усложнен. Посмотрите на РА от IC7600, или FT2000. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 209] Автор : RA1AGB Дата : 20.03.2018 22:35 Вариант от UR3IQO http://neon.skydan.in.ua/downloads/PAUnitSch.pdf Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 210] Автор : UT4UBK Дата : 20.03.2018 22:48 Вариант от UR3IQO http://neon.skydan.in.ua/downloads/PAUnitSch.pdf Павел RA1AGB 73 Да , тоже изучал эту схему подробно. Тк это мой первый усилитель мощности, приходится брать за основу конструкции, которые более детально описаны. Из этой схемы "содрал" накачку на OPA2674. Пока для меня темный лес - это конструкции трансформаторов. Последний раз их делал на "лабах" в институте больше 20 лет назад :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 211] Автор : eu2av Дата : 21.03.2018 05:51 Добрый утро! Очень интересная конструкция внимательно слижу за вашими наработками. Все очень не плохо, единственное что не нравиться, это применение OPA.. Везде активно отказываются от подобной альтернативы :-P Да и на корню лишает возможности что то предпринять в схеме, для улучшения линейности. Вот схема, как у меня реализован предварительный усилитель, в маем сдр. 285087 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 212] Автор : rolin Дата : 21.03.2018 06:26 eu2av, по TR4-TR5 нет инфы, а свободное место на схеме как раз есть :) Можно и зарисовать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 213] Автор : eu2av Дата : 21.03.2018 06:41 eu2av, по TR4-TR5 нет инфы, а свободное место на схеме как раз есть :) Можно и зарисовать Сейчас как раз занимаюсь сборкой второго, уже вроде бы обкатанного РА. Поспешил я выложить схему, резисторы R9,R10 по 20 ом остальное все правильно в схеме. По выходному трансформатору Тр5 можно сделать как и обычный 1:2 трансформатор, так и высокоомный из 25 омного кабеля этот вариант для тех кто гоняется за высоко линейным сигналом. Тр4 выполнен на бинокле BN43-202 L2,L3 мотаются в два провода 4 витка проводом 0.8мм L1, 1виток. Попозже будет полная информация по этому усилителю. В Ермаке можно смело применить первую часть схемы, далее схема автора рд16 рд100, операцыоники нужно забыть и в обще стараться исключать в подобных конструкциях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 214] Автор : rolin Дата : 21.03.2018 06:53 eu2av, очень интересно, ждем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 215] Автор : RA1AGB Дата : 21.03.2018 07:59 операцыоники нужно забыть и в обще стараться исключать в подобных конструкциях. Почему? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 216] Автор : eu2av Дата : 21.03.2018 08:14 Почему? После много численных экспериментов пришел к такому выводу, да и не я один. Посмотрите в свежих схемах отказываются от подобных решений, так что зачем портить хорошую конструкцию ложкой дёгтя:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 217] Автор : RA1AGB Дата : 21.03.2018 08:18 После много численных экспериментов пришел к такому выводу, да и не я один. В чем именно проблема, причины какие, что не так с ОУ? Например, в Гермесе все работает и никто не жалуется на драйвер на ОУ. Странно всё это.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 218] Автор : eu2av Дата : 21.03.2018 08:37 Они шумные, имеют плохую линейность, ну если ориентироваться на удовлетворительно, то вполне пойдет:-P Считаю что не удовлетворяют параметрам на сегодняшний день, на до ведь стремится к лучшему. Ведь если из ходить из предложенной схемы, на затворах рд16.. уже потеряем линейность 15-20 процентов, далее на выходе рд16 тых нам нужно получить где то около 5-7 ватт, и тут мы должны сохранить линейность не хуже чем -40-45дб при измерении двух тоновым сигналом, тогда получится выйти на хорошие параметры по выходу рд100..тых. С опа.. я очень сомневаюсь что получиться хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 219] Автор : RA1AGB Дата : 21.03.2018 08:43 Они шумные Странно, как измеряли Кш? имеют плохую линейность В Гермесе они честно отдают свои 450мВт, при Imd3~-55дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 220] Автор : UN7RX Дата : 21.03.2018 08:52 Посмотрите в свежих схемах отказываются от подобных решений, Полно свежих решения с OPA***, если у вас что-то шумело и нелинейно работало, проблема исключительно ваша, локальная. Идеально работают при правильной эксплуатации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 221] Автор : eu2av Дата : 21.03.2018 08:53 Я выходил в своем Гермесе на те же параметры, и все токи отказался. У меня на выходе предварительного вых 1 ватт получилось имд 65 дб, и так же стоит обратить внимание на имд 3-го 4 го порядка, с опа.. оно явно проигрывает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 222] Автор : RA1AGB Дата : 21.03.2018 09:04 проблема исключительно ваша, локальная. Идеально работают при правильной эксплуатации. TNX UN7RX. Я того же мнения. Наверно, моё обсуждение драйвера на ОУ нужно потереть, как не имеющее прямого отношения к конструкции Константина (aka Sidoroff). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 223] Автор : UT4UBK Дата : 21.03.2018 09:57 8-) TNX UN7RX. Я того же мнения. Наверно, моё обсуждение драйвера на ОУ нужно потереть, как не имеющее прямого отношения к конструкции Константина (aka Sidoroff). Нет, не надо ничего тереть. Я очень благодарен за это обсуждение, тк опыта никакого и буду изучать этот вопрос глубже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 224] Автор : Genadi Zawidowski Дата : 21.03.2018 10:01 Кстати, у 14-ти ногих (OPA2674I-14D) есть входы управления мощностью. Я в режиме приема отключаю драйвер этими сигналами. Не об этом говорилось как о "шумности" - о шумах тракта передатчика при приеме? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 225] Автор : RA1AGB Дата : 21.03.2018 10:11 буду изучать этот вопрос глубже. Планируете ли вы вводить предискажения на передачу, для увеличения линейности тракта? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 226] Автор : UN7RX Дата : 21.03.2018 12:00 есть входы управления мощностью. Я в режиме приема отключаю драйвер этими сигналами. Очень вовремя, спасибо Геннадий. Чуть не начал новую плату делать - как раз 14-ти выводный корпус. Нужно будет использовать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 227] Автор : UT4UBK Дата : 21.03.2018 13:41 Планируете ли вы вводить предискажения на передачу, для увеличения линейности тракта? Да, планирую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 228] Автор : UT4UBK Дата : 21.03.2018 14:57 Я выходил в своем Гермесе на те же параметры, и все токи отказался. У меня на выходе предварительного вых 1 ватт получилось имд 65 дб, и так же стоит обратить внимание на имд 3-го 4 го порядка, с опа.. оно явно проигрывает. Может использовать другие усилители (например от minicurcuit) или другие операционники? Решение с транзисторным предусилителем проигрывает по цене и габаритам (надо еще один трансформатор ставить.) Хотя посмотрел, корпуса у них (RD07MSV1) небольшие. Им надо делать раздельное смещение или подбирать пары? И какой конструктив TR1- TR2? На Вашей схеме два трансформатора с позиционным обозначением TR4 И еще. посмотрел по алику, разброс цен на 10шт партии от $13 до $33. Можете поделиться контактами проверенного продавца? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 229] Автор : RA1AGB Дата : 21.03.2018 16:24 Хотя посмотрел, корпуса у них (RD07MSV1) небольшие Константин, если очень хочется на транзисторах, то есть в наличии транзисторы PD85004. По ссылке вариант их использования http://www.qsl.net/in3otd/ham_radio/PD85004_PP_PA_II/PD85004_PP_PA_II.html За подробностями в личку. Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 230] Автор : LZ1AO Дата : 21.03.2018 18:31 Sidoroffff, Утверждения о большом шуме операционных усилителей в передающем тракте с вашей конфигурации - басни. Подсчитайте уровень широкополосного шума с ЦАП-а передатчика и сравните его с шум усилителя... Зоботится о широкополосний шум имеет смысл в случаи пользования трансивера в дуплекс или в контестной станции в режиме мулти-мулти. Искажения таких ОУ при нормальном пользовании - достаточно маленкие. Подчеркиваю, при нормальном пользовании, поскольку здесь достаточно много схем с грубыми ошибками. Габариты Вашей конструкции маленкие, оставьте операционные усилители на месте, все получится проще. Трансформаторы - не волнуйтесь, нет ничего сверхсложного. Но обязательно нужно располагать каким то прибором для измерения импедансов на ВЧ. Ето может быт ВЧ мост, векторный измеритель импеданса, измеритель компонентов, VNA. Посмотрите апноты и рапорты Phillips и Motorola, публикации W2FMI, книги Лондона и Томашевича. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 231] Автор : UN7RX Дата : 21.03.2018 20:27 Не нужно тащить сюда ZS, SUN и прочее. Иначе тема где еще по сути и нет конечного трансивера умрет под грузом стороннего хлама, не дождавшись авторского варианта. Или будет забита до беспредела им. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 232] Автор : eu2av Дата : 21.03.2018 21:29 Тут конечно согласен с вами, если исходить из габаритов транзисторный усилитель проигрывает. При желание можно его уменьшить, трансформаторы Тр2,3 можно использовать промышленные, или мотать на маленьких биноклях в три провода4-5 витков. Настройка не сложная, если применять трансформаторы другой конструкции нужно будет подобрать усиления путем подбора резисторов в обратной связи. На выходе должно получится не более 6-ти вольт вч на нагрузке 50ом. ток покоя для каждого транзистора 180 миллиампер Транзисторы приобретал вот здесь https://www.aliexpress.com/item/Free-shipping-10pcs-lot-RD07MVS1B-T112-RD07MVS1B-RD07MVS1-QFN3/32692679331.html Можно применить транзисторы в более удобном корпусе, типа (https://www.aliexpress.com/item/PD85004-8504-SOT89/32814841428.html)PD85004 (https://www.aliexpress.com/item/PD85004-8504-SOT89/32814841428.html). (https://www.aliexpress.com/item/PD85004-8504-SOT89/32814841428.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 233] Автор : UT4UBK Дата : 21.03.2018 21:52 Выложил easm файл текущего корпуса по ссылке https://drive.google.com/open?id=1vmUyc9E1KFsU9QkSbS4OYxng6wPrQbVe Вьювер можно взять здесь (под винду) http://www.edrawingsviewer.com/ed/download.htm Буду благодарен за замечания и советы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 234] Автор : LZ1AO Дата : 22.03.2018 14:59 Sidoroffff, easm - формат слишком специфический. Файлы на обозрение лучше выставлят в универсальний формат - STEP, IGS, STL, так что и те кто пользуют не SolidWorks а что то другое могли бы рассмотреть без инсталяции тяжеловесного вюера Dassault :-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 235] Автор : UT4UBK Дата : 22.03.2018 15:20 Sidoroffff, easm - формат слишком специфический. Файлы на обозрение лучше выставлят в универсальний формат - STEP, IGS, STL, так что и те кто пользуют не SolidWorks а что то другое могли бы рассмотреть без инсталяции тяжеловесного вюера Dassault :-P. Согласен, но только отчасти. STEP для такой сборки будет на десятки мегабайт. Для ознакомления и просмотра начинки - мне кажется , edrawing - компромиссный вариант. Можно сделать файл edrawing в виде exe файла, чтобы не устанавливать вьювер -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 236] Автор : UT4UBK Дата : 24.03.2018 19:13 Выкладываю проверенную документацию на 16 разрядный фронтенд (схемы+бом+гербера+доку на сборку) Пришлось по ссылке на гугл драйв тк размер архива больше 2 МБ https://drive.google.com/open?id=1No8FtaffokQTdXWuIQN08PoWCECpi2RM Немного позже - бинарник для прошивки и формат обмена (четыре встроенных приемника - 2х24Кгц + 2х(48-960КГц) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 237] Автор : UT4UBK Дата : 28.03.2018 11:42 Вопрос по выходному трансформатору для УМ. Я видел два варианта - в первичке используется коаксиальный кабель и на трубках. Какой лучше использовать? Нашел вот такие трансформаторы http://www.communication-concepts.com/rf-800-transformer/ http://www.communication-concepts.com/rf-1000-transformer/ Можно ли на выходе использовать RF800 вместо RF1000? В Munin он используется только на выходе драйвера. Может на выходе драйвера использовать этот RF400? http://www.communication-concepts.com/rf-400-transformer/ Добавлено через 50 минут(ы): Нашел вот такое упоминание The reason I wind the transformer using a braid instead of the tubes isthat the transformer is much more efficient at 50 MHz. It is veryimportant that the 4 secondary turns are covered by the braid all the wayat the right hand side. In fact, the efficiency is around 10-15 % higherat 50 MHz than a standard transformer.This is a variant of the transformer used by ICOM, Kenwood and Yaesu inall their modern transceivers. ICOM use 4 pieces of low impedance coaxwith the braid connected in parallel for the primary and the inner wiresconnected in series for the secondary. The result is the same.This is also the reason why the output is near the primary to have asshort connecting ends as possible.I think you can fix your transformer by cowering the turns on the rightside with braid and solder to get a complete shield. The Teflon insulatedwire is not damaged by the heat.Действительно ли это так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 238] Автор : LZ1AO Дата : 28.03.2018 14:39 Упоминание - прaвда. Трансформатор с трубкой - конвенциональний трансформатор и часто работает плохо. С отрезками нискоомных линии можно сделать ТДЛ, которий будеть работать гораздо лучше. Обычно лучше трансформатора с трубкой работает конструкция с оплеткой в качества первичной. Я пользовалься ( в производстве) трансформатором 16:1 на бинокле - набора из 12.5мм ферритов 4C65 (u=125), размером около 25x35мм в 80W-ном усилителе. Обмотки - 4 штуки спаренние МГТФ 0.35, которые скручаются, примерно -3 скрутки на сантиметр, и покриваются плотно оплеткой. Оплетка изолируется стеклолентой и делаются 2 витка етим набором. Оплетка - первичная. Внутренние провода соединяются последовательно - ето вторичная обмотка. Неудобство такой конструкции - края и начала обоих обмоток - с одной стороны. Питание подавалось в зазамленной по ВЧ середине первички трансформатора, благо у нее два витка. Усилитель предназначался для пользования с нестабильной нагрузки, КСВ до 6, ООС в таких условиях не ползовалась, она оказалась злом :-P. Усиление и импеданс стабилизировались LCR цепями в затворах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 239] Автор : rolin Дата : 28.03.2018 15:50 Sidoroffff, RF1000 будет в самый раз для 100 Ватт. RF400 по входу ОК станет. Добавлено через 10 минут(ы): Sidoroffff, если вы собираетесь питать усилитель от 12-14 Вольт, то только такой тип трансформаторов подойдет. Все эти ТДЛ могут использоваться при питании 25 - 50 Вольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 240] Автор : LZ1AO Дата : 28.03.2018 17:10 Sidorofffф, http://home.scarlet.be/on9cvd/E-Ferriet.html (http://home.scarlet.be/on9cvd/E-Ferriet.html) Посмотрите, цикл статии ON9CVD о ферритах на КВ. Кстати, я из заявлении rolin-а узнал, что ТДЛ на 12V не работают. А я за 30 лет не заметил:-| -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 241] Автор : rolin Дата : 28.03.2018 18:35 LZ1AO, они работают, я не заявлял что нет, читать не умеете, что ли? Они не подходят для усилителей большой мощности с низковольтным питанием из за малого Ктр. Так лучше понятно ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 242] Автор : LZ1AO Дата : 28.03.2018 19:37 rolin, Если думаете что Ктр (16:1) мало и проблемма в етом - спорить не буду, неть смысла. ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 243] Автор : rolin Дата : 28.03.2018 20:05 LZ1AO, а не нужно спорить, просто покажите любую конструкцию усилителя с питанием 13 Вольт и с выходной мощностью 100 Ватт или выше на ТДЛ и всего делов. А то что языком молоть зазря, действительно . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 244] Автор : rolin Дата : 28.03.2018 21:33 LZ1AO, Если думаете что Ктр (16:1) мало Да, это мало. Ваши 1 к 16 по сопротивлению это всего лишь 1 к 4 по напряжению и этого хватит выкачать 30 - 40 Ватт из 13 Вольт. Для 100 Ватт нужно 1 к 6 а то и 1 к 8 по напряжению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 245] Автор : UT4UBK Дата : 29.03.2018 00:35 Нашел продавца ферритов, цены очень хорошие http://kitsandparts.com/store2.php Например , при партии в 100 штук BN-43-202 цена за один выходит $0.4 На родном амидоновском сайте он торгуется по $2.15 Как это понимать? Мне кажется - слишком большой дискаунт Добавлено через 8 минут(ы): Да, это мало. Ваши 1 к 16 по сопротивлению это всего лишь 1 к 4 по напряжению и этого хватит выкачать 30 - 40 Ватт из 13 Вольт. Для 100 Ватт нужно 1 к 6 а то и 1 к 8 по напряжению. Давид, в Munin2 используется такой трансформатор. Вот его конструкция http://k9ivb.net/munin2/Munin_L4_Construction_With_%20BN-61-002_06272013.pdf Может бытья ошибаюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 246] Автор : RA1AGB Дата : 29.03.2018 00:38 1) Amidon сам ничего не производит. Это торговая марка. Today Amidon serves a large corporate customer base and is a leading distributor of ferrites, iron powder cores, and inductors, however we are still one of the few companies in our industry that is committed to supplying the ham community with the parts they need for RF shielding, balun antennas, and power supplies. http://www.amidoncorp.com/about/ 2) Сердечники у Diz, W8DIZ качественные, не сомневайтесь, проверено. 100шт BN43-202 будут стоить 65$ (в т.ч. 25$ почтовые расходы). Приходит быстро, ~2 недели. Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 247] Автор : rolin Дата : 29.03.2018 04:21 Давид, в Munin2 используется такой трансформатор. Вот его конструкция Может бытья ошибаюсь. Это обычный бинокль, только питание на транзюки подали через дроссель. Усилитель на ШПТЛ это вот (http://www.cqham.ru/forum/showthread.php?27453-%CA%EE%ED%F1%F2%F0%F3%E8%F0%EE%E2%E0%ED%E8%E5-PA-%ED%E0-%EC%EE%F9%ED%FB%F5-%F2%F0%E0%ED%E7%E8%F1%F2%EE%F0%E0%F5-%B91&p=1024584&viewfull=1#post1024584) и вот (https://pa0fri.home.xs4all.nl/Lineairs/BLF188%20HF%20amplifier/pa%202%d7%20blf188%20f.jpg), легко узнать по обилию феррита на выходном каскаде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 248] Автор : LZ1AO Дата : 29.03.2018 13:25 Может бытья ошибаюсь Да нет, не ошибаетесь, он точно такой, о каком я Вам написал выше. Таким приемом возможно сделать очень приличние трансформаторы. Вероятно понадобится компенсация индуктивности рассеивания, но ето несложно. Здесь на сайте ест пример елегантной реализации ШПТЛ с полутвердыми линиями, дело UA6CL. На практике - ето набор U-образных сегментов коаксиальных линии в бинокле. Если пользуются например 4 отрезка, оплетки всех отрезков паралельно соединяются в паралел - ето будеть нискоомная сторона трансформатора, последовательно соединенние внутренных проводов линии - высокоомная, трансформатор получится с Кz=16. Линии из за одинаковые продольные напряжении на них, можно разположить на общем сердечнике без проблемм. Конечно, трансформатор етого типа будеть работать и если каждая линия расположена на своем сердечнике, в некоторых случаях ето даже удобно. Мощность которую возможно получить с RD100HHF1 и трансформатором 1:16 - около 100W. Напряжение насыщения у них примерно 1.2V, при питании в 13.8V получится импульс Um=12.6V, при нагрузке в стоках RL=50/16 = 3.125 Ом, мощность будеть Pout=2*sqr(Um)/RL= 2*12.6*12.6/3.125=101.4W. Я бы ограничился на 80-85W в SSB если неть коррекции искажении передатчика. Автоматическое уменшение мощности при понижения питания тоже не навредить. 80-85W наверно обезпечить и двойка RD70HHF1. Если Вам хочется 100 и более ватт при пониженном питание - тогда делайте трансформатор с коеффициентом трансформации 1:25 но имейте ввиду, что он оптимален для уровня мощности около 150W. При 100W КПД такого усилителя будеть не очень... P.S. rolin, 120W усилитель моей конструкции, мое первое изделие с трансформатором описанной конструкции (начало производство - середина 1982 года), уже обсуждали и показывали здесь, на етом форуме. Если хочется - найдете. Можете тоже посмотреть на продукцию сектора Broadcasting фирмы CTE International, если так интересно. Sidorofff, извините. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 249] Автор : rolin Дата : 29.03.2018 15:42 LZ1AO, мое первое изделие с трансформатором описанной конструкции Ваша описанная конструкция - соединение 4 обычных трансформаторов, первичка параллельно, вторичка последовательно. Никаких ТДЛ тут нет. Про "полутвердые линии" понравилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 250] Автор : LZ1AO Дата : 29.03.2018 18:04 Я впервые увидел етот вариант реализации в книге Лондона и Томашевича "Справочник по высокочастотным трансформаторным устройствам". По классификации в справочнике, только что посмотрел, описанная конструкция - одна из нескольких алтернативных форм реализации ШТЛ на согласованных линиях. Я охотно верю.:-| Описанное мною - не оптимально, почему, объяснят долго, но из более удобной реализации теряем в некоторой степени полное согласование на верху рабочего диапазона трансформатора. Ето возможно компенсировать, если надо. Как то так. rolin, sсасибо за заметку, поправлюсь - имел в виду полужесткие линии. То что я написал - название по принятой у нас технической терминологии "полутвърди коаксиални линии", так называют их у нас.:пиво: А фраза описанная мною конструкция относится к трансформатору с оплеткой и МГТФ, которого я описал, не более. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 251] Автор : UT4UBK Дата : 02.04.2018 18:40 1) Amidon сам ничего не производит. Это торговая марка. Today Amidon serves a large corporate customer base and is a leading distributor of ferrites, iron powder cores, and inductors, however we are still one of the few companies in our industry that is committed to supplying the ham community with the parts they need for RF shielding, balun antennas, and power supplies. http://www.amidoncorp.com/about/ 2) Сердечники у Diz, W8DIZ качественные, не сомневайтесь, проверено. 100шт BN43-202 будут стоить 65$ (в т.ч. 25$ почтовые расходы). Приходит быстро, ~2 недели. Павел RA1AGB 73 Заказал сердечники для PA + LPF filter +Tuner + DPF 1. 1 each - 10 pieces BN-43-202 multi-aperture for $5.00 each - $5.00 ea - $5.00 2. 1 each - 10 pieces BN-43-3312 multi-aperture core for $18.00 each - $18.00 ea - $18.00 3. 4 each - 1 piece BN-61-002 LARGE multi-aperture core for $5.00 each - $5.00 ea - $20.00 4. 1 each - 25 pieces T37-2 powdered iron toroid for $5.00 each - $5.00 ea - $5.00 5. 1 each - 25 pieces T37-6 powdered iron toroid for $5.00 each - $5.00 ea - $5.00 6. 1 each - 25 pieces T50-2 powdered iron toroid for $5.00 each - $5.00 ea - $5.00 7. 1 each - 25 pieces T50-6 powdered iron toroid for $6.00 each - $6.00 ea - $6.00 8. 1 each - 25 pieces T80-2 powdered iron toroid for $12.00 each - $12.00 ea - $12.00 9. 1 each - 25 pieces T80-6 powdered iron toroid for $16.00 each - $16.00 ea - $16.00 10. 1 each - 25 pieces T80-17 powdered iron toroid for $16.00 each - $16.00 ea - $16.00 11. 1 each - 25 pieces FT37-61 ferrite toroid for $7.00 each - $7.00 ea - $7.00 12. 1 each - 25 pieces FT37-67 ferrite toroid for $11.00 each - $11.00 ea - $11.00 13. 1 each - 25 pieces FT50-43 ferrite toroid for $7.00 each - $7.00 ea - $7.00 14. 2 each - 10 pieces FT82-43 ferrite toroid for $7.00 each - $7.00 ea - $14.00 Теперь, будем подождать :-P Амидон, дейстивтельно, по ценам отдыхает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 252] Автор : rolin Дата : 02.04.2018 20:06 Sidoroffff, Заказал сердечники для PA + LPF filter +Tuner + DPF Как переводится DPF на русский ? Шучу. Что за схема у него ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 253] Автор : UT4UBK Дата : 02.04.2018 20:32 Как переводится DPF на русский ? Шучу. Что за схема у него ? Ваша, Давид, Ваша -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 254] Автор : UT4UBK Дата : 23.04.2018 14:38 Давид, вы просили измерить IMD для OPA2695. 1. При выходной мощности 240 mW - 47dB 2. При выходной мощности 50 mW - 57dB Подавление несущей -77dB, зеркалки в диапазоне 200-3000 kHz > 80dB. Во вложении - скриншот для 240mW выходной мощности. Измерял на второй аналогичной станции, будет время - измерю на профоборудовании. И спектр речевого сигнала передатчика. 287698 287701 Вопрос специалистам. Сейчас реализован для микрофонного сигнала AРУ, пятиполосный эквалайзер. Для качественного сигнала хватит-ли клиппера, или придется добавлять компрессор? В какой последовательности их лучше расположить? Нужен ли noise gater? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 255] Автор : eu2av Дата : 23.04.2018 16:10 Слабовато конечно -47, я так полагаю на входе опа2695 как обычно имд 65-70дб если измеряли? Компрессор обязательно нужен, лучше многополосный если это возможно реализовать, настоящий noise gater скорее всего не получиться сделать, можно им особо не заворачиваться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 256] Автор : LZ1AO Дата : 23.04.2018 16:13 Sidoroffff У меня сделано примерно так. С сигнала микрофона, с частотой дискретизации Fs, формируется I/Q сигнал на несущей F=1/4 Fs. На базе его определяется амплитуда оболочки А(n). Входний сигнал с микрофона , с задержкой на время изчисления того выше, перемножаеться на ( GAIN / ( А(n)+C)), где GAIN и C - взаимозависимые константы. Результат используется для последующей обработки. Управлением C возможно изменять характеристики устройства от линейного усиления ( C >> А(n)) до безинерционного нормирования ( ограничения) если C = 0). GAIN используется для выравнивания уровня при изменения C. При желании можно сделать и подобие noise gate. Возможно добавить и некоторое разумное сглаживание A(n), например експоненциальное усреднение, с необходимой компенсации задержки. Моим потребителям работа такого АРУ понравилось, они пользують ето и в режиме ЧМ как ограничителя девиации передатчика, хотя изначально ето делалось как фронт-енд нискоскоростного вокодера :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 257] Автор : UT4UBK Дата : 23.04.2018 19:08 Слабовато конечно -47, я так полагаю на входе опа2695 как обычно имд 65-70дб если измеряли? Компрессор обязательно нужен, лучше многополосный если это возможно реализовать, настоящий noise gater скорее всего не получиться сделать, можно им особо не заворачиваться. Пока не настривал обвязку, думаю - можно улучшить. Sidoroffff У меня сделано примерно так. С сигнала микрофона, с частотой дискретизации Fs, формируется I/Q сигнал на несущей F=1/4 Fs. На базе его определяется амплитуда оболочки А(n). Входний сигнал с микрофона , с задержкой на время изчисления того выше, перемножаеться на ( GAIN / ( А(n)+C)), где GAIN и C - взаимозависимые константы. Результат используется для последующей обработки. Управлением C возможно изменять характеристики устройства от линейного усиления ( C >> А(n)) до безинерционного нормирования ( ограничения) если C = 0). GAIN используется для выравнивания уровня при изменения C. При желании можно сделать и подобие noise gate. Возможно добавить и некоторое разумное сглаживание A(n), например експоненциальное усреднение, с необходимой компенсации задержки. Моим потребителям работа такого АРУ понравилось, они пользують ето и в режиме ЧМ как ограничителя девиации передатчика, хотя изначально ето делалось как фронт-енд нискоскоростного вокодера :) Отлично, попробую ваш вариант. По нойс гэйтеру - как бороться с шумами микрофонного усилителя? S/N у него небольшой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 258] Автор : LZ1AO Дата : 24.04.2018 16:34 По нойс гэйтеру - как бороться с шумами микрофонного усилителя? S/N у него небольшой Вряд ли имеет смысл уменьшать шумы усилителя DSP способами. Независимо от способа будут характерные и неприятные артефакты. Попробуйте что-то попроще, например если уровень мощности на входе ниже некоторого порога, уменшайте Gain в выражения выше пропорционально квадрата етой мощности. Если мощность выше порога, Gain не меняется. Не совсем noise gate, но помагает :) К моему описанию выше, добавьте обязательно HPF или другой способ компенсации смещения нуля АЦП. Добавлено через 8 минут(ы): Eсли обработку вести блоками по 10-20ms - задержка практически не раздражает. Если интересно как ето делается в вокодерах, посмотрите пре-процессор в SPEEX https://www.speex.org/ По моему ест у них порт на ТМС320C64xx ну и на Cortex конечно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 259] Автор : UT4UBK Дата : 24.04.2018 17:41 Вряд ли имеет смысл уменьшать шумы усилителя DSP способами. Независимо от способа будут характерные и неприятные артефакты. Попробуйте что-то попроще, например если уровень мощности на входе ниже некоторого порога, уменшайте Gain в выражения выше пропорционально квадрата етой мощности. Если мощность выше порога, Gain не меняется. Не совсем noise gate, но помагает :) К моему описанию выше, добавьте обязательно HPF или другой способ компенсации смещения нуля АЦП. Добавлено через 8 минут(ы): Eсли обработку вести блоками по 10-20ms - задержка практически не раздражает. Если интересно как ето делается в вокодерах, посмотрите пре-процессор в SPEEX https://www.speex.org/ По моему ест у них порт на ТМС320C64xx ну и на Cortex конечно. OK, посмотрим. Вот неплохая ссылка по предобработке http://www.dxatlas.com/vshaper/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 260] Автор : UT4UBK Дата : 13.05.2018 15:26 Небольшой апдейт. 1. Закончена микрофонная часть и формирование однополосного сигнала. 2. Добавлен 8 полосный эквалайзер для микрофона 3. Добавлен аудио компрессор для микрофона 4. Добавлен NOISE GATE для микрофона 5. Добавлены настройки для подключения различных типов микрофонов 6. Режимы самопрослушивания 7. 3 ширины однополосного сигнала Хотелось бы добавить дефолтные настройки для эквалайзера (нижний ряд кнопок). Где это можно посмотреть? Жду платы УМ и тюнера Под спойлером - скриншоты микрофонных настроек 288942 288943 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 261] Автор : LZ1AO Дата : 15.05.2018 17:34 Хотелось бы добавить дефолтные настройки для эквалайзера (нижний ряд кнопок) Я пользую приблизительно такое - ВЧФ первого порядка (6dB/Octave) с частотой среза около 800Hz, плоская характеристика с 1000 до 2100Hz, потом -6-9dB/Octave. Дополнительний НЧФ на 3.3kHz , его затухание на 3.8kHz -60dB. Честно говоря, что потребители ставят потом - не разучал, но в том что ето сильно зависить от предпочитании оператора - уверен. Сам факт присуствия эквалайзера в меню подбуждает поигратся найстройками:) Второй дефольтний набор - плоская характеристика с 300 до 3000Hz. Добавлено через 24 минут(ы): http://www.g3nrw.net/TS-590/?page_id=356 TS-590S TechNote: SSB Audio Handling -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 262] Автор : LZ1AO Дата : 17.05.2018 14:39 Публикация G8JNJ в RADCOM, 2009 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 263] Автор : UT4UBK Дата : 22.05.2018 22:10 Провел интересные измерения производительности DSP. Имеем: 1. Два полностью независимых приемника (Hilbert 192 taps, decimator 48->24 - 96 taps, IIR main filter - 32 order, AGC,SMETER,AUTO NOTCH,NB,NR,EQ,MANUAL NOTCH,RTTY decoder,8 band EQ) 2. Передатчик (IIR main filter - 32 order,8 band EQ,Hilbert 192 taps, interpolator 24->48 - 96 taps,Compressor,AGC,Noise gate) 3. Два независимых спектроанализатора (1024 разряда, zoom - 6КГц) 3. RTTY decoder (фильтрация и выделение символов) 4. Коэффициенты всех фильтров подгружаются из ARM ядра Программа оптимизирована по скорости, отдельные участки - на ассемблере. Циклов на 1024 входных сэмпла: 2.6 млн Максимальное количество циклов : 9.7 млн Загрузка: 27% Свободной памяти: 86 кБ (из 256кБ) Что еще можно добавить из фич в DSP прошивку? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 264] Автор : UT4UBK Дата : 10.06.2018 13:58 Закончил проектирование механической части усилителя мощности. Радиаторы брал тут https://ru.aliexpress.com/item/2pcs-High-power-heatsink-LED-heat-sink-125-45-125-Fan-Heatsink/32397384946.html?spm=a2g0s.9042311.0.0.1e8a33ed3rIXYC Вентиляторы - https://ru.aliexpress.com/item/Hydro-Bearing-4-Pin-12V-DC-70x70x15mm-Black-Compuer-Fan-Cooler-Brushless-Cooling-Blower-Fan-For/32849482544.html?spm=a2g0s.9042311.0.0.1e8a33edL4EUxC Картинки - под спойлером. Как обычно - буду благодарен за комментарии, хотя платы придут уже завтра. 290729290730290731290732290733 Финальная схема и скриншоты платы (2-х сторонняя) 290735290736 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 265] Автор : rolin Дата : 10.06.2018 20:42 Sidoroffff, как раз сейчас тоже занимаюсь подобным усилителем на RD100. Пока получается не очень хорошо. Сделал вот новый транс выходной на BN61-002 по аналогии как в заводских трансиверах сейчас делают - из отрезков 25-омного кабеля. По медным трубкам питание подается а сигнал по кабелю. 290752 Закончил проектирование механической части усилителя мощности. И сразу вопрос - как удалось в ребрах радиатора выфрезеровать место для вентилятора ? Есть мнение, что такие тогкие ребра будут просто гнуться под фрезой и радиатор превратится в неизвестно что. Финальная схема и скриншоты платы Финальная схема будет когда усилитель заработает без возбудов, покажет красивую синусоиду вых сигнала на всех диапазонах, приемлемый IMD3, и КПД близкий к 50% на максимальной выходной мощности. Если получится - респект и уважуха и я с радостью повторю конструкцию один в один. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 266] Автор : UT4UBK Дата : 10.06.2018 21:14 Sidoroffff, как раз сейчас тоже занимаюсь подобным усилителем на RD100. Пока получается не очень хорошо. Сделал вот новый транс выходной на BN61-002 по аналогии как в заводских трансиверах сейчас делают - из отрезков 25-омного кабеля. По медным трубкам питание подается а сигнал по кабелю. 290752 И сразу вопрос - как удалось в ребрах радиатора выфрезеровать место для вентилятора ? Есть мнение, что такие тогкие ребра будут просто гнуться под фрезой и радиатор превратится в неизвестно что. Финальная схема будет когда усилитель заработает без возбудов, покажет красивую синусоиду вых сигнала на всех диапазонах, приемлемый IMD3, и КПД близкий к 50% на максимальной выходной мощности. Если получится - респект и уважуха и я с радостью повторю конструкцию один в один. Я больше ориентировался на проверенный Munin2. Добавил защитную обвязку и переделал механическую конструкцию. По фрезеровка - в соседней конторе поставили HAAS, думаю - с эмульсией и на правильных режимах резания (и прямых руках оператора) - проблем не должно быть. На порталах - согласен, ребра нормально снять не получится, хотя можно проходить по одному ребру за рез змейкой. Можете попробовать. Я свой промышленный портал уже отдал, баловство все это :-) Возбуд и наводки на схему управления - мой основной консерн. Кстати, может поделитесь вашим вариантом схемы усилителя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 267] Автор : rolin Дата : 10.06.2018 21:28 Кстати, может поделитесь вашим вариантом схемы усилителя? Это просто макет пока, ориентируюсь на Munin - 3, но кажись баловство это, получить 100 Ватт из одного не получится, хоть автор и говорит, что получается, но как именно он сам не знает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 268] Автор : UT4UBK Дата : 10.06.2018 21:43 Это просто макет пока, ориентируюсь на Munin - 3, но кажись баловство это, получить 100 Ватт из одного не получится, хоть автор и говорит, что получается, но как именно он сам не знает. Так можно купить или изготовить плату и попробовать, точно по описанию. Отзывы неплохие. Хотел 4-х слойку (и в проекте констрейны под 4 слоя), но в последний момент земноводное задавило :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 269] Автор : rolin Дата : 10.06.2018 21:49 Sidoroffff, в третьем варианте такой примитив, что нет смысла плату покупать, просто макет сделал. Сами транзюки очень хорошие, у меня работают без ООС и без малейших намеков на возбуд. Но видно, что по входу у него согласования уже никакого. С другой стороны, на одном каскаде без ООС можно сделать хороший усилок с высоким КПД и хорошей АЧХ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 270] Автор : UT4UBK Дата : 10.06.2018 21:55 А вариант ut2fw? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 271] Автор : rolin Дата : 10.06.2018 22:03 ut2fw Тоже вариант, но мне же нужно под свой конструктив одноплатного УМ с фильтрами , тюнером и прочая и прочая. То есть самому надо лепить что-то. Заказал себе FT-891 как образец, открою крышку и буду медитировать, пока не сделаю такой же УМ :) А готовый усилитель нет проблем - EB104 - простой и дешевый как автомат Калашникова, 600 Ватт только в путь. Но до 30 МГц только. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 272] Автор : UT4UBK Дата : 10.06.2018 22:16 Тоже вариант, но мне же нужно под свой конструктив одноплатного УМ с фильтрами , тюнером и прочая и прочая. То есть самому надо лепить что-то. Заказал себе FT-891 как образец, открою крышку и буду медитировать, пока не сделаю такой же УМ :) А готовый усилитель нет проблем - EB104 - простой и дешевый как автомат Калашникова, 600 Ватт только в путь. Но до 30 МГц только. А нужна именно 12 вольтовая версия? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 273] Автор : rolin Дата : 10.06.2018 22:32 Sidoroffff, да, 12, но можно и под 20 Вольт, удобно питать блоком питания от ноутбука. Пробовал ещё MRF9180, хороший транзистор за смешные деньги, но возбуды ловит только так, даже не знаю что ж ещё сделать, чтобы их побороть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 274] Автор : rolin Дата : 11.06.2018 01:50 Вот мой тестовый стенд с RD100 , трансформатор фото ранее выкладывал, по всем правилам, медные трубки + 4 витка 25-омного кабеля. 290763 1500 мА смещения на каждом транзисторе, больше уже некуда. Напряжения на стоках по диапазонам 290764 290765 290766 290767 290768 Выходной сигнал 290769 290770 290771 290772 290773 И такая фигня постоянно, какие бы транзисторы не ставил, и это еще хорошо, по крайней мере есть моща, а бывало и хуже и я не знаю что это за напасть и как с ней бороться. Добавлено через 33 минут(ы): По осциллограммам стока видно, что существует некая странная зона, во время которой транзистор непонятно что делает, но известно, что в таком режиме он жрет ток, что дурной. На диапазоне 28 МГц короткий период сигнала не дает этой зоне проявиться и усилитель прекрасно работает с отличным КПД и выдает требуемую мощу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 275] Автор : Genadi Zawidowski Дата : 11.06.2018 02:09 Избыток напряжения возбуждения на затворах? Где обратная связь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 276] Автор : rolin Дата : 11.06.2018 02:29 Избыток напряжения возбуждения на затворах? Нет, что вы. Но на стоках полный размах. Где обратная связь? Она не установлена в данном случае. С ней лучше не становится. Красное - напряжение затвора, желтое - сток того же транзистора 290774 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 277] Автор : UA0YAS Дата : 11.06.2018 06:11 Занимаюсь похожим проектом. Насчет кабеля 25 Ом- где такой применяется? в магазинах местных точно не купить.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 278] Автор : rolin Дата : 11.06.2018 07:38 В усилителях применяется На Али можно найти или на еБай -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 279] Автор : UT4UBK Дата : 11.06.2018 10:04 Вот мой тестовый стенд с RD100 , трансформатор фото ранее выкладывал, по всем правилам, медные трубки + 4 витка 25-омного кабеля. 290763 1500 мА смещения на каждом транзисторе, больше уже некуда. Напряжения на стоках по диапазонам 290764 290765 290766 290767 290768 Выходной сигнал 290769 290770 290771 290772 290773 И такая фигня постоянно, какие бы транзисторы не ставил, и это еще хорошо, по крайней мере есть моща, а бывало и хуже и я не знаю что это за напасть и как с ней бороться. Добавлено через 33 минут(ы): По осциллограммам стока видно, что существует некая странная зона, во время которой транзистор непонятно что делает, но известно, что в таком режиме он жрет ток, что дурной. На диапазоне 28 МГц короткий период сигнала не дает этой зоне проявиться и усилитель прекрасно работает с отличным КПД и выдает требуемую мощу. А по питанию можно посмотреть, что происходит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 280] Автор : Genadi Zawidowski Дата : 11.06.2018 11:38 Красное - напряжение затвора, желтое - сток того же транзистора Возбуд, качественный, в рабочем диапазоне усилителя. Коллега, который сделал усилитель к нашему трансивру (Олег UA1CEI) просит выложить более подробную схему макета - на данный момент интересуется - что за конденсаторы в стоках трснзисторов стоят и как выполнено питание. Его удачный вариант выглядит гораздо сложнее... 290786 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 281] Автор : Livas60 Дата : 11.06.2018 12:07 Гена, добрый день. А схему этого усилителя можно увидеть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 282] Автор : Genadi Zawidowski Дата : 11.06.2018 12:23 Василий, она "слепая" в основном... та что у меня есть. На на данный момент в процессе отладки ФНЧ - но возбудов ьочно нет. В скайп к автору по позывному. В теме про Storch выложу, тем более что несколько человек уже собирают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 283] Автор : UT4UBK Дата : 11.06.2018 14:16 Возбуд, качественный, в рабочем диапазоне усилителя. Коллега, который сделал усилитель к нашему трансивру (Олег UA1CEI) просит выложить более подробную схему макета - на данный момент интересуется - что за конденсаторы в стоках трснзисторов стоят и как выполнено питание. Его удачный вариант выглядит гораздо сложнее... 290786 Провода и дорожки питания для таких токов не маловаты? http://circuitcalculator.com/wordpress/2006/01/31/pcb-trace-width-calculator/ В Мюнине шире. Я снял маску с токо нагруженных трасс, чтобы толщина после покрытия увеличилась. Плюс имеет смысла заложить толщину слоев 2 oz -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 284] Автор : Genadi Zawidowski Дата : 11.06.2018 14:21 Наш вариант на сотню выходной мощности закладывался.,. Автор считает что хватит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 285] Автор : rn6xc Дата : 11.06.2018 14:58 но возбудов ьочно нет А их и не будет, т.к. в ней грамотно выполнено питание транзисторов. Только одного не пойму, для чего такой огромный транс по входу и симметрии? Или просто в наличии другого феррита не нашлось? Да и выходной тоже с приличным запасом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 286] Автор : R3DI Дата : 11.06.2018 15:18 rolin, а не перекачен ли сигнал по входу? По первым графикам на стоках и по выходу - похоже что транзистор работает в ключевом режиме. ( да поправят меня коллеги, самому эта тема очень интересна ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 287] Автор : Genadi Zawidowski Дата : 11.06.2018 15:28 а не перекачен ли сигнал по входу? Я то же самое спрашивал... Утвержлает что нет (хотя видно что да). To livas60: схема тут (http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-трансивер-Аист-(Storch)&p=1538175&viewfull=1#post1538175). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 288] Автор : RV3DLX Дата : 11.06.2018 15:35 Вот кто-бы показал осциллограммы на выходе усилителя мощности любого фирменного трансивера, до ФНЧ. Там форма сильно далека от синусоидальной, примерно как и на картинках Девида. Вот после ФНЧ уже довольно чистый синусоидальный сигнал. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 289] Автор : RU6AI Дата : 11.06.2018 15:36 Занимаюсь похожим проектом. Насчет кабеля 25 Ом- где такой применяется? в магазинах местных точно не купить.. https://www.ebay.com/itm/322200688673?_trksid=p2057872.m2749.l2649&ssPageName=STRK%3AMEBIDX%3AIT покупал тут... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 290] Автор : RK4CI Дата : 11.06.2018 16:27 А каким боком здесь может пригодиться 25 омный кабель? Выходной трансформатор трансформирует 3 ома к 50. Симметрирующий, 0,75 ома, к 3 омам. Собственно с учётом этого, что нагрузка каждого транзистора на рабочей полуволне 0,75 ома, и импульс тока может превышать 16 А, и должна строиться цепь от стока транзистора, к обмотке симметрирующего трансформатора, а далее, блокировочный конденсатор с выхода симметрирующего к истоку желательно этого же транзистора. Рабочий импульс замкнётся не "разбегаясь" по плате. При этом, и потери минимизируются, и склонность к каким то возбудам должна быть минимальна. Посмотрел схему конкретно этого УМ. Очень интересно смотрится цепочка с общим номиналом чуть более 1,5 ома, для ВЧ токов, на пути импульса тока более 15 А. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 291] Автор : UT4UBK Дата : 11.06.2018 17:15 А каким боком здесь может пригодиться 25 омный кабель? Выходной трансформатор трансформирует 3 ома к 50. Симметрирующий, 0,75 ома, к 3 омам. Собственно с учётом этого, что нагрузка каждого транзистора на рабочей полуволне 0,75 ома, и импульс тока может превышать 16 А, и должна строиться цепь от стока транзистора, к обмотке симметрирующего трансформатора, а далее, блокировочный конденсатор с выхода симметрирующего к истоку желательно этого же транзистора. Рабочий импульс замкнётся не "разбегаясь" по плате. При этом, и потери минимизируются, и склонность к каким то возбудам должна быть минимальна. Посмотрел схему конкретно этого УМ. Очень интересно смотрится цепочка с общим номиналом чуть более 1,5 ома, для ВЧ токов, на пути импульса тока более 15 А. Вы про какую схему говорите? Блокировочные от средней точки к истокам будут установлены на обратной стороне под радиатором. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 292] Автор : RK4CI Дата : 11.06.2018 17:42 Вы про какую схему говорите?Трансивер "Ермак". Сообщение №264. Питание на среднюю точку симметрирующего трансформатора подано через дроссель L6, зашунтированный тремя резисторами с общим номиналом около 1,5 ома. Вы что, считаете что на запертом транзисторе, может каким то образом возникнуть положительный потенциал, в противовес отрицательному, который отперт?. Это в резонансных усилителях подобное возможно. В широкополосном, положительный импульс может быть только странсформирован в противоположное плечо, относительно средней точки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 293] Автор : yl2gl Дата : 11.06.2018 17:51 Форма сигнала на стоках зависит от от количества витков в первичной обмотке выходного трансформатора. Если отказаться от трубки в качестве первичной обмотки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 294] Автор : UT4UBK Дата : 11.06.2018 18:13 Трансивер "Ермак". Сообщение №264. Питание на среднюю точку симметрирующего трансформатора подано через дроссель L6, зашунтированный тремя резисторами с общим номиналом около 1,5 ома. Вы что, считаете что на запертом транзисторе, может каким то образом возникнуть положительный потенциал, в противовес отрицательному, который отперт?. Это в резонансных усилителях подобное возможно. В широкополосном, положительный импульс может быть только странсформирован в противоположное плечо, относительно средней точки. OK уже добавил, по три в плечо. А также у средней точки трансформатора L1. Переделка лайоту пока не потребовалась. Кстати, на фото собранных Munin-2 эти конденсаторы не установлены http://www.k9ivb.net/Munin2/PB040002.JPG http://www.k9ivb.net/Munin2/LA2NI%20Munin.jpg Добавлено через 20 минут(ы): Вот еще одно фото без этих конденсаторов http://www.k9ivb.net/Munin2/KF4BQ_Munin2.jpg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 295] Автор : RK4CI Дата : 11.06.2018 18:37 Кстати, на фото собранных Munin-2 эти конденсаторы не установленыТак на фото вообще не видно, как подводится напряжение к средней точке. И раз уж симметрирующий трансформатор установлен, так стоит использовать его правильно. Выходной трансформатор из 61 материала, на 1,9 мгГц, 1 виток первички, будет иметь реактивное сопротивление около 15 ом. При 12 вольтовом питании, вроде не слишком мало. А вот при 20-24 В, уже лучше поставить тот же бинокль из 43 материала. Есть ли данные по линейности на УМ, фото которого вы приложили? Добротные комплектующие, заводская плата, совсем не гарантия того, что УМ нормально работает на всех диапазонах, с хорошей линейностью. Хотя если судить по фото, из комплектующих есть всё, что бы УМ работал нормально. Просто у меня вызывает сомнение сама возможность получения хорошей линейности, при 12 вольтовом питании, и мощности под 100 ватт. Тем более, что выходной трансформатор применён 1 к 4 по напряжению.При напряжении питания 13,8 В, и мощности 100 ватт, остаточное напряжение на транзисторах будет около 1 В. А импульс тока в этот момент, должен превышать 16 А. Может транзистор это и обеспечит, но о линейности в этот момент, лучше забыть вообще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 296] Автор : UT4UBK Дата : 11.06.2018 18:51 Так на фото вообще не видно, как подводится напряжение к средней точке. Возможно, они их расположили на нижней стороне и забыли указать в примечаниях . Я отметил полигон, через который подводится питание к средней точке с местами установки этих конденсаторов 290798 Проверил гербера - снизу только широкий дублирующий полигон. По IM3 http://lists.openhpsdr.org/pipermail/hpsdr-openhpsdr.org/2017-August/098335.html Пишет , что > 40 http://lists.openhpsdr.org/pipermail/hpsdr-openhpsdr.org/2017-August/098339.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 297] Автор : LZ1AO Дата : 11.06.2018 19:06 Sidoroffff, На схеме Munin-а, a и на его ПП IMHO предусмотрено испольнение оконечной ступени в двух вариантах - - при вставленных C21, C22 и т.д. середина симметрирующего трансформатора заземляется, ето - традиционное испольнение, в том случае R45..R47 не работают. - при отсуствии C21, C22 и т.д. середина симметрируючего трансформатора подключается к земли по переменному току через R45...R47. В русской литературе ето называють схемой с развязкой усилителей или мостовой схемой. R45...R47 работают как баластную нагрузку моста - сумматора. Но в случае с трансформатором 16:1 их номинал показанний на схеме - не совсем правильний. :-P Можете посмотреть например в книге "Широкополосные радиопередающие устройства" под редакции Алексеева, 4 и 5 раздел. Пишет , что > 40 Пишет -30dB по отношении к PEP, т.е. - 24dB по отношении одного тона. -40dB - ето у него с Pure Signal. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 298] Автор : rolin Дата : 11.06.2018 20:33 Коллега, который сделал усилитель к нашему трансивру (Олег UA1CEI) просит выложить более подробную схему макета - на данный момент интересуется - что за конденсаторы в стоках трснзисторов стоят и как выполнено питание. Схема такая 290806 На входе стоит балун 1 к 1 , что , конечно не является оптимальным из-за приличной емкости затворов транзюков. По-хорошему, нужно ставить 4 к 1, тогда емкость затворов легко скомпенсируется. Но я так специально сделал в попытке получить максимальное усиление. Автор Мунина сообщает, что ему удалось получить 100 Ватт на выходе при входе даже меньше 1 Ватт, при этом обратная связь была отключена. КПД получившегося усилителя вырос чуть ли не вдвое относительно второй версии и приблизился к 50%. Вот я и пытаюсь повторить это достижение. Питание также подавал через дроссель, который вы почему-то называете симметрирующим трансфоматором. Лично я вижу типичный Common Mode Choke и ничего он не симметрирует. Его удачный вариант выглядит гораздо сложнее... Ничего сложного, избыточно сложно выполнен дроссель питания, достаточно было ферритового кольца 20 мм или даже BN43-202 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 299] Автор : RK4CI Дата : 11.06.2018 20:37 В русской литературе ето называють схемой с развязкой усилителей или мостовой схемой. R45...R47 работают как баластную нагрузку моста - сумматора.Сумматор обычно складывает мощностя усилителей, в которых усилены обе полуволны сигнала. Здесь подобное просто невозможно. Каждый из транзисторов усиливает только одну полуволну, а во время другой просто запирается. Благодаря наличию реактивностей, какая то часть энергии и может запастись и в запертом плече, но нормально, подобная схема в широкополосных каскадах работать не будет Пишет -30dB по отношении к PEP, т.е. - 24dB по отношении одного тона. Ещё бы надо указать при какой именно мощности. Если при 100 ваттах РЕР, то что то слишком хорошо. Даже при импульсе тока 10 А, при остаточном чуть более 1 В, импульс уже спадает почти вдвое... Хотя, ничто не мешает выполнить выходной трансформатор 1 к 5 по напряжению. Потеряем в КПД, но линейность будет чуть выше. И заявленные -30, при 100 ваттах, относительно РЕР, возможно станут реальностью. Не подумайте что мои утверждения голословны. Просто о резком снижении линейности, при попытке получить полную мощность, писали практически все, кто реально эту линейность измерял. Отсюда и это стойкое неприятие цифр на уровне -30 дБ, на максимальной мощности. Когда остаточное на транзисторе снижается к 2 В и менее, а импульс тока ампер под 18-20, линейность падает где то к -15 дБс и ниже. Это то, что писали авторы реальных измерений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 300] Автор : EU1SW Дата : 11.06.2018 21:32 Ну, в общем так и вышло у меня на RD100-х, при выходном трансформаторе 1:5 и 13,8 вольтах питания получилось 80 ватт в CW, при этой раскачке наблюдается IMD порядка -30 по отношению к одному тону, без puresignal, с ООС. Дальше стрелочной болезнью страдать не стал, остановился на этом, посчитав разницу в 1 дБ несущественной. Номиналы делителя ООС - 50 и 5,6 Ом, со стоков, без трансформатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 301] Автор : rolin Дата : 11.06.2018 21:53 EU1SW, интересно. А что за мощность на входе , Так ли была необходимость в трансе 1 к 5 , это ж совсем его характеристики деградируют. Какой транс на входе ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 302] Автор : UT4UBK Дата : 11.06.2018 22:13 Кстати, сейчас продумываю реализацию добавления предискажений для улучшения линейности. Думаю, по измеренным характеристикам просчитывать коэффициенты полинома n-го порядка (на стороне ARM) и загружать их в DSP для обработки каждого буфера сэмплов. VLIW архитектура DSP очень хорошо поможет распараллелить обработку сэмплов и обрабатывать сразу 3-4 в параллель в одном цикле. А как это делается в других реализациях? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 303] Автор : EU1SW Дата : 11.06.2018 22:23 А что за мощность на входе Это трехкаскадный насквозь двухтактный усилитель, на входе 5-6 дБм. Между каскадами не измерялось, можно только предположить что на оконечник прилетает не более 5-6 ватт, поскольку перед рд100 стоит пара рд06, перед ними пара BFG591 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 304] Автор : rolin Дата : 11.06.2018 22:38 А как это делается в других реализациях? Это rx9cim рассказать может - он спец в этом деле. Еще можно распотрошить исходники PowerSDR MRX EU1SW, а схемки случайно не найдется ? Непонятно, как в обратной связи установлен делитель. Обычно просто резистор ставят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 305] Автор : EU1SW Дата : 11.06.2018 23:31 Случайно не рисовал, все как обычно, сигнал на затвор через 5,6 ома, со стока на затвор 50 Ом через емкость. не самая лучшая схема конечно, но пока так работаем Добавлено через 45 минут(ы): если вдруг аппнота не видели https://www.radio-kits.co.uk/radio-related/Linear_PA/ANVHF027B(RD100HHF1%20PP).pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 306] Автор : R6DAN Дата : 12.06.2018 08:46 Почему все считают, что в трансформаторе по первичке 1 виток на трубках? При подключении питания в среднюю точку там пол витка, разве нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 307] Автор : rolin Дата : 12.06.2018 09:56 При подключении питания в среднюю точку там пол витка, разве нет? Нет. Питание подведено к средней точке обмотки, то есть это 0.5 + 0.5, итого 1. Если подать питание через дроссель на стоки, то все равно один виток будет и ничего глобально не изменится. А почему все считают, что сдвоенный дроссель питания это симметрирующий трансформатор ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 308] Автор : RK4CI Дата : 12.06.2018 09:57 Почему все считают, что в трансформаторе по первичке 1 виток на трубках?Потому что при наличии симметрирующего трансформатора, работает именно полный виток. Если в схеме симметрирующий трансформатор отсутствует, и питание подаётся в середину первички, как в сообщении 298, то конечно, каждый из транзисторов работает на пол витка. Да в придачу, прямая трансформация импульса тока в нагрузку, нарушается. Ведь по существу, бинокль, это два столбика колец расположенных рядом. Первички, трубка в каждом из столбиков, у нас включены последовательно. Вторички так же. Импульс тока подаём только на один трансформатор. Нагрузка постоянно подключена к двум. Ведь как то всё равно работает... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 309] Автор : R6DAN Дата : 12.06.2018 12:02 питание подаётся в середину первички, как в сообщении 298, то конечно, каждый из транзисторов работает на пол витка Такое и имел в виду. Питание подведено к средней точке обмотки, то есть это 0.5 + 0.5, итого 1 Не забывайте, что там протифазы. Вообще не понятно стремление привнести в схему ПА какие то новшества. Уже все давно отрепетировано, есть масса отличных схем в промышленных трансверах. RD100 отличные транзисторы, но стремиться выжать из них больше 90 ват в ssb, не стоит. Про токи могу сказать из практики, оптимальный ток покоя на транзистор, это 500 мА, при большем растет IMD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 310] Автор : UN7RX Дата : 12.06.2018 12:11 Вообще не понятно стремление привнести в схему ПА какие то новшества. Уже все давно отрепетировано, есть масса отличных схем в промышленных трансверах. Не только трансиверы, но и выпускаемые мощные готовые УМ, либо палетты. Однако, практически невоспринимаемый на CQHAM аргумент.:smile: Все фирмачи и их опыт идут тут лесом в доводах теоретиков. Хотя практически все УМ трансиверов выпущенных за последние годы и использующие RD100 именно такой вариант и используют. В конце концов, вольному воля. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 311] Автор : UT4UBK Дата : 12.06.2018 12:34 Не только трансиверы, но и выпускаемые мощные готовые УМ, либо палетты. Однако, практически невоспринимаемый на CQHAM аргумент.:smile: Все фирмачи и их опыт идут тут лесом в доводах теоретиков. Хотя практически все УМ трансиверов выпущенных за последние годы и использующие RD100 именно такой вариант и используют. В конце концов, вольному воля. Для этого и нужны обсуждения. А принимать за истину в первой инстанции слова одного человека, пусть и опытного - не совсем правильно. Постоянно сталкиваюсь с этим в работе. С одной стороны - дремучее "радиолюбительство", попытки сделать из гов** конфетки, с другой - диктат опытных разработчиков. Оффтоп -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 312] Автор : RK4CI Дата : 12.06.2018 12:49 Все фирмачи и их опыт идут тут лесомАбсолютно верно. При промышленном производстве, минус одно моточное изделие, это снижение цены и повышение технологичности. Вы сами, готовы описать путь импульса тока выходного транзистора, от самого транзистора, в нагрузку, при схеме, когда питание подано в середину первичной обмотки? В начале лепят схемы, непонятно как, но всё же работающие, а потом, ищут откуда идет возбуд, что за форма импульса на стоках, почему КПД такой низкий. Так делай как положено, применяй комплектующие соответствующие токам и мощностям, и большинство вопросов отпадёт. А почему все считают, что сдвоенный дроссель питания это симметрирующий трансформатор А потому что это и есть самый обычный трансформатор. В нашей схеме его задача странсформировать выходное сопротивление транзистора, около 0,75 ома, к сопротивлению первичной обмотки выходного трансформатора, 3 ома. Сам импульс тока, это выше 16 А, при напряжении на стоке 12,5 В, и при сопротивлении нагрузки около 0,75 ома. После трансформации от 0,5 витка к 4, в 8 раз по напряжению, имеем 100 В при 2 А, на нагрузке 50 ом. 200 ватт, амплитудное значение мощности, что соответствует 100 ваттам действующей для телеграфа, или те же 100 ватт РЕР в SSB. При отсутствии возможности прямой трансформации импульса, он всё равно как то доберётся до нагрузки. Но не совсем понятными путями, с лишними потерями, и выбросами напряжения на запертом транзисторе. При этом, и вероятность каких то побочных явлений, тех же возбудов, резко возрастает. Но зато в плюсах, сэкономленный элемент. Для кого то, этот плюс перевешивает все минусы, присущие данной схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 313] Автор : LZ1AO Дата : 12.06.2018 17:06 Sidoroffff, Я позволю себе сделать две практические заметки о вашей схеме и реализации на ПП: - В двутактных услилителях нужно стремится к минималной возможной индуктивности source to source (емитер к емитер) . Зачем ето так, описал хорошо Гранберг. В вашей ПП - окно под монтаж симметрирующего трансформатора, которое сильно нарушает целость земли в зоне с большими токами. Я бы убрал ето окно. - Серийние диоды в цепи затворов - они работают как цепь автосмещения, кажется я уже писал здесь об етом. Результат использования такого трюка весьма неясный. Я специально расследовал подобную схему, наверное два года тому назад. Как сказать, помагает при измерениях - возможно добится несколько лучших IMD при максимальной мощности и не более. Результат при етом сильно зависить от разноса испитательных тонов и степени фильтрации. При измерении с 3-мя тонами ( пикфактор такого сигнала намного ближе к пикфактору голоса) да и при измерении мощности в соседных каналах ( ACP ) при модуляции шумом результат получился наоборот. 73, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 314] Автор : UT4UBK Дата : 12.06.2018 18:19 Sidoroffff, Я позволю себе сделать две практические заметки о вашей схеме и реализации на ПП: - В двутактных услилителях нужно стремится к минималной возможной индуктивности source to source (емитер к емитер) . Зачем ето так, описал хорошо Гранберг. В вашей ПП - окно под монтаж симметрирующего трансформатора, которое сильно нарушает целость земли в зоне с большими токами. Я бы убрал ето окно. - Серийние диоды в цепи затворов - они работают как цепь автосмещения, кажется я уже писал здесь об етом. Результат использования такого трюка весьма неясный. Я специально расследовал подобную схему, наверное два года тому назад. Как сказать, помагает при измерениях - возможно добится несколько лучших IMD при максимальной мощности и не более. Результат при етом сильно зависить от разноса испитательных тонов и степени фильтрации. При измерении с 3-мя тонами ( пикфактор такого сигнала намного ближе к пикфактору голоса) да и при измерении мощности в соседных каналах ( ACP ) при модуляции шумом результат получился наоборот. 73, Сергей, большое спасибо за дельные замечания. Я ограничен высотой компонентов на стороне их монтажа (15мм max). Поэтому пришлось перенести симметрирующий трансформатор на другую сторону. В финальном релизе - попробую его заменить биноклем, чтобы убрать окно в плате. По диодам - я очень хорошо помню ваше замечание, оставил на всякий случай. По трем тонам - не подскажите, какой разнос частот самый оптимальный? Я тогда введу трехтональный испытательный сигнал в прошивку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 315] Автор : rolin Дата : 12.06.2018 20:05 Не забывайте, что там протифазы. Именно то, что там противофазы и позволяет зымыкать среднюю точку на землю как точку с нулевым потенциалом. Посмотрите на картинки вариантов входного трансформатора, вот выходной работает точно так же. Связь между полувитками выходного трансформатора образуется по всей видимости за счет вторичной обмотки. 290844 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 316] Автор : R6DAN Дата : 12.06.2018 21:11 rolin, Связь между полувитками выходного трансформатора образуется по всей видимости за счет вторичной обмотки. Возможно. Но на мой взгляд, это не лучшее схемное решение. Применение двух дросселей по питанию позволит получить более прогнозируемый кпд трансформатора. На картинках стоит еще подписать коэффициент трансформации, и он будет разный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 317] Автор : RK4CI Дата : 12.06.2018 21:13 Нет. Питание подведено к средней точке обмотки, то есть это 0.5 + 0.5, итого 1. Если подать питание через дроссель на стоки, то все равно один виток будет и ничего глобально не изменится.А попробовать не хотите? Только подать питание не через дросселя, а через симметрирующий трансформатор. И обеспечиваем честную трансформацию импульса от каждого из транзисторов к нагрузке. При этом, вы уберёте и токи подмагничивания со своего бинокля. Вряд ли токи до 6-8 А, именно столько "кушает" каждый из транзисторов по постоянке, идут на пользу выходному трансфрматору. На симметрирующем трансформаторе эти токи потекут в разные стороны, компенсируя друг друга. Конечно, мотая этот трансформатор, надо помнить о величине импульса тока. Ну и заземлить по ВЧ средний вывод, прямо у истоков транзисторов. 5-6 СМД конденсаториков по 0,1 мкФ. Просто что бы посмотреть, изменится ли что нибудь "глобально". Ну и напряжение раскачки стоит повышать постепенно, контролируя, что у вас происходит на стоках транзисторов. И да, начальный ток по 1,5 А на транзистор, это явный перебор. Для начала, вполне хватит по 0,3 А на транзистор. Потом можно подкорректировать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 318] Автор : rolin Дата : 12.06.2018 21:39 На картинках стоит еще подписать коэффициент трансформации, и он будет разный. Не согласен. С чего бы это ? Могу проверить на VNA, если что. Но смысл ? Количество намотанной проволоки в обоих случаях одинаково. А попробовать не хотите? Только подать питание не через дросселя, а через симметрирующий трансформатор. И обеспечиваем честную трансформацию импульса от каждого из транзисторов к нагрузке. При этом, вы уберёте и токи подмагничивания со своего бинокля. Вряд ли токи до 6-8 А, именно столько "кушает" каждый из транзисторов по постоянке, идут на пользу выходному трансфрматору. Пробовал, не особо помогает. Правда, некоторое симметрирование стоковых осциллограмм наблюдается по сравнению с обычным включением. Сейчас занимаюсь разводкой точной копии усилителя FT-891, надеюсь что это уже верняк. И да, начальный ток по 1,5 А на транзистор, это явный перебор. Для начала, вполне хватит по 0,3 А на транзистор. Потом можно подкорректировать... Такой ток в IC-7300 устанавливается, в других трансиверах от 0.7 до 1 А на транзистор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 319] Автор : uu0jr Дата : 12.06.2018 23:01 Недавно закончил свой вариант ПА на RD100HHF1. Перечитал весь интернет прежде чем делать, особо ничего и не изобретал в итоге. Все, как говорится, "украдено до нас", и давно. Фактически повторил MUNIN2, с минимальными изменениями, с добавлением "спереди" OPA2674. В аттаче схема и фотка. ПА получился очень широкополосным и стабильным, неравномерность АЧХ в диапазоне 1.5-50 МГц около 3dB. На всех диапазонах просмотрел осциллом форму и величину напряжения на стоках при выходной мощности 100ватт (или даже немного больше) CW, везде видел практически чистый или слабо искаженный синус. IMD3 смотрел на SDR подавая двух тоновый сигнал с Elecraft KX3. 30-32 dBc увидел, в зависимости от диапазона, напоминаю что у меня ПА 3 каскадный - OPA2674->2xRD15VHF1->2xRD100HHF1. IMD3 самого выходного каскада думаю значительно лучше, в аттаче есть весьма интересный пдф от Mitsubishi на эту тему, в пдф этом есть схема с которой снимали данные. Согласно этому пдф можно ожидать до -35dBc IMD3 для пары RD100, близкий к этой цифре результат получил AD5X промеряя KXPA100, пдф от него тоже в аттаче. Также прицепил фотку платы ПА от усилителя Elecraft KXPA100. Можно заметить что ВЕЗДЕ применяется обратная связь со стока на затвор. 2Давид - а может прицепите тоже эту обратную связь? И расскажете результат, всем будет очень интересно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 320] Автор : rolin Дата : 12.06.2018 23:08 2Давид - а может прицепите тоже эту обратную связь? И расскажете результат, всем будет очень интересно Цеплял небольшую обратную связь и через резисторы 470 Ом и через транс симметрирующий 1 виток и 10 Ом резисторы, в общем начиная с определенной мощности видны зазубрины на осциллограммах, то есть появляется возбуд. Спасибо за инфу, буду изучать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 321] Автор : R6DAN Дата : 13.06.2018 09:39 uu0jr, Если не трудно сделайте снимки осциллограмм на стоках на 14 мГц. Замер IMD проводите после ФНЧ? Это важно, так как при подключении ФНЧ начинается самое интересное, трансформация сопротивлений в широкой полосе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 322] Автор : LZ1AO Дата : 13.06.2018 20:23 Sidoroffff, Обычно пользую: - 1200 и 1220Hz, - 700, 750, 1900; - 700 и 1900. - шум, с измерением ACP. Первий набор, етот с маленким разносом, позволяеть выявить как стоят дела с питанием, работы ALC, паразитной АМ в цепях преднапряжения, наличия паразитной ФМ и т.п. Не думаю что нужно специально делать все ето в трансивере. При разработке очень помагает, но вряд ли крайне необходимо потребителю. Лучше подумайто о Pure Signal. Я делал подобное в АМ передатчике, адаптивная фильтрации в частотной области. Заняло значительные ресурсы.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 323] Автор : rx9cim Дата : 16.06.2018 09:54 Немного прокомментирую pure signal. В поверсдр механизм оч емкий по памяти. У меня в проце ее столько нет, а внешняя память в свое время норм не заработала. У меня сделана своя реализация, из поверсдр заимствовал только идею с сортировкой, вроде элементарная вещь, но как то до нее не сразу додумался. Вообще можно выделить три типа механизмов линеаризации РА, которые сводятся к вычислению обратных функций амплитудно-амплитудной характеристики и амплиткдно-фазовой характеристики: - адаптивный, когда параметры для компенсации набираются налету, прямо в процессе передачи. Делается это через фильтр Виннера, умножение сигнала на матрицу и пересчет матриц. Вот пересчет достаточно тяжеловесная операция. - итеративный - подбираются коэффициенты для полиномов обратных функций. В Zeus SDR есть ручной подбор для ААХ, но там судя по всему порядок полинома небольшой (вроде 3), а это маловато и приводит к неточности которая проявляется в том, что давится имд3, но вылазит имд более высоких порядков, это прекрасно видно на демонстрационных видео на ютубе. АФХ вообще сложно описать полиномами по МНК. Для ААХ можно порядок полинома выбрать большой, но руками коэффициенты подбирать надо достаточно долго, а если делать это автоматически то тут будут заморочки с выбором шага подстройки, сходимостью, спектральным анализом для выборки сэмплов с новвми параметрами компенсации, возможно это будет работать даже дольше чем вркчную коэффициенты подбирать. - статический - делается эталонный замер из которого вычисляются параметры для линеаризации. Неплохой способ по соотношению затры/результат. Именно так сделано в повер сдр, именно так сделано и у меня. В поверсдр применяется интерполяция сплайнами, у меня - полиномами Чебышева по МНК. Порядок полиномов 7 или 8 (надо код глянуть, уже забыл), более высокий порядок получить не удалось из за нехватки формата double (64 бита) для вычислений, заморачиваться не стал. Есть еще некоторые подводные камни, что 1м и 3м способе сигналы передатчика и приемника должны быть синхронны для корректности замеров. Это можно сделать или в плис через доп коммутацию (у меня так сделано) или через поиск корреляции между сигналами и вычисления задержки (такое тоже делал, работает норм). И главное правило которое надо помнить - если РА очень плох и рано входит в ограничение, то тут линеаризация особо не поможет. Добавлено через 8 минут(ы): LZ1AO - я долго искал материалы по адаптивной реализации, но не нашел ничего кроме "научной галиматьи" в которой ничего нет про практическую реализацию. Может у Вас есть полезная инфорсация для практического применения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 324] Автор : UT4UBK Дата : 16.06.2018 13:17 Приехали платы PA. Радиаторы еще где-то на таможне болтаются. 291070291071 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 325] Автор : rolin Дата : 16.06.2018 19:39 Приехали платы PA. Мне кажется, что для RD15 нужно было делать площадки для выводов на лицевой стороне а не отверстия, так как их посадочная глубина такая же , как и у RD100. Теперь будет тяжело продеть выводы в отверстия или даже невозможно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 326] Автор : UT4UBK Дата : 16.06.2018 19:55 Мне кажется, что для RD15 нужно было делать площадки для выводов на лицевой стороне а не отверстия, так как их посадочная глубина такая же , как и у RD100. Теперь будет тяжело продеть выводы в отверстия или даже невозможно. "Мопед не мой". Честно взял идею у мюнина, сделал модель в SW, вроде бы ничего подозрительного нет. Посмотрим. Меня волнует вопрос помех от вентилятора в режиме приема. Заложена возможность работы с 4 пиновыми вентиляторами и управление их скоростью через ШИМ. Возможно, придется ШИМ интегрировать. По идее, бесщеточные вентиляторы меньше будут давать помех -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 327] Автор : UT4UBK Дата : 17.06.2018 10:49 rolin, на картинке видно, что я добавил медные пластины под транзисторы. Брал здесь https://ru.aliexpress.com/item/New-10pcs-Thermal-Pad-Barrier-Pure-Copper-Heatsink-Shim-for-Laptop-GPU-VAG-PAD-20mmx20mm-0/32805590654.html?spm=a2g0s.9042311.0.0.696a33edVF1SHG 2мм Хотя , надо бы подыскать большего размера 291126 291125 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 328] Автор : UT4UBK Дата : 17.06.2018 12:15 В первом варианте нарисована схем выходного ФНЧ и антенного тюнера. Размеры платы 150х110 мм Открытыми остаются вопросы: 1. Наилучшая конструкция tandem match и его подключение к max2016 (я выбрал на бинокле 43-202) 2. Защита антенных входов 3. Возможно - придется добавить i2c repeater тк на шине сидит достаточно много устройств 4. Добавить i2c DAC для ALC внешнего усилителя 5. Защитные цепи для внешнего ключа и разъем для его подключения к основной плате. Обработку ключа будет выполнять второй, свободный PRUSS контроллер в SoC 6. Метод подключения входа приемника для pure signal Буду рад всем комментариям и пожеланиям -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 329] Автор : rolin Дата : 17.06.2018 19:16 rolin, на картинке видно, что я добавил медные пластины под транзисторы. Брал здесь Размер RD100 в длину - 1 дюйм, то есть 25 мм, а пластины вы взяли 20 мм. Как вы их будете подкладывать не представляю. Подкладывать нужно что-то вроде 100х40х5 (https://ru.aliexpress.com/item/Copper-Block-plate-Knife-handle-Guard-heat-sink-material-copper-Rod-1-piece/32862647650.html?spm=a2g0v.search0104.3.40.2d534ef8nCnjQN&ws_ab_test=searchweb0_0,searchweb201602_3_5722916_10152_10065_10151_10344_10068_5723115_10130_5722816_10324_10342_10547_10325_10343_10546_10340_10341_10548_5711416_10545_10696_5722616_10084_10083_10618_10307_5722716_10059_100031_10103_10624_5722516_10623_10622_10621_5711516_10620_10125,searchweb201603_35,ppcSwitch_3&algo_expid=d75ce58d-eed5-4b88-b3a9-046459bccff6-6&algo_pvid=d75ce58d-eed5-4b88-b3a9-046459bccff6&priceBeautifyAB=0)но и цена у пластинки будет уже не 2 доллара а 20. 1. Наилучшая конструкция tandem match и его подключение к max2016 (я выбрал на бинокле 43-202) А зачем ? У меня тандем 1 к 10 на 43-1502 с обычными диодами, с делителем 68к и 34к (делит на 3 ) и на процессор. Программная компенсация характеристики диодов позволяет вполне точно мерять мощность от 0.1 Ватт с точностью 0.1 но для 100 ватт усилителя и это не нужно. От 1 Ватт нормально меряет без всякой компенсации. Только диапазон шкалы АЦП в процессоре переключается от 1024мВ для точности. Добавлено через 5 минут(ы): 6. Метод подключения входа приемника для pure signal Направленный ответвитель https://sites.google.com/site/vkonehw/home/homebrew/taps/rf-sampler Но скорее всего, для такой мощности (100 Ватт) можно ограничиться резистивным делителем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 330] Автор : R6BK Дата : 17.06.2018 20:00 В первом варианте нарисована схем выходного ФНЧ Не слабоваты Т50 для 100 Ватт ? Посмотрел в симуляторе ФНЧ, что то страдают они по SWR при разбросе номиналов в 5% в лучшем случае от -15 до -18 Дб. Вы их считали? Может мой симулятор врет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 331] Автор : VFO Дата : 17.06.2018 20:05 Sidoroffff, Х5 и Х6 это разъёмы антенн? Тогда КСВ-метр должен быть включен до тюнера, иначе как система поймёт, что тюнер достроил тракт до 50 Ом. Это же система с обратной связью. P.S. Привет с "исторической родины" из Альтрона. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 332] Автор : UT4UBK Дата : 17.06.2018 21:52 Размер RD100 в длину - 1 дюйм, то есть 25 мм, а пластины вы взяли 20 мм. Как вы их будете подкладывать не представляю. Подкладывать нужно что-то вроде 100х40х5 (https://ru.aliexpress.com/item/Copper-Block-plate-Knife-handle-Guard-heat-sink-material-copper-Rod-1-piece/32862647650.html?spm=a2g0v.search0104.3.40.2d534ef8nCnjQN&ws_ab_test=searchweb0_0,searchweb201602_3_5722916_10152_10065_10151_10344_10068_5723115_10130_5722816_10324_10342_10547_10325_10343_10546_10340_10341_10548_5711416_10545_10696_5722616_10084_10083_10618_10307_5722716_10059_100031_10103_10624_5722516_10623_10622_10621_5711516_10620_10125,searchweb201603_35,ppcSwitch_3&algo_expid=d75ce58d-eed5-4b88-b3a9-046459bccff6-6&algo_pvid=d75ce58d-eed5-4b88-b3a9-046459bccff6&priceBeautifyAB=0)но и цена у пластинки будет уже не 2 доллара а 20. А зачем ? У меня тандем 1 к 10 на 43-1502 с обычными диодами, с делителем 68к и 34к (делит на 3 ) и на процессор. Программная компенсация характеристики диодов позволяет вполне точно мерять мощность от 0.1 Ватт с точностью 0.1 но для 100 ватт усилителя и это не нужно. От 1 Ватт нормально меряет без всякой компенсации. Только диапазон шкалы АЦП в процессоре переключается от 1024мВ для точности. Добавлено через 5 минут(ы): Направленный ответвитель https://sites.google.com/site/vkonehw/home/homebrew/taps/rf-sampler Но скорее всего, для такой мощности (100 Ватт) можно ограничиться резистивным делителем. Я сделал одну шину i2c для управления всеми блоками трансивера и упрощения укладки кабелей. MAX2016 измеряет КСВ, в АЦП есть встроенный компаратор триггер, поэтому его можно запрограммировать на превышение определенного значения и снимать смещение с транзисторов PA. Те вся система получается программно конфигурируемой и не требует вмешательства ЦП. По медным прокладкам - перечитал нешуточные дебаты на форуме об их применении. Если будет не хватать их площади - найду большие пластины.Медь - достаточно проблемный металл в мехобработке, и многие не хотят за это браться. Поэтому - взял готовые небольшого размера, в которых нужно просверлить отверстия Не слабоваты Т50 для 100 Ватт ? Посмотрел в симуляторе ФНЧ, что то страдают они по SWR при разбросе номиналов в 5% в лучшем случае от -15 до -18 Дб. Вы их считали? Может мой симулятор врет. Нет не считал, взял готовые. За подсказку - большое спасибо, пока будут изготавливаться платы - пересчитаю. По Т50 - во многих ФНЧ на эту мощность ставят такие кольца, T68 - слишком мало места на плате. Если есть какие-то сомнения по этим кольцам - с удовольствием выслушаю. Добавлено через 5 минут(ы): Sidoroffff, Х5 и Х6 это разъёмы антенн? Тогда КСВ-метр должен быть включен до тюнера, иначе как система поймёт, что тюнер достроил тракт до 50 Ом. Это же система с обратной связью. P.S. Привет с "исторической родины" из Альтрона. Абсолютно верно, коллега. Уже переставил. Судя по всему, недостатчно хорошо "зашифровался". :-P Всем коллегам - привет. Поеду получать позывной в Харьков - можно будет встретиться и померять железяку перед регистрацией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 333] Автор : rz3qs Дата : 17.06.2018 22:05 Медь - достаточно проблемный металл в мехобработке, и многие не хотят за это браться. Поэтому - взял готовые небольшого размера, в которых нужно просверлить отверстия Просто как пример, без отверстий, толщина пластины 2 мм и 300 Вт выхода на 144 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 334] Автор : UT4UBK Дата : 17.06.2018 22:13 rolin, по направленному ответвителю для pure signal. Как вы считаете- может имеет смысл не вводить его в схему трансивера, а установить снаружи и один раз ввести предискажения, отдельно для каждого диапазона. Или все равно, в процессе эксплуатации придется периодически калибровать? Хочется упростить конструкцию. Добавлено через 5 минут(ы): Просто как пример, без отверстий, толщина пластины 2 мм и 300 Вт выхода на 144 МГц. Согласен, надо будет поискать 2 мм пластину большего размера. На базах хотят отпускать только тоннами, поэтому - приходится ориентироваться на китайских товарищей. Кстати, нашел вот такие резиновые уплотнители для отверстий и вырезов в шасси. Это чтобы уже совсем - по "бахатому" выглядело https://ru.aliexpress.com/item/Flexsteel-125-Piece-Black-Rubber-Grommet-Assortment-Set-Plug-Wire-Ring-Assortment-Kit-Electrical-Gasket-Tools/32746841379.html?spm=a2g0s.9042311.0.0.266133ed2Lyf0Z -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 335] Автор : EU1SW Дата : 17.06.2018 22:14 Можно тогда не заморачиваться вообще, иначе если прилепить "шоб было", с фиксированными предыскажениями можно создать проблем еще больших ) чем при их отсутствии -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 336] Автор : UT4UBK Дата : 17.06.2018 22:21 Можно тогда не заморачиваться вообще, иначе если прилепить "шоб было", с фиксированными предыскажениями можно создать проблем еще больших ) чем при их отсутствии Понятно. Но в VisiAir тоже фиксированные. Или лучше динамические и на лету пересчитывать? А если снаружи еще на киловатт УМ , нам придется ставить ответвитель на его выходе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 337] Автор : EU1SW Дата : 17.06.2018 22:42 А мне не известны результаты работы этой приблуды в ВисиЭйр, равно как и в ZS-1. В PowerSDR работает вполне предсказуемо, естественно выносной ответвитель в этом случае нужен для внешнего ПА. Поделился своим мнением, разработчик Вы, вам решать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 338] Автор : UT4UBK Дата : 17.06.2018 22:57 А мне не известны результаты работы этой приблуды в ВисиЭйр, равно как и в ZS-1. В PowerSDR работает вполне предсказуемо, естественно выносной ответвитель в этом случае нужен для внешнего ПА. Поделился своим мнением, разработчик Вы, вам решать. http://flarc.net/eme-info/PDF/N1JEZ-2.pdf Вот в этом документе: The design of your amplifier has an effect on the amount of correction that can beobtained and maintained. Minimize the "memory" effects.o Minimize voltage drop/supply sag. Higher voltage/lower current helps.o Heat sinking!o Temperature compensating bias - in use on the LDMOS amp tested. Температурная компенсация токов смещения делается несложно в программе. Для адаптивного pure signal и внешнего усилителя надо добавить отдельный разъем приемника на задней панели и сделать отдельную коммутацию на внутренний ответвитель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 339] Автор : Genadi Zawidowski Дата : 17.06.2018 23:12 На мой взгляд, программная настройка режимов оконечника с хранением параметров в NVRAM менее удобна если предполагается в процессе эксплуатации обновлять софт (и как следствие при изменении layout сброс содержимого NVRAM). Термокомпенсация она вообще для схемы а не для экземпляров транзисторов подбирается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 340] Автор : EU1SW Дата : 17.06.2018 23:16 Пока суть да дело, посмотрел видосик про ВисАйр и предыскажения, Там явно не зря кнопка калибровки присутствует, что б перекалибровать в процессе эксплуатации. Еще автор видео говорит о роли выходных ФНЧ, температуры, частоты и диапазона. Заодно можно посмотреть амплитудные характеристики. Но дело в том, что я, к примеру, в этой мощностной категории, 100 ватт, и без предыскажений получаю результаты сильно лучше чем -24 дб, от которых они стартуют... Но у меня ж не серийный аппарат, поэтому может и актуально в серии, но явно не в виде "установить при настройке" ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 341] Автор : UT4UBK Дата : 17.06.2018 23:20 На мой взгляд, программная настройка компенсаций с хранением параметров в NVRAM менее удобна если предполагается в процессе эксплуатации обновлять софт (и как следствие при изменении layout сброс собержимого NVRAM). Геннадий, здесь все настройки хранятся в файлах на флеш диске с отказустойчивой файловой системой, поэтому в сбросе нет необходимости.http://www.qnx.com/developers/docs/7.0.0/#com.qnx.doc.neutrino.sys_arch/topic/fsys_ETFS.html Устройство может само проверять обновления по сети и обновляться по FTP. Для работы с внешним усилителем все равно нужен внешний ответвитель, зачем тогда его дублировать внутри? Это больше вопрос архитектуры всей системы. "Дури" в DSP достаточно, поэтому можно реализовать и адаптивный pure signal. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 342] Автор : rx9cim Дата : 17.06.2018 23:23 https://www.youtube.com/watch?v=mWniqKIv9Rc это то, что я писал про Зевса 1. с фиксированными предыскажениями можно создать проблем еще больших ) Это аксиома жизни - развитием и решение проблем порождает новые проблемы :) А если по сути говорить, то можно конкретнее - какие проблемы можно создать? По своему опыту скажу, что в стационарных условиях питание примерно одно и то же. По температуре влияния сильного не заметил. оба фактора (тепло и нестабильность питания) безусловно влияют на имд. По опыту очень не сильно - если в цифрах говорить то это около 5дБ. Если результат предискажений 10 и более дБ, то как минимум 5дБ точно будет выиграно. От старения на своем трансивере уходов не заметил. И было бы очень интересно посмотреть на материалы по реализации адаптивных предискажений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 343] Автор : EU1SW Дата : 17.06.2018 23:28 Ну собственно я об этом и говорю, от каких цифр плясать... Если от -15 - то да, что ни сделай, все лучше будет) А если от -30, то вероятный результат так и будет болтаться вокруг этой цифры в зависимости от фазы Луны, и степени открытия форточки... ) Оставим право решать разработчику. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 344] Автор : UT4UBK Дата : 17.06.2018 23:32 Термокомпенсация она вообще для схемы а не для экземпляров транзисторов подбирается. В УМ есть измерение токов выходного каскада. Пишется еще одна служба, которая периодически подстраивает смещение транзисторов в фоновом режиме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 345] Автор : rx9cim Дата : 17.06.2018 23:46 А если от -30, то вероятный результат так и будет болтаться вокруг этой цифры в зависимости от фазы Луны, и степени открытия форточки... ) Оставим право решать разработчику. Ну не так это. Колебания есть, но от времени почти не зависит, +-пара дБ. Тут ведь не в форточках дело, а в математике и точности вычислений. Есть еще нюанс по применению предискажений - побольшому счету они нужны когда трансивер качает мощный РА с кВт на выходе. В остальных случаях, при работе на 100Вт результат предискажений не заметит никто, кроме хозяина трансивера. О прелестях адаптивной компенсации можно говорить сколько угодно, об этом много мукулатуры написано. Но единственные практические результаты я увидел в посте LZ1AO и в некоторых решениях для связи (сотовые, wifi и т.п.), конкретику по реализации (мне не надо готовое решение, хотя бы общая последовательность действий и нюансы) не нашел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 346] Автор : UT4UBK Дата : 17.06.2018 23:50 Ну не так это. Колебания есть, но от времени почти не зависит, +-пара дБ. Тут ведь не в форточках дело, а в математике и точности вычислений. Есть еще нюанс по применению предискажений - побольшому счету они нужны когда трансивер качает мощный РА с кВт на выходе. В остальных случаях, при работе на 100Вт результат предискажений не заметит никто, кроме хозяина трансивера. О прелестях адаптивной компенсации можно говорить сколько угодно, об этом много мукулатуры написано. Но единственные практические результаты я увидел в посте LZ1AO и в некоторых решениях для связи (сотовые, wifi и т.п.), конкретику по реализации (мне не надо готовое решение, хотя бы общая последовательность действий и нюансы) не нашел. Хорошо, как такая идея - вывести два SMA разъема на заднюю панель. Вход приемника (подключаемый) и выход ответвителя. Если нет внешнего усилителя - ставим перемычку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 347] Автор : rolin Дата : 17.06.2018 23:58 rolin, по направленному ответвителю для pure signal. Как вы считаете- может имеет смысл не вводить его в схему трансивера, а установить снаружи и один раз ввести предискажения, отдельно для каждого диапазона. Или все равно, в процессе эксплуатации придется периодически калибровать? Хочется упростить конструкцию. Для 100 Ватт усилителя, если он нормально сделан, предискажения не нужны вовсе. Это мое ИМХО только. То есть можно сделать отдельный SMA разьем с коммутацией для подключения ответвителя в будущем при использовании трансивера с мощным усилителем. Предискажения делать только автоматически настраиваемые или не делать вовсе, ибо баловство это. Согласно практическому опыту с программой Zeus-Radio - малейшее движение ползунка уровня мощности приводят к полной раскалибровке. То есть для каждого диапазона нужно калиброваться и работать без права менять уровень мощности. Неудивительно, что эта фишка ZS-1 как говориться "не пошла". Вот в Power SDR другое дело, нажал одну кнопочку и если уровень обратки попадает в допустимый диапазон, то все работает без всяких калибровок. В УМ есть измерение токов выходного каскада. Пишется еще одна служба, которая периодически подстраивает смещение транзисторов в фоновом режиме И когда она будет это делать ? Нужно чтобы передача была включена, но ВЧ сигнал на передатчик еще не подан. Можно это делать во время переднего защитного интервала или задерживать передачу на долю секунды в конце и мерять ток. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 348] Автор : rz3qs Дата : 18.06.2018 00:11 Вот в Power SDR другое дело, нажал одну кнопочку и если уровень обратки попадает в допустимый диапазон, то все работает без всяких калибровок. Этот диапазон уровня +-1 дБ, т.е. в обратной связи шаг АТТ 1 дБ и все работает замечательно, что при 100 Вт, этот уровень четко фиксируют соседи, а как правило с ними и все проблемы "хвостов" на диапазоне и при 1 кВт, а тут соседи говорят два спасибо и не понимают как так может быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 349] Автор : EU1SW Дата : 18.06.2018 11:31 Для 100 Ватт усилителя, если он нормально сделан, предискажения не нужны вовсе. Это мое ИМХО только. Согласен, сам пришел к такому же выводу. Очевидно, задача наиболее актуальна в применении к LDMOS киловатникам, что бы не греть большим током покоя. при этих напряжениях и токах, если выводить ток покоя сразу на линейный участок - там само по себе тепла будет столько, что уже будет проблемой а предыскажения прекрасно устраняют "ступеньку". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 350] Автор : UT4UBK Дата : 28.06.2018 13:29 Пришли платы PA. Написано отдельное приложение - resource manager для его управления. При загрузке системы в "/dev" появляется новое устройство "/dev/pa" с которым может работать любое приложение, в том числе и из командной строки. Такой подход сделан для менеджера аудио записей "/dev/vrec", который осуществляет фоновую запись аудио с DSP в фоне. Также будет сделано для тюнера "/dev/tun". Например, выполнив команду "cat /dev/pa" можно в читаемом виде получить в консоли (или удаленно, подключившись по Telnet) состояние PA. Пример - по картинке. Планирую сделать технологическое меню для установки токов покоя транзисторов PA. Хотя есть вариант написать консольное приложение и в консоли уже автоматически настраивать токи, пороги защит, профиль охлаждения вентилятором. По термокомпенсации bias. Самый простой вариант - сделать режим клибровки, те измерять температуру радиатора и смещение, запоминать поправки и в фоне - компенсировать смещение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 351] Автор : EU1SW Дата : 28.06.2018 13:41 для этого "простого" варианта надо еще и внешнюю температуру измерять, потому как если ориентироваться только на температуру радиатора, то при определенных условиях загонит автоматика ток в 0, а радиатор так и останется горячим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 352] Автор : UT4UBK Дата : 28.06.2018 14:25 для этого "простого" варианта надо еще и внешнюю температуру измерять, потому как если ориентироваться только на температуру радиатора, то при определенных условиях загонит автоматика ток в 0, а радиатор так и останется горячим. Поясните на пальцах. Вы считаете что температура радиатора будет отличаться на десятки градусов от температуры транзисторов? Добавлено через 38 минут(ы): Начал писать документацию на софт. На картинке - текущая структура, планируемые задачи. Проект уже прилично вырос, появился git server + issues tracker Было бы интересно выслушать предложения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 353] Автор : EU1SW Дата : 28.06.2018 16:20 Я почему то подумал что речь идет о замкнутой системе управления, но перечитал еще раз пост, и, видимо, Вами имелась в виду просто табличная коррекция. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 354] Автор : UT4UBK Дата : 05.07.2018 23:37 Собрал УМ. Жду обработанный радиатор на следующей неделе и планирую полностью проверить его работу. Уже написаны все драйвера и утилиты. По ссылке выложено видео работы утилиты - просмотр текущего состояния УМ, его настроек, автоматическая настройка токов покоя драйвера (подключение по сети telnet). https://youtu.be/hGhJGnXxfeM Немного об архитектуре драйвера. В отдельной нитке запущен опрос состояния усилителя (токи, защиты, температура). Обновление происходит 10 раз в секунду (опрос по I2C). Любое приложение может обращаться к /dev/pa через сиcтемные вызовы ioctl и получать текущее состояние (токи драйвера и выходного каскада, температуру - внутреннюю и радиатора, напряжение, скорость вращения вентилятора, состояние защит, уставки защиты итд). Будут выложены полные исходники. Переделать их под linux или bare metal не составляет большого труда. Решил сделать именно консольное приложение для настройки по следующим причинам: 1. Не имеет смысла к нему прикручивать GUI, тк эта процедура достаточно редкая. 2. Можно удаленно по сети (или через консоль) мониторить и настраивать усилитель. 3. Определенная защита от "дурака", если человек не знает пароль, то доступа не получит. Также добавлено видео процесса загрузки https://youtu.be/l8fiAj1ATyY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 355] Автор : rolin Дата : 06.07.2018 20:13 Начал писать документацию на софт. На картинке - текущая структура, планируемые задачи. Проект уже прилично вырос, появился git server + issues tracker Было бы интересно выслушать предложения. Это только мое мнение, но не нужно этого делать, так как вероятность того, что кто-то захочет дорабатывать софт стремится к абсолютному нулю. Для начала нужно добиться, чтобы количество смельчаков, решивших повторить эту конструкцию перевалило за этот абсолютный ноль в область положительных значений. Для этого нужно писать мануалы с красочными картинками и простыми пояснениями, типа как для детей дошкольного возраста. Чтобы каждый шаг по созданию трансивера был понятен . Кто со мной согласен ставьте лайк. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 356] Автор : UT0UM Дата : 06.07.2018 22:19 мануалы хотя бы как здесь (http://sp3osj.kooikerhondje.com.pl/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 357] Автор : UT4UBK Дата : 19.07.2018 11:30 Вопрос по усилителю мощности. Схема с исправленными ошибками во вложении. Защиты , регулировки смещения, коммутация - работает как надо. Теперь вопрос в дполучении максимальной выходной мощности, получаю порядка 50W и кпд очень низкий. Ток транзисторов выходного каскада при такой выходной мощности - 12А, драйверов - 2A ,выходной трансформатор не греется. Самовозбуждения не наблюдается, завалов по частоте не видно. На выходах трансформатора L18 разбаланс амплитуд ВЧ напряжения примерно в 2 раза Поменял T9.T8 - картина не меняется. Может подгоревший транзистор в одном из плеч драйвера или выходного каскада? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 358] Автор : R6DAN Дата : 19.07.2018 11:42 Sidoroffff, Домотайте виток на выходной трансформатор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 359] Автор : UT4UBK Дата : 19.07.2018 11:50 Sidoroffff, Домотайте виток на выходной трансформатор. Трансформатор сделан так: оплетка от кабеля RG400, в ней четыре отрезка МГТФ-1.0, начала спаяны с концом. Проверял на каждом витке коэффициент трансформации, порядок не перепутан 1:4. Хорошо, попробую с коэффициентом трансформации 1:5 и более тонким MГТФ. Нужно ли изолировать оплетку от феррита? Но вопрос по разбалансу ВЧ напряжения на затворах T3 и T4 остался открытым. Может заземлить центральную точку выходной обмотки трансформатора L18? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 360] Автор : R6DAN Дата : 19.07.2018 11:58 Нужно ли изолировать оплетку от феррита? Не нужно. ВЧ напряжения на затворах T3 и T4 остался открытым На L2 оторвать от земли центральный вывод. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 361] Автор : UT4UBK Дата : 19.07.2018 12:33 Не нужно. На L2 оторвать от земли центральный вывод. Хорошо, попробую вечером. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 362] Автор : LZ1AO Дата : 19.07.2018 14:55 Sidoroffff, D5 и D6 - закоротите их ( или вставьте дополнительную блокировку конденсаторами к земли с точек их соединения с R13, R16 если считаете, что они помагают для температурной стабилизации токов покоя) , уберите пока ОС в каскаде ( R22 , R23) и посмотрите опять. Изолировать или нет обмотку от феррита - зависить от проводимости ферритного материала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 363] Автор : UT4UBK Дата : 19.07.2018 15:22 В этой теме https://eu2av.ru/viewtopic.php?f=4&t=37 автор рекомендует делать их предварительный подбор. Sidoroffff, D5 и D6 - закоротите их ( или вставьте дополнительную блокировку конденсаторами к земли с точек их соединения с R13, R16 если считаете, что они помагают для температурной стабилизации токов покоя) , уберите пока ОС в каскаде ( R22 , R23) и посмотрите опять. Изолировать или нет обмотку от феррита - зависить от проводимости ферритного материала. R22 и R23 - при их увеличении происходит самовозбуждение каскада -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 364] Автор : LZ1AO Дата : 19.07.2018 16:27 Усиление по напряжению LDMOS-ов от 1 до 30MHz изменяется мало. Изменяется значительно входной импеданс и из за етого - усиление по мощности. Если обезпечить достаточно ниский импеданс с R22 и R23, то и усиление по мощности каскада будеть мало менятся по диапазону, при етом без никакой ОС. Посмотрите в каталоге Cgs, Cdg и Cds и учтите еффект Миллера. Ето послужит Вам решить какие номиналы R22 и R23 нужны: https://pdfs.semanticscholar.org/6329/517f5033428eb8ee5344f25ea02703ac00f9.pdf Оберегайтесь исползовать слишком большие разделительные емкости. В комбинации с недостаточной индуктивности трансформаторов ето можеть привести к синфазные генерации в каскаде. О подборе транзисторов - у меня идут в производстве до несколько тысяч двухтактных 10W усилителей в год, делать подбор транзисторов мне в уме не приходило из за того, что заводские технологи меня бы с удовольстием убили, если бы я написал такое в документации:-P. Приборы с одной упаковки достаточно одинаковые для практических целей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 365] Автор : UT4UBK Дата : 19.07.2018 16:39 Усиление по напряжении LDMOS-ов от 1 до 30MHz изменяется мало. Изменяется значительно входной импеданс. Если обезпечите достаточно ниский импеданс с R22 и R23, то усиление будеть мало менятся по диапазону, при етом без никакой ОС. Посмотрите в каталоге Cgs, Cdg и Cds и учтите еффект Миллера. Ето послужит Вам решить какие номиналы R22 и R23 нужны. Оберегайтесь исползовать слишком большие разделительные емкости. В комбинации с недостаточной индуктивности трансформаторов ето можеть привести к синфазные генерации в каскаде. Спасибо, пора садиться за multism и вводить модели -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 366] Автор : LZ1AO Дата : 19.07.2018 17:18 http://www.qsl.net/in3otd/electronics/LDMOS_models/RD16HHF1/RD16HHF1_LTspice.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 367] Автор : rolin Дата : 19.07.2018 18:56 На выходах трансформатора L18 разбаланс амплитуд ВЧ напряжения примерно в 2 раза Поменял T9.T8 - картина не меняется. Может подгоревший транзистор в одном из плеч драйвера или выходного каскада? Отпаяйте С21-С20 и подключите к выходу трансформатора резистор 100 Ом и смотрите что будет. Должно быть все ровно, конечно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 368] Автор : UT4UBK Дата : 19.07.2018 22:18 Все разобрался. 1.Поменял один входной транзистор (спасибо Сергею) 2. Оторвал среднюю ногу входного трансформатора от земля (спасибо R6DAN) 3. Убрал входной аттенюатор Больше 130W (81 эффективное на нагрузке 28Mгц) .Конечно - это перегруз. Теперь задача - разобраться с линейностью. Также есть наводка на I2C шину, необходимо проложить ее экранированным проводом. Токи покоя входных транзисторов 60mA остальных по 500. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 369] Автор : UT4UBK Дата : 21.07.2018 15:46 Теперь новый вопрос по заземлению платы УМ на шасси. При максимальной мощности начинаются большие наводки на I2C шину. Имеет ли смысл заземлять ground земли платы на шасси в местах креплении платы к стойкам? Посмотрел фото icom7300, везде есть. Просьба сильно не пинать за , возможно, глупый вопрос, тк до этого в в основном high speed проектировал, а там свои "танцы с бубном". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 370] Автор : eu2av Дата : 21.07.2018 18:34 Добрый день! Наверное желательно заземлять через стойки, все же разница чувствуется. Интересно какую линейность получили при 80-100ватт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 371] Автор : UT4UBK Дата : 21.07.2018 18:52 Добрый день! Наверное желательно заземлять через стойки, все же разница чувствуется. Интересно какую линейность получили при 80-100ватт? Пока не промерял. Подстроил параметры усиления предварительного каскада на opa2695 Получил imd на входе 50dB. Забавный момент, долго не мог врубиться , почему она меньше 25 dB, оказывается - забыл компрессор выключить :-) По заземлению - заземлил через стойки, появился еще один земляной контур, появились помехи в наушниках при выключенной антенне (корпус разъема наушников металлический, и прикручен к передней панели). Особой разницы по наводке на I2C не дало. Чем выше частота, тем выше наводки на сигнальных линиях. Может защелки попробовать. С другой стороны - может пролазить ВЧ сигнал на внутренне питание микросхем и через них - на сигнальные линии. Косвенно это подтверждает то, что поставил конденсаторы шунтирующие на линии - особо не помогло. Может стоит попробовать ADuM1250 - I2C оптоизолятор -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 372] Автор : eu2av Дата : 21.07.2018 19:06 Интересно почему на входе (опа..) 50дб, обычно чуть лучше чем 60дб. Возможно защелки как то помогут, и изоляция разъема от шасси, в обще интересно как решите эту проблему, внимательно слежу за вашим проектом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 373] Автор : UT4UBK Дата : 21.07.2018 20:54 Интересно почему на входе (опа..) 50дб, обычно чуть лучше чем 60дб. Возможно защелки как то помогут, и изоляция разъема от шасси, в обще интересно как решите эту проблему, внимательно слежу за вашим проектом. Наверно неправильно меня поняли, я имел ввиду - на выходе OPA2695. На входе УМ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 374] Автор : rolin Дата : 21.07.2018 21:31 Sidoroffff, у меня в проекте усилителя тоже наводки на проводники такие, что транзисторы управления реле тюнера срабатывают. Пришлось наставить конденсаторов где только можно. Могу посоветовать уменьшить скорость I2C если это возможно до 10кГц и поставить LC фильтры в начале и в конце линии такие, что уже фронты чуток завалены, контролировать осциллографом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 375] Автор : UT4UBK Дата : 21.07.2018 23:11 Sidoroffff, у меня в проекте усилителя тоже наводки на проводники такие, что транзисторы управления реле тюнера срабатывают. Пришлось наставить конденсаторов где только можно. Могу посоветовать уменьшить скорость I2C если это возможно до 10кГц и поставить LC фильтры в начале и в конце линии такие, что уже фронты чуток завалены, контролировать осциллографом. Пробовал. Не выходит каменный цветок. Поставлю опторазвязку и перепроектирую земли, максимально развяжу силовые и сигнальные земли. Не хотелось бы на четыре слоя уходить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 376] Автор : rolin Дата : 21.07.2018 23:59 Пробовал. Не выходит каменный цветок. Не может быть. Значит дифференциальный фильтр надо поставить, чтобы исключить влияние земли. В любом случае осциллограф покажет проблему, это же всего лишь I2C. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 377] Автор : UT4UBK Дата : 23.07.2018 20:55 Меня заинтресовала вот эта таблица из аппнота для выходных транзисторов RD100 Там указаны токи для выходной PEP мощности 100 ВТ при измерении 2 тонами. Какой averaged CW мощности это соответствует? Хотелось бы оценить КПД 293074 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 378] Автор : rolin Дата : 23.07.2018 21:20 Sidoroffff, при двухтоновом сигнале, общая мощность делится на два для каждого тона. То есть средняя мощность двухтонового сигнала + 6дБ = эквивалентная мощность однотонового сигнала. Добавлено через 6 минут(ы): Амплитуда двухтонового сигнала должна достигать 100 Вольт на пике, это и есть 100 Ватт PEP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 379] Автор : LZ1AO Дата : 24.07.2018 15:22 Sidoroffff, http://www.ab4oj.com/test/docs/ssb_im.pdf (http://www.ab4oj.com/test/docs/ssb_im.pdf) Motorola EB38, стр. 3 КПД в SSB лучше оценит с трех-тональным тестовым сигналом. Peak factor будеть 9.5dB, ето примерно как пикфактор речи с компресией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 380] Автор : UT4UBK Дата : 25.07.2018 11:13 Пробовал вот этот эквивалент нагрузки, 100Вт CW, вполне хватает, правда через 2-3 минуты приходится отключать из-за нагрева. Тройник нужен для измерения напряжения на нагрузке. https://ru.aliexpress.com/item/Free-Shipping-5W-RF-Attenuator-Coaxial-DC-3GHz-N-type-15dB/32490708326.html?spm=a2g0s.9042311.0.0.274233edAqcvHZ 293164 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 381] Автор : LZ1AO Дата : 25.07.2018 14:01 Sidoroffff, У некоторых дешевых китайских аттенюаторов и еквивалентов - неприятная особенность. При мощности в 20W и более неоднократно наблюдал нелинейность. Проявлялась как гармоники преимущественно четного порядка на уровне примерно -70дБн -80дБн, с пологой зависимости от мошности и нагрева. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 382] Автор : rolin Дата : 30.07.2018 10:31 К вопросу о токе потребления УМ и его КПД. Если кратко - при максимальной мощности должно быть лучше 50%, до 60%. На половинной мощности 30 % норма. https://www.youtube.com/watch?v=H1aShLDZcFg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 383] Автор : UT4UBK Дата : 30.07.2018 22:26 Разобрался с большинством проблем в усилителе мощности. Для устранения помех от передатчика на i2c шину: 1. Ограничил максимальный ток защиты для выходного каскада 17A 2. Добавил вместо bead индуктивности размером 0603 33мкГн во все сигнальные линии и по питанию микросхем Средняя КПД по диапазонам около 50%. Фото - под спойлером. IMD пока не измерял, есть проблема завала мощности с ростом частоты порядка 8-10 дБ. Необходимо перепроверить все каскады и компенсирующие емкости. На 28 Мгц есть паразитная амплитудная модуляция. Также проверял пока без ФНЧ, платы в дороге. В симметрирующем трансформаторе увеличил количество витков с 8 до 13. Индуктивность обмотки порядка 78мкГн. Нашел хороший аппнот с основа расчета выходного каскада http://web.rfoe.net:8000/ZILIAOXIAZAI/PHILIPS/acrobat/applicationnotes/NCO8703.pdf На "сопли" в виде исправлений на плате можно пока не обращать внимание. Все уже учтено в финальной версии 293487293488293489293490293491293492293493293494293495 Добавлено через 5 минут(ы): Также, пока не разобрался, почем не измеряет диодный датчик температуры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 384] Автор : UT4UBK Дата : 01.08.2018 12:14 Сегодня, наконец-то, дошли руки измерить чувствительность радиотракта. Использовал R&S генератор. -Минимальное амплитудное значение 224nV на выходе -10 dB аттенюатор -LNA включен - Частота 28 МГц Уверенно фиксируется пик на спектрограмме. Превышение над "полкой" 10dB. При включенном CW фильтре хорошо слышен сигнал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 385] Автор : rz3qs Дата : 01.08.2018 12:34 Превышение над "полкой" 10dB. Так получилось минус 135-137 dBm MDS при полосе 500 Гц или как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 386] Автор : UT4UBK Дата : 01.08.2018 13:27 224 nVrms это согласно этой таблице это -120dBm http://www.repeater-builder.com/tech-info/measuring-sensitivity/dbm2uv.pdf Полоса обзора - 6 кГц + averaging without smoothing. Правда,я не уверен в аттенюаторе, может быть и просачивание сигнала на выход. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 387] Автор : EU1SW Дата : 01.08.2018 13:33 Все делается проще, если С-метр правильный и откалиброван, просто озвучили значение мощности шума при полосе 500 гц. И все поймут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 388] Автор : UT4UBK Дата : 01.08.2018 13:35 И еще вопрос, врал S метр. Надо корректировать показания. Как его правильно откалибровать в режиме AM? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 389] Автор : EU1SW Дата : 01.08.2018 13:40 почему именно в АМ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 390] Автор : UT4UBK Дата : 01.08.2018 14:00 почему именно в АМ? Хочу понять , где накосячил с алгоритмом. Подаю AM 50мкв - точно S9. На одной несущей - завышенное значение. Измеряю после демодулятора. Код простой void FilterSMeter(float* inputRe,S_METER_t *filter,uint16_t numSamples){ static float attackAve; static float decayAve; static float attackAlpha; static float decayAlpha; static float averageMag; static float calibration; uint16_t i; float mag; if(filter->isOnOk != filter->isOn){ filter->isOnOk = filter->isOn; attackAlpha = ATTACK_TIMECONST; decayAlpha = DECAY_TIMECONST; attackAve = -120; decayAve = -120; averageMag = filter->averageMag = 0; } if(filter->isOn){ calibration = filter->calibration; for(i=0; i decayAve){ averageMag = attackAve; decayAve = attackAve; } else averageMag = decayAve; averageMag += calibration; filter->averageMag = averageMag; } } } MAX_PWR = 1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 391] Автор : EU1SW Дата : 01.08.2018 14:09 Подавайте немодулированную несущую, на ней и тестируйте в любой моде. С-метр нужно вычислять до демодулятора, в полосе фильтра. для правильности вычислений можно провести короткий тест, на шуме сузить полосу фильта в 2 раза, показания с метра должны уменьшится на 3 дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 392] Автор : UT4UBK Дата : 01.08.2018 15:51 Все разобрался. Дома использовал для калибровки генератор с некалиброванным выходом. Оба s-метр и спектрометр завышали измерения на 10 dB. В командной строке при запуске приложение передаются параметры для калибровки измерений, исправил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 393] Автор : UT4UBK Дата : 14.08.2018 14:31 Сергей, паразитная АМ присутствует, вы правы. Сейчас с этим тоже разбираюсь. По поводу диодов, я полагаю они используются для автосмещения полевых транзисторов. Когда их убираю - то сильно падает коэффициент усиления выходного каскада. Во flex6700 они зашунтированы резистором. Надо будет проверить. Также заметил, что при установке этих диодов формы выходных напряжений на стоках этих транзисторов отличаются, хотя на выходы усилителя - нормальная симметричная (слегка искаженная )синусоида. Без них - формы становятся идентичными. Изучил рекомендованную Вами книгу "Широкополосные радиопередающие устройства" под редакции Алексеева, 4 и 5 раздел. Вы абсолютно правы о номиналах R45...R47 , которые работают как балластная нагрузка моста - сумматора. Суммарный номинал должен быть в два раза меньше, и рассеиваемая мощность на них - 18 Вт (при выходной 100). Возможно, авторы Мюнина решили эту проблему установкой дросселя параллельно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 394] Автор : UT4UBK Дата : 14.08.2018 15:54 В аттаче выдержки из книги Алексеева -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 395] Автор : LZ1AO Дата : 14.08.2018 16:16 Да, ето автосмещение. К сожалению фильтрация в цепи смещения нельзя сделать достаточно большой и паразитная АМ всегда присуствует. Из за етого при маленкой дистанции тонов (20-30Hz - ето не моя приумица, ето диктует реальний спектр речи) она углубляется. ЕМНИП, в Вашей схеме если убрать диодов закорачивая, входной импеданс каскада изменится, так что ето тоже можеть быт причиной меньшего усиления, не только зависимость крутизны транзисторов от тока покоя. Мостовая схема - она применяется нечасто, смысл ее - работоспособность усилителя при отказе одного из транзисторов, но она не без недостатков. КПД ее получается ниже например. Кажется видел такое в промишленних КВ усилителях только 2 раза, оба - в довольно старых ( 70-е годы ) авиационных станции фирмы SUNAIR. В следующих моделях они стали сумировать двух двухтактны х усилителей. Решение наверно вполне оправданное для авиации. Авторы Munin-a - я думаю, что скорее всего у них резисторы появились из за паразитных синфазных колебания с участием того самого дросселя и они средстство борьбы с етими колебаниями. Прерисуйте схему и увидите, что оба транзистора подключаются в паралель на НЧ, дроссель в истоков, идуктивность в затворах, добавжте огромное усиление транзистора - все очень похоже на генератор с индуктивной ОС. Основная ОС кстати вряд ли работает на НЧ, потому что паралельно первичке трансформатора ОС подключена первичная обмотка выходного трансформатора, которая его сильно шунтирует. Кстати в старых публикациях видно, что КВ усилители в AN Motorolla 70 годов, на практике все сделанные Granberg-ом - с ОС для выравнивания усиления по диапазону, в AN Phillips тех времен - наоборот - все с коригирующими цепями. Оба варианта имеють право на жизнь, но с ОС нужно побольше озаботится о том, что усилитель будеть стабильным на нагрузках с некоторым рассоглосованием в работе, например до КСВ = 3, при любой фазе коеффициента отражения. Задачка, которая даже при наличии некоторой автоматизации, из не самых приятных. А проверять ручным способом - кошмар. Без ОС - несколько полегче обезпечить стабильност, одна степень свободы в системе (ОС) поменьше. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 396] Автор : UT4UBK Дата : 14.08.2018 16:37 Сергей, как всегда спасибо за развернутый ответ. По поводу шунтирования диодов автосмещения. Во вложении - фото входных цепей УМ flex6000. Как вы считаете, резисторы 226 ом (R72) параллельно диодам (D4) автосмещения помогут уменьшит паразитную AM? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 397] Автор : LZ1AO Дата : 14.08.2018 18:00 Честно говоря, не уверен. В принципе, вклад выпрямителя будеть поменьше, что лучше, но в то же время фильтрация ухудшится из за дополнительной цепи разряда, что хуже. Я писал уже, что сделав как-то один раз подобное для експеримента, увидел, что оно работает на некотором уровнем мощности, уменшая IMD некоего порядка, но не всех и совсем не помагает при других уровнях. Зависить сильно от характера тестового сигнала - уровни, количество тонов, интервал между ними, так что стою подальше от подобных трюков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 398] Автор : LZ1AO Дата : 14.08.2018 19:17 Видел однажды, несколько лет тому назад в одной CB радиостанции весьма специфический спектр в АМ - разница в уровней спектральных составляющих с обоих сторон в 8-10 dB. Оказалось, что модуляция усилителя сделали и в цепи питания и частично в затворе транзистора усилителя. Вначале думал, что наблюдаю паразитную АМ, но разные амплитуды насторожили меня. Оказалось, что да, не только АМ, но и ФМ тоже присуствует. Вероятная причина - амплитудно-фазовая конверсия. Резонансний контура в затворе, модуляция входной емкости транзистора да и еффект Миллера в добавок оказались более чем достаточно. Делал все в некоторой безумной спешке, CB не прошло испитания на излучения в соседном канале по европейским нормам, и его было никак нельзя запустить на рынок а 2000 таких стояли уже в складе. :-( Несмотря на всю несериозность такого класса радио, требования на паразитные излучения оказались довольно строгие - не более 20uW пиковой мощности в соседном канале ( приблизительно -57dBc для конкретного 10W-ного CB), ето при максимальной АМ, за 95%. Так как времени на изучение не было, я просто убрал модуляцию в затворе и ... оно прошло. Потом побеседовал по телефону с конструктором етого чуда, на мой вопрос зачем сделал модуляцию таким способом так и не дал внятный ответ, сказав что всегда делает модуляциюо так и что проблем излучения в соседном канале в производстве решают настройкой контура в затворе. Жуть... В любительских конструкциях всегда возможно присуствие странностей и ето нормально, любители же их делали. Но как видно из примера выше проффесионалы тоже не отступают иногда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 399] Автор : UT4UBK Дата : 16.08.2018 13:23 Есть одна идея. Для связи фронтенда с DSP я использовал LVDS шину - 5 линий I2S + 3 линии SPI. Может имеет смысл заложиться на стандартные шлейфа для ЖК дисплеев? Стоят копейки https://ru.aliexpress.com/store/product/Sintron-LVDS-Cable-FIX-30-S6-30pin-2ch-6-bit-Cable-for-LCD-panel-for/114769_32828480555.html?spm=a2g0v.search0104.3.8.38d02659xIxb62&ws_ab_test=searchweb0_0,searchweb201602_4_10152_10151_10065_10344_10068_10342_10343_5012915_10340_10341_314_10696_5013115_10084_530_10083_10618_5013015_10307_5723517_10059_100031_5013215_10103_10624_10623_10622_10621_10620,searchweb201603_13,ppcSwitch_5&algo_expid=8f202b36-1f62-4a3e-a9c7-b58e6a4da699-4&algo_pvid=8f202b36-1f62-4a3e-a9c7-b58e6a4da699&priceBeautifyAB=0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 400] Автор : Genadi Zawidowski Дата : 16.08.2018 13:40 имеет смысл заложиться на стандартные шлейфа для ЖК дисплеев? Да. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 401] Автор : UT4UBK Дата : 16.08.2018 14:24 Да. Ок , все равно в планах переделывать плату под другой кристалл с secure boot. Единственное, надо будет переобжать эти шлейфы на текущие разъемы и сравнить уровень помех по сравнению с МГТФЭ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 402] Автор : Genadi Zawidowski Дата : 16.08.2018 15:07 с secure boot А какая функциональность трансивера без этого не получается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 403] Автор : UT4UBK Дата : 16.08.2018 15:16 А какая функциональность трансивера без этого не получается? На нем подсистема памяти немного побыстрее (SDRAM vs DDR2),по коду - полностью совместим, и немного дешевле Это скорее вопрос оптимизации. Да и не хочется нашим братьям с востока дарить за просто так 2 года разработки софта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 404] Автор : Genadi Zawidowski Дата : 16.08.2018 15:27 подсистема памяти немного побыстрее Это здорово... не хочется нашим братьям Как я понимаю, на открытых исходниках могильный крест уже установлен давно, теперь еще и загрузочный образ закопаете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 405] Автор : UT4UBK Дата : 16.08.2018 15:30 Это здорово... Как я понимаю, на открытых исходниках могильный крест уже установлен давно, теперь еще и загрузочный образ закопаете? Почему? Образ без проблем могу дать вам, хоть сейчас. Помочь со стартом, пожалуйста. Может хватит страдать с stm32? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 406] Автор : Genadi Zawidowski Дата : 16.08.2018 18:36 Я как бы не только с стм32 страдаю... Есть работающий экземпляр трансивер на cortex a9 от renesas. Но никаких радостей кроме производительности это не дало... Кстати, USB с ограничением на только два изохронных ендпоинта, в отличии от sтм например. Даром чтот high speed. Опять же, bga корпуса пока я не могу как rolin лихо паять. В примерении к радио меня по прежнему интересуют камни с большой внутренней памятью. Stm32h пока единственное что без бга и внешней памяти тянет все что мне надо. Разумеется понимаю, что аппетит растёт по мере совершенствования аппарата. Но ваш путь с qnx внутри меня лично удивил... Я всё-таки на bare metal ориентируясь делаю проект. А если процессор недостаточно описан для запуска без операционной системы то соответственно он оказывается вне применимых. Добавлено через 6 минут(ы): И по поводу крестов на исходниккх... Была надежда в свой проект нормальный GUI сделать вместо нынешнего вовсе уж простого. Подсматривая в ваш проект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 407] Автор : rx9cim Дата : 16.08.2018 18:36 Stm32h пока единственное что без бга и внешней памяти тянет все что мне надо. Может хватит страдать с stm32? для обычных задач (мы ведь не делаем радары с фазированными решетками и экстремальными корреляторами :-P) вполне хорошо. На Ф7 у меня сейчас в режиме приема программно сделано: - два полностью независимых приемника, можно выбирать разные моды, фильтры и абсолютно все настройки - в каждом приемнике есть расчет FIR фильтров 512 порядка, вычисление БПФ сигнала, ОБПФ, демодуляция, АРУ, подстройка для телеграфа, адаптивное шумоподавление, автоночь, - всего в процессе обработки за цикл 21мс вычисляется по каждому приемнику три БПФ1024, два ОБПФ1024. Итого на два приемника вместе 6 БПФ1024 и 4ОБПФ1024. Если врубаю адаптивное шумоподавление, то добавляется еще по БПФ и ОБПФ. Итого 7БПФ1024 и 5 ОБПФ 1024. - для спектральных приемников еще дополнительно с периодом в 21мс вычисляется 2 БПФ1024, для звука - один БПФ 2048 раз в 84мс. Это не считая кучи прочей математики кроме БПФ и есть еще небольшой запас. Если порядок фильтров и БПФ понизить (если нужно) то ресурсов станет еще больше. И все это крутится в внутреннем ОЗУ и ПЗУ, и по ним еще запасы есть. Так что страдание ли это? Для Стм32Ф4 действительно были определенные страдания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 408] Автор : UT4UBK Дата : 16.08.2018 19:01 Я как бы не только с стм32 страдаю... Есть работающий экземпляр трансивер на cortex a9 от renesas. Но никаких радостей кроме производительности это не дало... Опять же, bga корпуса пока я не могу как rolin лихо паять. В примерении к радио меня по прежнему интересуют камни с большой внутренней памятью. Stm32h пока единственное что без бга и внешней памяти тянет все что мне надо. Разумеется понимаю, что аппетит растёт по мере совершенствования аппарата. Но ваш путь с qnx внутри меня лично удивил... Я всё-таки на bare metal ориентируясь делаю проект. А если процессор недостаточно описан для запуска без операционной системы то соответственно он оказывается вне применимых. Добавлено через 6 минут(ы): И по поводу крестов на исходниккх... Была надежда в свой проект нормальный GUI сделать вместо нынешнего вовсе уж простого. Подсматривая в ваш проект. 1. Баре метал дает иллюзию быстрого старта. Но потом быстро упираемся в потолок ресурсов. 2. Разделение на два ядра DSP+ARM значительно упрощает компоновку софта 3. Цена кремния сейчас небольшая. За туже цену получаем намного более производительную платофрму 4. QNX намного более удобен , чем Linux для повседневной работы программиста. Не зря они берут деньги за это. Пока есть линукс, программисты будут иметь хлеб с маслом на жизнь Добавлено через 15 минут(ы): для обычных задач (мы ведь не делаем радары с фазированными решетками и экстремальными корреляторами :-P) вполне хорошо. На Ф7 у меня сейчас в режиме приема программно сделано: - два полностью независимых приемника, можно выбирать разные моды, фильтры и абсолютно все настройки - в каждом приемнике есть расчет FIR фильтров 512 порядка, вычисление БПФ сигнала, ОБПФ, демодуляция, АРУ, подстройка для телеграфа, адаптивное шумоподавление, автоночь, - всего в процессе обработки за цикл 21мс вычисляется по каждому приемнику три БПФ1024, два ОБПФ1024. Итого на два приемника вместе 6 БПФ1024 и 4ОБПФ1024. Если врубаю адаптивное шумоподавление, то добавляется еще по БПФ и ОБПФ. Итого 7БПФ1024 и 5 ОБПФ 1024. - для спектральных приемников еще дополнительно с периодом в 21мс вычисляется 2 БПФ1024, для звука - один БПФ 2048 раз в 84мс. Это не считая кучи прочей математики кроме БПФ и есть еще небольшой запас. Если порядок фильтров и БПФ понизить (если нужно) то ресурсов станет еще больше. И все это крутится в внутреннем ОЗУ и ПЗУ, и по ним еще запасы есть. Так что страдание ли это? Для Стм32Ф4 действительно были определенные страдания. Вы сами ответили на это вопрос - сколько у вас остается ресурсов на потом. В моем варианте - по DSP еще 70%. Посмотрите какое железо заложили флексы, с прицелом на будущее. Второе, мир не заканчивается на stm32. Завтра выйдет новый сортех от нового чип вендора. Более мощный и больше ресурсов. Опять все переписывать, то что касается работы с периферией и GUI? Дальше. Дополнительные плюшки в виде web сервера, NTP, журнал связей, обновление по FTPб синхронизация баз данных итд. Сложно это реализовать на stm32 И последнее, в пайке BGA нет ничего сложного. Даже проще . чем многоногие QFP. Если, конечно же , себя специально не ограничивать. Нет возможности или опыта, вам их запаяют в любой мастерской. Главное - выбрать корпус с шагом 0.8-1мм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 409] Автор : rx9cim Дата : 16.08.2018 19:27 Вы сами ответили на это вопрос - сколько у вас остается ресурсов на потом. В моем варианте - по DSP еще 70%. Посмотрите какое железо заложили флексы, с прицелом на будущее. Если я сделаю порядок фильтров 192 или 256, то у меня тоже будет 70% свободного времени. Второе, мир не заканчивается на stm32. Завтра выйдет новый сортех от нового чип вендора. Более мощный и больше ресурсов. Опять все переписывать, то что касается работы с периферией и GUI? Согласен. Если мы скачем по производителям, то это действительно будет так- все переписывать что касается периферии. Это же правило касается и SoC. В моем случае это процентов 5 от проекта. Все остальное это математика. Язык Си (и другие) кросплатформенный, нет вообще никаких проблем с переносимостью алгоритмов. С другой стороны STM пока не дает повода для перехода к другим производителям. Я работаю на предыдущем семействе, Ф7. Есть новое - Н7. А переходы рано или поздно будут, опять таки по мере роста аппетита, пока же хватает того, что есть. Дальше. Дополнительные плюшки в виде web сервера, NTP, журнал связей, обновление по FTPб синхронизация баз данных итд. Сложно это реализовать на stm32 Это сложно реализовать, вероятно и невозможно. Много времени уйдет на разработку или поиск кода. Возможно ресурсов не хватит. для SoC полагаю все это тоже придется с 0 писать, либо ставить ОС и запускать приложения. В общем здесь на вкус и цвет, единого консенсуса даже среди именитых производителей средств связи - нет. Лично в своих целях мне эти плюшки прямо в трансивере не нужны, гораздо эргономичнее для аппаратного журнала использовать отдельный комп. И последнее, в пайке BGA нет ничего сложного. Даже проще . чем многоногие QFP. Если, конечно же , себя специально не ограничивать. Нет возможности или опыта, вам их запаяют в любой мастерской. Главное - выбрать корпус с шагом 0.8-1мм Согласен. Добавлено через 6 минут(ы): Я не ярый сторонник STM32 и с пеной у рта не буду доказывать их совершенства. Просто есть большой опыт их применения. Выч средства выбираются под конретные цели и задачи. Для моего применения мне хватает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 410] Автор : UT4UBK Дата : 26.08.2018 22:04 За выходные запек плату фильтров+тюнер. Плата была разведена по 50 кольца в фильтрах, но поставил 68. В релизе - немного увеличу расстояния между кольцами. Схема защиты входов измерителя VSWR взята из Flex6xxx. ADC оцифровывает прямой, обратный каналы и vswr с выхода max2016. У него внутри есть компаратор - защелка, при превышении определенного VSWR - срабатывает защита и снимает смещение с транзисторов в PA ( можно сделать, чтобы снималось и питание). Те сохранилась заложенная идеология - полностью программно управляемый блок, который "сидит" на шине i2c. В софте - специальная служба, которая управляет тюнером также, как и аналогичная - PA. Интересует вопрос - стоит ли устанавливать байпас в режиме приема? Все таки добавляются еще два реле , а места на плате нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 411] Автор : Integral Дата : 26.08.2018 22:40 стоит ли устанавливать байпас в режиме приема? Все таки добавляются еще два реле , а места на плате нет. Не вижу смысла делать обход в режиме приема, тюнер и так сделает 50Ом на входе приемника. А вот реле можно было все применить от Axicom, все равно при настройке тюнера больше 20Вт нет смысла подавать от усилителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 412] Автор : rolin Дата : 27.08.2018 00:35 Sidoroffff, хотелось бы посмотреть на АЧХ и графики КСВ ФНЧ, на одинаковых индуктивностях и емкостях не внушают доверия, жизнь как правило сложнее. Младшие две индуктивности тюнера есть смысл сделать бескаркасными, ибо зачем зря кольца переводить ? при превышении определенного VSWR - срабатывает защита и снимает смещение с транзисторов в PA ( можно сделать, чтобы снималось и питание). Снятие смещения может лишь уменьшить отдаваемую мощность и этого может быть недостаточно для надежной защиты усилителя. Отключать питание, конечно, лучше, но что потом ? Его же нужно потом включать, когда, по каким признакам?. Лично мое мнение, защиту по КСВ нужно делать как у известных промышленных аппаратов, то есть это плавное нарастание несущей в начале передачи и сброс мощности в зависимости от измеренного КСВ, при этом остается возможность мониторить КСВ и дальше. Добавлено через 8 минут(ы): Интересует вопрос - стоит ли устанавливать байпас в режиме приема? А это зависит от того, какую конфигурацию полосовых фильтров вы задумали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 413] Автор : rz3qs Дата : 27.08.2018 00:55 защиту по КСВ нужно делать как у известных промышленных аппаратов, то есть это плавное нарастание несущей в начале передачи и сброс мощности в зависимости от измеренного КСВ, Это петля обратной связи, скорее всего очень медленной, путь в никуда. Только пороговый контроль КСВ, выше 2.0 стоп мотор, и разбор полетов, транзисторы целы. Скорость защиты 100-200 мкс. Да, и защита должна быть цифровой, т.е. контроллер с правильной программой, практика показала, что это надежней, чем просто аналог. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 414] Автор : R0SBD Дата : 27.08.2018 03:28 У него внутри есть компаратор - защелка, при превышении определенного VSWR - срабатывает защита и снимает смещение с транзисторов в PA ( можно сделать, чтобы снималось и питание). Т.е. кручу я , например, антенный тюнер, настраиваю всеволновый диполь на какой-то частоте, а у меня усилок, вместо того, чтобы плавно снизить мощность из-за высокого ксв (как сделано во всех нормальный заводских трансиверах), просто выключиться. И как вы тюнер настроите, намучаетесь. Если только не настраивать отдельно антенным анализатором. Где вы видели в японских трансиверах отключение усилителя на защелках? Везде плавное снижение, что просто делается тем же двухзатворным полевым транзистором, на второй затвор которого подается выпрямленное напряжение обратной волны с датчика ксв, слегка усиленное. Имхо.. Добавлено через 5 минут(ы): Это петля обратной связи, скорее всего очень медленной, путь в никуда. Только пороговый контроль КСВ, выше 2.0 стоп мотор, и разбор полетов, транзисторы целы. Скорость защиты 100-200 мкс. Речь я так понимаю о усилителе 100 ватт. Тут нужна плавная регулировка. А вот если усилок от 500 ватт и выше, тут можно делать отключение. Ибо основная настройка антенно-фидерного тракта будет сделана заранее трансивером с этим 100-ваттным усилком, и только потом включается мощный усилитель, в уже зараннее настроенный тракт. И в таком усилителе (свыше 500 ватт, условно), можно делать защелки и прочее, ибо тут цена транзисторов на порядок выше 100-ваттных. PS Да, и обычно не просто смещение снимают, но ещё включается на входе усилка дополнительный аттенюатор на пин-диодах... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 415] Автор : rolin Дата : 27.08.2018 03:52 R0SBD, полностью согласен. Защелку можно сделать, но разрешать ее работу только если мощность выше определенного уровня, например 10 Ватт, тогда она как бы есть, но и не мешает работе тюнера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 416] Автор : LZ1AO Дата : 27.08.2018 14:58 Константин, Я бы посоветовал Вас подключить и приемник(и) в то место где подключаете логарифмические детекторы. Таким способом станеть возможно измерять импеданс нагрузки в комплексной форме. Еще, в АСУ с широкополосными логарифмическами датчиками, как то которое Вы задумали наводки с расположенного в близости другого передатчика в процессе автоматической настройки - кошмар. При наличии приемника в измерительном канале датчиков - гораздо легче. Настройка с маленкой мощности, несколько милливат более чем достаточно, будеть возможной. Переключать реле под сигналом тоже будеть возможно, а ето сокращает время настройки. Кстати Г-звено позволяеть обойтись минимальними итерациями, если известен импеданс нагрузки, никакой перебор с участием обоих реактивностей не нужен. Класический алгоритм для етого чем то отдаленно напоминает CORDIC. В многих случаях итерации с участием обоих реактивностей звена и не потребуются. W8MQW описал (ето одна из возможностей) как сделать настройки каждого елемента в простой двухпассовой процедуре: http://www.arrl.org/files/file/QEX_Next_Issue/Nov-Dec2016/MacCluer.pdf P.S. При каком рассоглосовании должно работать ето АСУ? Не очень много получится с етими реле 500V max между контактами и 2А - наверно не более 3? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 417] Автор : EU1SW Дата : 27.08.2018 15:15 Добрый день! Сергей, я некоторое время назад занимался написательством собственного ПО модуляторов/демодуляторов под Виндовс, с визуализацией И совершенно определенно собирался измерять комплексный импеданс антенны, что бы автотюнер не выполнял тупой или "хитрый" перебор, а сразу включать необходимые элементы в согласующем звене. Просто не дошел ход, переключился на фронт енд DDC и ПЛИС ))) а все уже придумано до нас ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 418] Автор : LZ1AO Дата : 27.08.2018 16:46 EU1SW, Сергей, Многое придумали до нас, ето всегда так. Кстати при настройки АСУ стандартний набор джентелмена-конструктора АСУ 70-х годов был: пороговие датчики ( R>50 или R<50), (G>50mS или >50mS), Фаза (+ или минус), КСВ. VNA и измерители импеданса стоило жутькие деньги, так что вставить такое в АСУ никому в уме не приходило. У меня дипломная работа (Технический университет, 1979) било АСУ - для 1.5м антеннь радиостанции диапазона 30 до 88MHz. Господи, как давно ето бьло :-( , почти 40 лет ... Ваше переключение с одной к другой области, ето хорошо, радуйтесь. Мне не раз приходилось переключаться, и пока никогда не пожалел об етом. Добавлено через 14 минут(ы): Константин, Измерители антенного тока и напряжения на антенне, с порогами, и триггерная зашита на их базе, с немедленньм действием, желательно мимо процессора не навредить. Измеритель тока- у Вас стоить ответвитель, нужно добавить вьпрямитель. Датчик напряжения - ето уже несложно. Газоразрядники на антенном разьеме - лучше не вставляйте, при 100W и вьсокоомной антенне они зажгуться от сигнала передатчика. Если они- средство от статики - вставьте их в 50-омном тракте, на входе АСУ. Обход - если оставите без него, имейте в виду, что согласование 50 к 50 ом с Г-звеном - проблемное, нужно учитьвать, что в таком случае всегда будеть какое то рассогласование. Обход без допольнителньх реле , например закорачиванием индуктивностей и вьключением всех емкостей, будеть работать как-то, но тоже будеть далеко от идеала. Наверное все ето не трудно проверить, у Вас уже есть ПП с LC-звеном. Я пользовалься табличной настройкой антеннь в режиме приема, но ето работает с некоторой конкретной антенной. Если набор антенн неизвестен - тогда .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 419] Автор : R0SBD Дата : 28.08.2018 04:58 И совершенно определенно собирался измерять комплексный импеданс антенны, что бы автотюнер не выполнял тупой или "хитрый" перебор, а сразу включать необходимые элементы в согласующем звене. Это реализовано в тюнерах от MFJ уже давно причем. Называется Intelligent tuner (IntelliTune сокращено), mfj-929, mfj-939, и др. Поэтому время их настройки с любой антенной на любой частоте не более 2 секунд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 420] Автор : rolin Дата : 28.08.2018 05:22 Это реализовано в тюнерах от MFJ уже давно причем. Называется Intelligent tuner (IntelliTune сокращено), mfj-929, А зачем там тогда замер частоты и память на 20 тысяч ячеек ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 421] Автор : EU1SW Дата : 28.08.2018 06:30 Да, еще не понятно, что теперь нужно делать, ну я рад за mfj, а дальше что делать с этой, несомненно важной информацией??? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 422] Автор : rolin Дата : 28.08.2018 07:03 что теперь нужно делать, ну я рад за mfj, а дальше что делать с этой, несомненно важной информацией??? Как что ? Срочно устанавливать ВЧ-мост на антенный вход и писать софт, который рассчитает за один присест нужные кондеры и индуктивности. Но я , конечно, это делать не буду :) Мой тюнер и так вполне неплохо справляется и я думаю, можно еще улучшить алгоритмы. Ох и увлекательное же это занятие... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 423] Автор : R0SBD Дата : 29.08.2018 08:45 А зачем там тогда замер частоты и память на 20 тысяч ячеек ? Ну вы то профи практически, такие вопросы. Измеряется импеданс антенны на конкретной частоте, там шаг по частоте разный , в инструкциях уточнен. Ну скажем интервал - 10кгц. Далее в ячейку памяти заносится частота и соответствующий ей импеданс, или скорее всего данные какой кондер и катушку надо включить , если передача будет вестись в этом частотном интервале. В этом случае настройка тюнера занимает миллисекунды уже, а не 1-2 секунды. Таким образом если работать часто и на разных частотах, со временем вообще просто песня будет а не тюнер.. Просто привел информацию для сведения. Упоминали что это давно реализовано, но кем и где не указали. Вот я и посчитал нужным это указать.. И совершенно определенно собирался измерять комплексный импеданс антенны, что бы автотюнер не выполнял тупой или "хитрый" перебор, а сразу включать необходимые элементы в согласующем звене. Просто не дошел ход, переключился на фронт енд DDC и ПЛИС ))) а все уже придумано до нас ) Да, вот эта фраза, мой ответ был реакцией не неё.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 424] Автор : yl2gl Дата : 29.08.2018 12:14 Пробовал вот этот эквивалент нагрузки, 100Вт CW Опустите его в чашку с водой так, чтобы на поверхности был ВЧ разъём, отключать не придётся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 425] Автор : rolin Дата : 01.09.2018 22:35 В поисках ФНЧ на 14 МГц, который бы не грелся в моем усилителе, запаял ваш фильтр по последней выложенной схеме. Получилось вот что 295637 295638 Мои мысли по этому поводу такие - слишком близко на краю рабочая частота по графику КСВ. Практика показала, что с этим фильтром усилителю нужна была значительно бОльшая раскачка для получения той же мощности, что с другими фильтрами и кольца грелись сильно, хотя я не выяснил еще в чем тут проблема. Характеристики фильтра, содранного с заводского трансивера (для сравнения) 295639 295640 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 426] Автор : UT4UBK Дата : 07.09.2018 23:05 Снял S11 VSWR и S21 loss для ФНЧ передатчика. Более-менее хорошее КСВ получилось на диапазоне 40 метров. Остальные - требует подстройки. 160 метров: 295970295971 80 метров: 295977295978 40 метров: 295972295973 20 метров: 295974295976 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 427] Автор : alex_m Дата : 07.09.2018 23:29 Пробовал вот этот эквивалент нагрузки, 100Вт CW, вполне хватает, правда через 2-3 минуты приходится отключать из-за нагрева. Тройник нужен для измерения напряжения на нагрузке. https://ru.aliexpress.com/item/Free-Shipping-5W-RF-Attenuator-Coaxial-DC-3GHz-N-type-15dB/32490708326.html?spm=a2g0s.9042311.0.0.274233edAqcvHZ а какое у него сопротивление? Давно присматривался к этой нагрузке, но учитывая опыт с китайскими аттенюаторами, которые погорели сразу после покупки, покупать эту нагрузку не рискнул -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 428] Автор : UT4UBK Дата : 08.09.2018 20:32 Вооружившись Filter Solution начал тюнить и переделывать фильтра. Под спойлером - результат для 160 м (схема и измерения). Критика и рекомендации по подходу - приветствуются. 296003296004296005 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 429] Автор : UT4UBK Дата : 09.09.2018 14:09 Очередной подстроенный фильтр на 80 м. Кольца - красные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 430] Автор : rolin Дата : 09.09.2018 17:24 Sidoroffff, ничего вроде. Вы правильно поняли, что только эллиптические фильтра дадут результат. В промышленных трансиверах зачастую 7 -но порядка они стоят. Если вы перфекционист, то можете настроить первую точку нулевого подавления на удвоенную рабочую частоту фильтра, а вторую на следующий радиолюбительский диапазон. Вот это супер фильтра будут. Но я не призываю вас тратить на это время. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 431] Автор : UT4UBK Дата : 09.09.2018 20:21 Результаты для 20m+30m. При настройке фильтра уже приходится учитывать емкость монтажа -уменьшать на 6-8pF номиналы конденсаторов. Те где 22pF ставим 16-18pF. И добиваемся совпадения расчетной AЧХ с измеренной по частотам максимального подавления. Предварительно - были измерены реальные значения индуктивностей и подставлены в модель. 296103296102296101296100 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 432] Автор : LZ1AO Дата : 11.09.2018 14:18 Константин, Filter Solution позволяет, если правильно помню, проектировать и LPF с заданньм коеффициентом отражения не в целой полосе, а только в части ее. Иногда ето помагает одновременно улучшить согласование и увеличить затухание в полосе непропускания. Кстати, я пользуюсь обьчно 2% емкостями, они не на много дороже 5%, а фильтр обязательно проходить оптимизацию индуктивностей. Коеффициент отражения в Вашем случае получилься неплохо, хотя ( IMHO ) нужно стремиться сделать его несколько лучше. Вы планируете АСУ с дискретной настройки. Из за ограниченного и не совсем точного ряда дискретов в звене в многих случаях КСВ лучше 1.5 после настройки вряд ли получится. Учитьвая и рассогласование в показанном фильтре (КСВ=1.3), к транзистором усилителя получаем нагрузку с КСВ почти 2, считя что трансформатор в PA - идеальный Обязательно убедитесь, как работает усилитель мощности при КСВ =2. Будеть ли отдавать номинальную мощность, какое IMD , КПД и т.д получится, ведь ето будеть его номинальний режим в многих случаях. 73 de LZ1AO P.S. В книге Granberg-a RF Frequency Transistors есть пример как сделать нагрузку с заданным рассогласованием и регулированием его фазы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 433] Автор : UT4UBK Дата : 11.09.2018 22:19 Сергей, большое спасибо за рекомендации и ценные советы. Сейчас буду "дочищать" уже полностью запаянные в плату фильтра с учетом их взаимного влияния. Заметил одну проблему - убрал tandem match и подключил нагрузку сразу же после фильтров. КСВ снизилось до величины 1.06 на ВЧ диапазонах,хотя характер кривой КСВ остался прежний. Измерительный мост сделан по обычной схеме - бинокль BN43-202, 12 витков в обмотках. Как все таки настраивать фильтра - с учетом влияния моста и последующих цепей тюнера (контакты реле итд) или на чистую нагрузку? Заметил еще один момент - с подключенным мостом на ВЧ бэндах КСВ не падает ниже 1.2. Проверял нагрев бинокля на максимальной мощности - не греется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 434] Автор : LZ1AO Дата : 12.09.2018 14:57 Константин, Трансформатоы в етом измерителе работают в разньх режимов - один - практически в КЗ, а другой, другой, в паралельной ветке - конвенциональнжм трансформатором напряжения. Он подключен к полноe напряжениe передатчика. Из за того я бь не стал советовать пользоватся здесь материалами, у которьх частота собственного магнитного резонанса материала ( где u'=u") ниже Fmax рабочего диапазона. У вашего феррита она - значителльно ниже. Он будеть работать прекрасно в ШПТЛ, но в конвенциональном трансформаторе - не верится. В подобньх случаях (до 30MHz /150W ) в датчиках я ползуюсь ферритами 4C65 (Ferrxcube) , K1 (Epcos, u=80). Даже для етих марок 30-40МHZ - почти предел, тоест можеть оказатся, что Вам понадобится NiZn феррит с u=50 и меньше. По моему и коеффициент трансформации в ответвителях - слишком маленкий, у Вас же 100W, не QRP, 100V и 2А в пиках. Спокойно можете сделать его трансформацию 1:20 или даже более, при том магнитная индукция в трансформаторах снизится, не будут рассеиватся ватты на нагрузках моста и т.д. При вьбора феррита лучше принимайте, что КСВ 3 - ето нормально. Внимательно посмотрите на даннье феррита на вашей Fmin и Fmax. Вряд ли стоит компенсировать разсогласования в конструкции фильтрами. Лучше при отработки конструкции постаратся скомпенсировать разсогласование в датчиках и комутации для обхода. В КВ диапазоне самое вероятное - будеть нужно скомпенсировать паразитную индуктивность, т.е включить ее в компенсирующий НЧФ с частотой среза несколько вьше Fmax. Наверное разумно проверить влияние трансформаторов поотдельно, если у вас есть доступ к VNA или измеритель компонентов. Из Ваших данньх видно что КСВ датчика 1.12 или подобное, что не так много. Вероятно и потери токового трансформатора ( он же вносить всегда в серии с нагрузки Вашем случае 50/144=0.35 Ом + некоторую индуктивность из за рассеивания, да и потери и паразитная емкост в трансформаторе напряжения тоже влияют. Если трансформаторь без екранов - побольше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 435] Автор : UT4UBK Дата : 12.09.2018 16:16 Сергей, спасибо за детальный ответ. Так и сделаю, сниму параметры ответвителя для текущего дизайна. Вот здесь нашел много интересного по выбору колец http://k6jca.blogspot.com/2015/07/antenna-auto-tuner-design-part-5.html Просматривается два варианта - переход на два отдельных кольца (как сделано у Flex) и попробовать использовать бинокль на 61 материале (BN61-202) Под спойлером - фото конструкции Flex 296284296285 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 436] Автор : LZ1AO Дата : 12.09.2018 16:42 Спасибо, не видел етот материал. Одна заметка, Bsat в 2000Gaus, реальная цифра для обсуждаемьх автором материалов на НЧ, индукция более около 200-300Gaus на 1.8MHz может привести к IMD в сердечниках, я наблюдал таких в трансформаторах на 61 и 4C65, оба разрабатьвались для ШПТ. Он принял 110Gaus, но возможно и до 150Gauss. Для K1 - граница пониже - около 80-90Gauss. Кстати и 61 и 4C65 не терпят механические нагрузки, в особености ударов, они намного чувствительнее к ним чем стандартнье NiZn, так что берегите их :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 437] Автор : UT4UBK Дата : 13.09.2018 22:30 Почти настроен последний фильтр на 10 метровый диапазон. Тандем матч - отключен. Для дальнейшего улучшения vswr требуются емкости < 10 pF. 296344296342296343 Также, с помощью VNA были исследованы параметры tandem match (собран на BN43-202, 12 витков вторичка). Диапазон 1- 30 МГц. Параметры на ВЧ бэндах плохие. Надо переделывать и уходить от стандартной конструкции. На очереди - измерение параметров выходного трансформатора PA и драйвера , подбор корректирующих цепей. 296345296346296347 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 438] Автор : LZ1AO Дата : 14.09.2018 15:19 В етой программе возможно гибко расставит частоть безконечного затухания. Я делаю ето, начиная с чебишевского прототипа. Пользовался фильтрами 6-го и 7-го порядка. Расставляя только 2 частоть безконечного затухания из возможньх 3, обезпечиваю монотонное нарастание затухания далеко за Fmax. Конфигурация четного порядка позволяет при необходимости переворачиванием фильтра обезпечить усилителю совсем другой импеданс на гармониках сигнала. Иногда очень помагает.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 439] Автор : UT4UBK Дата : 17.09.2018 22:34 Разобрался с нелинейностью графика VSWR для датчика. Оказывается - емкость контактов реле + разводка тюнера вносит такие искажения. VSWR самого датчика линейна. Планирую попробовать датчик со следующими изменениями: - бинокль из материала 61 - увеличенное количество витков до 25 - вместо отрезка провода использовать полужесткий кабель rg-405, где оплетка будет играть роль электростатического экрана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 440] Автор : EU1SW Дата : 17.09.2018 22:40 Прошу прощения за вольготный стиль, но самое главное в экстремальном отдыхе понять, где заканчивается экстрим, и начинается 3.14...ц. Так и с нашими делами, главное понять, с какого именно места доработки "это" превращается из набора из говна и палок в прецизионный прибор, который нужно выполнять строго из указанных материалов, иначе ничего не получится ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 441] Автор : rz3qs Дата : 17.09.2018 22:46 - увеличенное количество витков до 25 Т.е. рабочая зона 1500 -3000 Вт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 442] Автор : UT4UBK Дата : 17.09.2018 22:56 Т.е. рабочая зона 1500 -3000 Вт. Нет, хочу просто уменьшить мощности 50 омных резисторов. Все равно логарифмический детектор имеет приличный динамический диапазон. Прошу прощения за вольготный стиль, но самое главное в экстремальном отдыхе понять, где заканчивается экстрим, и начинается 3.14...ц. Так и с нашими делами, главное понять, с какого именно места доработки "это" превращается из набора из говна и палок в прецизионный прибор, который нужно выполнять строго из указанных материалов, иначе ничего не получится ) Сергей,я всегда разрабатываю по принципу - "сделать максимальной хорошо, а г..на добавить успеем" По большому счету, исследования вопроса вот этим товарищем http://k6jca.blogspot.com сподвигли меня на серьезную проверку всех частей. А так - фильтра работают, VSWR измеряется достаточно точно, кольца не греются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 443] Автор : rz3qs Дата : 17.09.2018 23:00 детектор имеет приличный динамический диапазон Чем меньше этот диапазон, в пределах задачи, тем точнее результат, хотя все в жизни относительно, даже результат самой жизни. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 444] Автор : UT4UBK Дата : 17.09.2018 23:05 Мне кажется, что 80 дБ - динамического диапазона хватит вполне. А по проработке схемотехники тюнера http://3.bp.blogspot.com/-RI345e37LFo/VqoaarLIO6I/AAAAAAAAE1Y/_-DM9Xp11ss/s1600/REV_A%2BAUTO-TUNER%2BL-NET.png Добавлена еще одна степень настройки - Cs, последовательное включение набора емкостей. Кстати, в процессе "бодания" с фильтрами и подбора колец нашел очень неплохую программу (в аттаче). Возможно - она широко известна многим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 445] Автор : EU1SW Дата : 17.09.2018 23:10 С логарифмами всегда есть нюанс, когда переходим к линейному отображению. У вас есть линейный ацп, и логарифмический детектор. В результате смещения рабочей области вниз получим возможно не то, что хотелось... Хотя вам виднее, как разработчику -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 446] Автор : UT4UBK Дата : 17.09.2018 23:13 Вычитание логарифмов производится в самой микросхеме, те мы измеряем с помощью ADC return loss и вычисляем уже из него величину VSWR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 447] Автор : EU1SW Дата : 17.09.2018 23:16 значит я недостаточно вник, прошу пардону ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 448] Автор : rz3qs Дата : 17.09.2018 23:17 Мне кажется, что 80 дБ - динамического диапазона хватит вполне. Перебор так на 50 дБ. При 100000 Вт можно измерить 0.001 Вт, а все что между далеко мимо, зачем это закладывать в трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 449] Автор : UT4UBK Дата : 17.09.2018 23:26 Да понятно, что она не сможет реализовать такой большой ДД из-за наводок итд. Иначе, ее нужно будет экранировать, как сделано во флексах. Опять же - одноваттные 50 ом резисторы мне кажутся излишними. С другой стороны, одна микросхема закрывает все вопросы по нелинейности ВАХ диодов, их подбору итд. Также, позволяет сделать без особых проблем регулируемую быстродействующую защиту от высокого VSWR на одном ADC. Во вложении - фото измерительной части во флексе. Обратите внимание на количество витков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 450] Автор : rz3qs Дата : 17.09.2018 23:43 Да понятно, что она не сможет реализовать такой большой ДД из-за наводок итд. Не поняли. Не нужен такой ДД. От 100 Вт 20 дБ 1Вт, т.е. получить максимальную точность в этом диапазоне, все. Опять же - одноваттные 50 ом резисторы мне кажутся излишними. Сейчас это не стоит вопроса в SMD. Также, позволяет сделать без особых проблем регулируемую быстродействующую защиту от высокого VSWR на одном ADC. Как все будет у Вас не знаю, но скорость защиты на сегодня в зоне 100 мксек, она спасает на 100% при первом включении, но, когда идет моща, цифровая несущая типа FT8, и в это время срезают антенну, может не спасти, если нет в УМе как минимум двойного запаса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 451] Автор : EU1SW Дата : 17.09.2018 23:57 при экспериментах с построением ПА rd100 пережили полную раскачку при включении несущей на холостом ходу, без нагрузки на выходе, повторить на бис жалко транзисторов, а вдруг не получится... ) при закоротке выхода не пробовал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 452] Автор : rz3qs Дата : 18.09.2018 00:37 повторить на бис жалко транзисторов, а вдруг не получится... Не нужно повторять. 99 % выхода идет в момент начало передачи, другая антенна, не тот диапазон ФНЧ, а если это мощный УМ (транзисторный, с высоким КУ) качается трансивером со 100-ым выходом и уменьшенной мощей, но в нем работает петля ALC, то скорость защиты в 100 мксек (измеряется напряжение на входе УМа) справляется с безумством этой петли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 453] Автор : EU1SW Дата : 18.09.2018 00:47 Выбросы могут быть при наличие петель обратной связи. Я конечно допускаю возможные глюки фпга в момент подрыва ядерного заряда в непосредственной близости, но при прямом управлении выходным током цап, выбросам просто неоткуда взяться. Историю с нелюбовью известных производителей усилителей к сансдр в курсе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 454] Автор : rz3qs Дата : 18.09.2018 01:01 но при прямом управлении выходным током цап Так прямое управление, а не обратное, где нужно время, чтобы все уровнять. Недостатки такого прямого управления снижение мощности, очень небольшое, при нагреве УМа, но это заметно только на передающей стороне, при условии хорошего разрешения измерения этой мощи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 455] Автор : LZ1AO Дата : 18.09.2018 17:18 Константин, одна микросхема закрывает все вопросы по нелинейности ВАХ диодов Характеристика регулятора в петли ALC с логарифмическим детектором лучше сделать логарифмической, например регулируя ток через p-i-n диод и т.п. Етим способом возможно обезпечить практически постоянний коеффициент передачи в замкнутой петли регулирования, независимо от установленой оператором уровнем мощности. Кстати, пользовал SA604 (NE604) логарифмическим детектором в датчиках. До 30MHz работает очень прилично. Подключение емкости в серии с антенной, если использовать как инструмент разширения области настройки Г-звена как в вашей ссьлке - иногда опасно. Индуктивность звена в ссьлке ограничили на 8uH и ето- глупо, ниже 7MHz ето недостаточно. Второе - минимальная в 31nH - слишком большая. На серийние емкости, которьми постарались компенсировать ето, да и паразитную индуктивность монтажа в добавок, вполне возможно получить вьсокое ВЧ напряжение. Ети емкости с индуктивности в серии весьма вероятно будуть работать и вблизи серийного резонанса, не так ли? Тоест их и реле вокруг нужно поставить погабаритнее других. Не лучше ли бьло добавить еще 2 катушки и постаратся закоротить большие индуктивности более умно, они же не понадобятса на ВЧ ? IMHO, измерение напряжения на антенне очень помагает - бьстро узнаваем стоит ли вообще настраиватся на конкретную частоту и антенну или нельзя из за опасности повредит звено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 456] Автор : UT4UBK Дата : 19.09.2018 11:28 По диаграмме Смита оценил паразитные индуктивности и емкости тюнера для эквивалентного Г-звена. Судя по величинам - смысла бороться в лайоуте не за что. 296696 Добавлено через 13 минут(ы): LZ1AO, Сергей, для оценки напряжения на антенне есть направленный ответвитель. По поводу поиска оптимальных значений элементов согласующего Г-звена. Я сейчас обдумываю алгоритм. В computer science есть несколько методов нахождения кратчайшего пути из одной точки графа в другую DFS & BFS. Когда-то даже писал программу (передвижение коня на шахматной доске ) По сути - перебор емкостей и индуктивностей формирует граф значений. И используя один из этих методов искать оптимальные значения. Может есть что-то проще? Не хочется изобретать очередной велосипед. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 457] Автор : UT4UBK Дата : 19.09.2018 18:56 Тема закрыта. Обновлений больше не будет. Просьба Админа удалить тему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 458] Автор : LZ1AO Дата : 19.09.2018 19:48 Ето задача класса минимизации ошибки. Hill climb, gradient descent - должны работать, но в один пасс не верю. Двухпассовый прямой алгоритм описал в QEX 11/12 2016 W8MQW. В середине 2017, QEX May/June ? кто-то еще коментировал ето в раздел Letters to Editor, ЕМНИП. Наверное алгоритм W8MQW + поиск локалного минимума сработает. Классика в жанре - итеративная настройка по R (G) и потом по Phi. Я знаю две разновидности етого метода - с прямой настройки изменениeм елементов ( method of weights, не перебор!) , и грубой предварительной тихой установки звена по таблице (функция частоты и антенны) и допольнительной донастройкой. Второй вариант - бьстрее, но он кажется мне не очень подходяшим для Г-звена. Для бьстрой настройки я применял метод с некоторьм стеком из последньх 8 настроек по каждом поддиапазоне. ААСУ в начале пробует настроек из стека ( а вдруг уже имеем хорошую настройку? :-P) и лишь потом если надо, делает полний цикл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 459] Автор : SVd2004 Дата : 19.09.2018 20:08 Обновлений больше не будет. Просьба Админа удалить тему. Вот в этом большой недостаток SDR, зависимость от автора... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 460] Автор : UN7RX Дата : 19.09.2018 22:10 На CQHAM ничего не удаляется. Читайте Правила которые вы обязуетесь соблюдать при регистрации. И никто из пользователей не обладает особым статусом отличающимся от таких же пользователей для "особых пожеланий". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 461] Автор : SVd2004 Дата : 20.09.2018 07:36 Тема изначально некомплектна. Нет сборки операционной системы. Если у кого то есть, выложите... Без ОС это просто неработающее железо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 462] Автор : eu2av Дата : 26.11.2018 11:43 Автор Порадовал новым видео, значит проект все же живет, развивается:-P https://www.youtube.com/watch?v=32c7V9zQaX0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 463] Автор : UT4UBK Дата : 26.11.2018 13:47 Да, и очень быстро. Как и обещал, планирую выложить все исходники для сборки + бинарь. В формате форума это делать не очень удобно, много ключевой информации теряется, сейчас думаю - как будет лучше. Все запланированные шаги уже выполнены +добавлена поддержка CAT протокола. Подумываю о реализации передачи аудио по сети, чтобы получить трансивер для удаленной работы без компьютера (VPN на роутере и трансивер подключен в сеть). Также - реализация встроенного логгера с функицей эскпорта списка свзяей в ADIF и онлайн синхронизацией по UDP с Logger32 тк планируется работа на выездах, а таскать ноут с собой не хочется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 464] Автор : eu2av Дата : 26.11.2018 14:41 Константин Спасибо Хорошие новости. Может вам стоит сделать, какой то не большой сайт, посвящённый данной конструкции, было бы удобно в плане ознакомления, и хранения конкретной информации? Здесь продолжать обсуждения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 465] Автор : UT4UBK Дата : 26.11.2018 17:46 Да, наверное стоит сделать сайт - хранилище информации. Посмотрел ваш сайт, сделан на вордпрессе, выглядит очень пристойно. Лет 6 назад баловался им, надо будет восстановить навыки. Может еще какие нормальные движки с готовыми темами появились с тех пор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 466] Автор : eu2av Дата : 26.11.2018 20:48 Это конечно будет очень удобно, в пример можно привести много известных радиолюбительских сайтов. У меня все очень скромно, объем начального хостинга не плохой, 10гиг. Держите в курсе, будем ждать от вас новых новостей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 467] Автор : UN7RX Дата : 01.06.2019 06:37 Шикарный планировался аппарат. Все умерло?:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 468] Автор : SVd2004 Дата : 01.06.2019 07:06 Автор так, видимо, и планировал... Прошивка ни разу не выкладывалась! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 469] Автор : UN7RX Дата : 01.06.2019 07:44 В заголовке темы проект квартуса. Или что-то еще кроме ПЛИС собрались прошивать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 470] Автор : SVd2004 Дата : 01.06.2019 08:01 Я немного не правильно выразился, это не прошивка, а бинарный файл. (Софт- обычный бинарный файл (13M), заливается в NAND в U-BOOT.) Процессор работает на операционной системе QNX, плюс драйвера, плюс программа автора. Вот этого и нет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 471] Автор : UT4UBK Дата : 01.06.2019 08:23 Ну почему же - вот результаты измерений параметров http://www.cqham.ru/forum/showthread.php?39793-%C2%FB%E1%EE%F0-RF-%E3%E5%ED%E5%F0%E0%F2%EE%F0%E0/page2 на сегодняшний день. Тут последняя информация по ум 100W http://*****.com/smf/index.php?topic=696.150 Есть встроенный logger с поиском позывных qrz.com и на других сайтах и экcпортом импортом ADIF и онлайн загрузкой логов на qrz.com . Заканчиваются предискажения (реальные по амплитуде и фазе )для передатчика, есть встроенный спич процессор шестиканальный компрессор, восьмиканальный эквалайзер, фазовый роторатор, ESSB контроль огибающей, SPEEX based шумодав параллельно для двух приемников, запись скриншотов экрана итд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 472] Автор : SVd2004 Дата : 01.06.2019 08:47 Ну почему же - вот результаты С самого начала, автор держит руку в кармане и показывает всем фигу... :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 473] Автор : UT4UBK Дата : 01.06.2019 09:26 Странно, кажется вам я отсылал промежуточный проект в менторе. Есть платы ненужные под запайку, могу подарить. Только вы лично собирать будете? Куда слать платы и доки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 474] Автор : SVd2004 Дата : 01.06.2019 09:42 Странно, кажется вам я отсылал промежуточный проект в менторе... Куда слать платы и доки? Точно не мне. Выложите прошивку здесь и для всех... Я Вам ещё давно такой вопрос задавал http://www.cqham.ru/forum/showthread.php?35581-SDR-DDC-трансивер-ЕРМАК&p=1492888&viewfull=1#post1492888 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 475] Автор : UT4UBK Дата : 01.06.2019 10:03 Ок завтра выложу. Запускать на чем будете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 476] Автор : UN7RX Дата : 01.06.2019 11:26 UT4UBK, Константин, просто ваш трансивер и впрямь очень интересен, по сути, это первый аппарат в виде моноблока без компьютера с большим дисплеем на CQHAM о котором автор изначально заявил что он будет полностью доступен для повторения. Всякие VisAIR и Тюльпаны не в счет - это закрытые проекты и не имеют отношения к творчеству масс. Можно еще упомянуть конструкцию Геннадия, Аист 2, но там похоже беспрерывные модификации не переходящие в законченный аппарат. Это он у вас на аватаре? Отсюда и ожидания, так сказать.:smile: С самого начала, автор держит руку в кармане и показывает всем фигу... Почитайте последнюю главу в правилах. Об авторских ветках. Любые наезды на авторов ИСКЛЮЧЕНЫ. Вплоть до бана. Это стандартная формула во всех таких темах, сюда тоже сейчас добавлю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 477] Автор : ua3ycv Дата : 01.06.2019 11:49 платы ненужные под запайку, могу подаритьшлите мне-хоть делом займусь!Дорога в тысячу ли начинается с первого шага.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 478] Автор : SVd2004 Дата : 01.06.2019 11:51 Ок завтра выложу. Тогда, я приношу Вам свои извинения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 479] Автор : UT4UBK Дата : 01.06.2019 12:04 UT4UBK, Константин, просто ваш трансивер он у вас на аватаре?. Да это он. Сейчас ищу варианты нанесения надписей на кнопки. Завтра начну выкладывать доки на все семь плат -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 480] Автор : UN7RX Дата : 01.06.2019 12:28 Выглядит изумительно. Может стоит надписи не на кнопки, а на панель нанести? Чтобы не стирались. А так, переводным шрифтом можно, только как защитить... Лаком бы, но растворит же шрифт. Может чем то вроде скотча. :roll: PS Сорри, тема захлопнулась. Глюк. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 481] Автор : UN7RX Дата : 01.06.2019 15:57 Сейчас точно открыта. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 482] Автор : rx9cim Дата : 01.06.2019 16:27 Вариантов нанесения надписей много. Можно лазерную гравировку сделать. Если есть проблемы с пластиком, то можно сделать подушечки наулеиваемые на кнопки. Ли сразу сделать кнопки из удобоваримого пластика. Так же как вариант толкатели сделать из металла и на них сделать опять таки лазерную гравировку. Еще вариант - сделать морду из металла, а далее опять таки лазерная гравировка или выжигание. Самый тяжелый но качественный вариант - шелкография. В общем вариантов куча. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 483] Автор : R4DZ Дата : 01.06.2019 16:48 Можно еще упомянуть конструкцию Геннадия, Аист 2, но там похоже беспрерывные модификации не переходящие в законченный аппарат. Ну в отношении Аиста, вы вообще видимо не в курсе получается, есть qrp 5wt вариант, законченный полноценный трансивер, также есть новая разработка Аиста с большим 7 дюм дисплеем 100вт на выходе, на данный момент он стоит на столе и работает прекрасно.314517 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 484] Автор : UT4UBK Дата : 01.06.2019 17:42 Вариантов нанесения надписей много. Можно лазерную гравировку сделать.. Лицевая панель сделана из алюминия и обработана стеклоструем. По толкателям применил готовые пластиковые, закупил их папу тысяч. Поигрался с лазером, ничего толкового не вышло, зависит от цвета, либо пережигает, либо недожигает. Сделал оснастку, где все 26 кнопок зажимаются. Общаюсь с фирмами по тампопечати, хочу сделать два цвета. Они говорят, что есть очень стойкая немецквя краска, она въедается в пластик. Может и шелкуху, но поверхность кнопок имеет небольшие углубления под подушечки пальцев. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 485] Автор : rx9cim Дата : 01.06.2019 17:46 Раз панель из аллюминия, то можно лазером нанести. Это проще всего будет. Сейчас есть всякие конторы которые делают надписи (цветные в том числе) на сотовых телефонах, попробуйте к ним обратиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 486] Автор : UT4UBK Дата : 01.06.2019 17:58 У меня ест лазер свой. Но не о оставил места под надписи на панели . Фирма тампопечати готова сделать сто комплектов плюс оснастка за 400уе. Два цвета. Пока пытаюсь найти подешевле, чешу репу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 487] Автор : UT0UM Дата : 01.06.2019 20:59 Сделал оснастку, где все 26 кнопок зажимаются. тогда можно еще попробовать уф принтером ну который чехлы для телефонов печатает итд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 488] Автор : LZ1AO Дата : 01.06.2019 23:26 Нам делали в Китай толкатели по технологии Double Color Injection Molding. Примерно так выглядит ( не наши, картинка с интернет). 314540 Материал ABS или PBT, не помню точно. Но серия бьла большая, 100к примерно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 489] Автор : UN7RX Дата : 02.06.2019 02:34 законченный полноценный трансивер, также есть новая разработка Аиста с большим 7 дюм дисплеем 100вт на выходе, на данный момент он стоит на столе и работает прекрасно. Законченный полноценный вариант - это все в одном месте, человек пришел в тему, все в заголовке, прочитал - собрал. Покажите мне это одно место. То что разбросано по темам и гитхабам я и не должен быть в курсе. Как пользователь уж точно. Посмотрите на собирателей первого варианта "Маламута" - пришли, собрали, работают. Будет окончательный вариант для повторения, вопросы сами снимутся. И еще, я немного не прав насчет Тюльпанов, аналоговая версия полностью представлена для повторения, вместе с контроллером и бесплатна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 490] Автор : UT4UBK Дата : 02.06.2019 21:03 Выкладываю фото оснастки для печати на кнопках и эскиз надписей . С понедельника начну терзать фирмы- печать УФ по пластик, похоже самый дешевый вариант. 314562314563 По поводу отправки оставшихся плат в Россию. Завтра пойду на почту, узнаю как лучше через УкрПочту сделать. Если вдруг потребуется изготовить дополнительные - можно будет дозаказать. 314564 Цена 10 штук 4 слойной платы DSP будет около $59 без стоимости доставки. Возможно $32 engineering fee Не придется платить при втором заказе. Надо уточнить. В личку спрашивают по вопросу сборки в домашних условиях - я себе всегда заказываю стенсили для нанесения паяльной пасты ($8 с рамкой). Запекаю в китайской печке T-962 c алиэкспресса. На сборку одной платы такой сложности уходит пару часов Выкладываю фото оснастки для печати на кнопках и эскиз надписей . С понедельника начну терзать фирмы- печать УФ по пластик, похоже самый дешевый вариант. 314562314563 По поводу отправки оставшихся плат в Россию. Завтра пойду на почту, узнаю как лучше через УкрПочту сделать. Если вдруг потребуется изготовить дополнительные - можно будет дозаказать. 314564 Цена 10 штук 4 слойной платы DSP будет около $59 без стоимости доставки. Возможно $32 engineering fee Не придется платить при втором заказе. Надо уточнить. В личку спрашивают по вопросу сборки в домашних условиях - я себе всегда заказываю стенсили для нанесения паяльной пасты ($8 с рамекой). Запекаю в китайской печке T-962 c алиэкспресса. На сборку одной платы такой сложности уходит пару часов Добавлено через 12 минут(ы): Структурная схема TRX Ермак 314565 Для понимания - откуда взялось семь плат :-) Основной интерфейс межплатного управления I2C, поэтому межблочная коммутация сведена к минимуму. Основная плата DSP+TFT - 4 слоя Плата FE панели (26 кнопок +4 энкодера), плата DSP вставляется в нее через разъемы - 2 слоя Плата ADC+DAC фронтенда - 4 слоя Плата BPF (9 ch)+LNA - 2 слоя Плата PW - 2 слоя Плата PA+LPF - 2 слоя Плата ATU +sampler +ANT commutator - 2 слоя Сейчас продумывается вариант как сделать QRP 20-30W версию на четырех платах без ATU с более простым корпусом (что-то вроде монки) с тем же базовым софтом Основная плата DSP+TFT - 4 слоя Плата FE панели (26 кнопок +4 энкодера), плата DSP вставляется в нее через разъемы - 2 слоя Плата ADC+DAC фронтенда - 4 слоя Плата BPF+PA+LPF+sampler +ANT commutator - 2 слоя Первые три платы полностью идентичны в обоих вариантах. Те если человек собрал модули DSP+FE+FRONTEND - - это полноценный SDR трансивер, и использовать его в любом варианте. Для этого надо понимать насколько будет востребован QRP вариант. Основная идеология разработки (сформировалось уже в процессе работы) - получить недорогой "швейцарский нож" DDC трансивер с параметрами - не хуже DDC ICOM, и с большим запасом по аппаратной мощности в небольших габаритах. Добавлено через 17 минут(ы): Модуль DSP Модуль собран на четырех слойной плате 130х80мм В аттаче - полная документация для сборки версии 2.1 Под спойлером - фото собранной платы 314566314567 TFT индикатор с емкостным тачскрином и разъемами для подключения можно приобрести здесь https://www.buydisplay.com/default/5-tft-lcd-display-module-wvga-800x480-high-resolution-for-mp4-gps После сборки и проверки на нижнюю строну по размеру платы наклеивается тонкий изолирующий скотч и две тонкие полоски двухсторонней клеящей резиновой ленты (3М, для наклейки молдингов итд) для фиксации TFT индикатора Заливка ПО осуществляется в два этапа: Заливка UBOOT через UART разъем Заливка заставки и базового ПО c флешки или по сети TFTP используя ту же UART консоль В файле БОМа есть Digikey PN для более простого заказа. TI's детали лучше заказывать с TI online store Следует обратить особое внимание на две 36 пиновых 2мм разъема. Их надо брать с длинными выводами - 6.77 мм для надежно фиксации в ответном разъеме FE платы. Дополнение по компонентам: 1. OMAPL137 надо брать версию для максимальной частоты 456МГц 2. SDRAM память - я использовал Micron (есть на алике ) и только с частотой не меньше 167МГц. https://ru.aliexpress.com/item/MT48LC16M16A2P-6A-G-SDRAM-256MBIT-167MHZ-54TSOP/32754670224.html?spm=a2g0s.9042311.0.0.274233edwHfUWr Нашел очень дешевую память от другого производителя, по параметрам - удовлетворяет, можно попробовать https://ru.aliexpress.com/item/10-EM63A165TS-6G-TSOP-54/32956808141.html?spm=a2g0o.cart.0.0.1e4f3c00LcCrdQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 491] Автор : UT4UBK Дата : 05.06.2019 21:19 Прошу прощения за задержку с ответами в личку и дальнейшим выкладыванием материала. Траванулся - четвертый день пластом. Как отойду- сразу же сделаю все , что обещал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 492] Автор : UT4UBK Дата : 07.06.2019 22:46 2. Плата FPU (front panerl unit) Предназначена для крепления платы DSP, 26 кнопок, четырех механических энкодеров, разъемов. Все интерфейсные разъемы находятся на обратной стороне 314861314862 Рекомендуется использовать кнопки https://www.digikey.com/product-detail/en/te-connectivity-alcoswitch-switches/1825967-2/450-1655-ND/1632541 6х6 mm с размером штока 2.8 мм Тогда используемые толкатели SC603 становятся идеально По енкодерам - подходят любые с кнопокой, в ключах запуска программы есть возможность реверса направлений Я рекомендую Bourns https://www.digikey.com/product-detail/en/bourns-inc/PEC11R-4120K-S0018/PEC11R-4120K-S0018-ND/4699211 Цена немного дороже китайцев нонейм с алика, но гораздо надежнее. Ответные разъемы для DSP платы можно приобрести тут (обрезаются кусачками до нужного размера) https://ru.aliexpress.com/item/10-Pcs-Per-Lot-2mm-Pitch-40-Pin-Female-Single-Row-SMT-Pin-Header-Strip-PH/2041830988.html?spm=a2g0s.9042311.0.0.274233edQ6ps1s 314863 314864 314865 314866 314876 Добавлено через 29 минут(ы): 3. Плата фронтенда (FEND16) Основная плата, отвечающая за все приемные и передающие параметры трансивера ( экономить нельзя :рупор:) 4 слоя. Используется 16 разрядный ADC LTC2165 + 14 разрядный DAC AD9744. От усилителя на входе ADC отказался и не ошибся. Были получены следующие параметры: MDS 500Hz -134dB (without external LNA) Dynamical Range (ADC overload)- 130dB Точность опорного генератора 250ppb 314878 Измерения Blocking Level также были произведены , во всем динамическом диапазоне и всех расстройках уровень основной несущей 1mkv не изменялся. Компрессия началась только при уровне +3dBm. Основными конструктивными отличиями этого фронтенда являются: Вынос платы как можно дальше от "шумящих" цифровых плат трансивера DSP и экрана Использование LVDS линий для обмена данными Использование специальных малошумящего LDO Использование экранированных фильтров RLP-50+ от Mini Circuit https://www.minicircuits.com/pdfs/RLP-50+.pdf Использование межплатных экранов По деталям. FPGA можно уверенно брать на алике - проверено https://ru.aliexpress.com/item/EP4CE22E22C8N-ALTERA-TQFP144-D-C-14-New-and-original-KeXunDa-Electronic/32296709072.html?spm=a2g0s.9042311.0.0.274233ed99Wk3C. Для программирования используется вот такой коннектор http://www.tag-connect.com/TC2050-IDC Куплен один раз и используется во многих разработках В прошивке FPGA поддерживается: 2 основных приемника (сейчас на интерфейс выеден один 48K) 2 бандскопа - 48-960K Передатчик SPI для управления и конфигурации По планам (иными словами - когда будет время ): Включить поток от второго приемника, DSP часть поддерживает оба Поддержка ключа Из текущих доработок, что можно сделать - крепежные отверстия соединить с землей платы. 314871 314872 314873 314874 314875 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 493] Автор : UT4UBK Дата : 08.06.2019 14:33 Результаты измерения параметров передающей части трансивера Pвых = 90Ватт 1. Измерение уровня побочных продуктов в режиме CW (частота 14120кГц) -68 dB 314923 На всех частотах на удалении 32 кГц стоит "палка" с уровнем -55dB 314924 2. Измерение уровня гармоник выходного сигнала в режиме CW (частота 14120кГц), на выходе иcпользуется LPF фильтр 7 порядка (только на диапазоны 10 и 14 МГц). Уровень второй гармоники - 54dB, третьей -59dB 314925 3. Измерение уровня гармоник выходного сигнала в режиме CW (частота 7100кГц), на выходе иcпользуется LPF фильтр 5 порядка. Уровень второй гармоники - 51dB, третьей -60dB 314926 4. Измерение IMD двухтональным тестовым сигналом генерируется трансивером (частота 7100кГц). Разнос частот - 2.5кГц Результат - 35dB и 33dB для продуктов высшего порядка 314927 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 494] Автор : sgk Дата : 08.06.2019 16:31 Хорошо что в хозяйстве у Вас профессиональный анализатор спектра от R&S FSH4. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 495] Автор : UR3IQO Дата : 08.06.2019 19:33 От усилителя на входе ADC отказался и не ошибся. Были получены следующие параметры: MDS 500Hz -134dB (without external LNA) Dynamical Range (ADC overload)- 130dB Очень странные параметры (я правда предположил, что -134dB это -134dBm иначе цифра вообще ничего не описывает), скорее всего где-то ошиблись, децибел на 10 минимум. Давайте посчитаем. По входу АЦП сигнал с размахом 1B или 2В (не знаю в каком режиме он у Вас работает) даст Full Scale, на входе перед АЦП трансформатор 1:4 и управляемый аттенюатор. Будем считать их идеальными (что в общем-то не так, ну да ладно), тогда уровень FS будет +4..10дБм, SNR у АЦП 76.8дБ, дополнительный выигрыш по шумам от сужения полосы 10*log10(61.44MHz/500Hz) = 51дБ, соответственно чувствительность (MDS в полосе 500Гц) лучше -118..124дБм (если таки нет УВЧ) быть не может, или УВЧ есть? Насчет Dynamic Range тоже вопрос, а про какой именно ДД речь? Если от MDS до перегрузки, то лучше 128дБ согласно датащита быть не может, если с помощью УВЧ разогнать чутье до -134дБм, то ДД еще сузится (идеальных усилителей не бывает к сожалению). P.S. А что с интермодуляцией интересно вышло? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 496] Автор : UT4UBK Дата : 08.06.2019 20:27 Давайте я вам скриншоты и фото всего процесса подготовлю, чтобы не быть голословным. УВЧ нет, как и говорил. По Blocking GAIN compression - компрессия начиналась при уровне , когда ADC входил в перегрузку. Собственно говоря, посмотрите Шервуда, он для DDC приводит динамический диапазон For a direct sampling radio the value in the blocking column is the ADC overload point reference receiver noise floor По IMD - будет время и третий генератор, проведу измерения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 497] Автор : UR3IQO Дата : 08.06.2019 20:46 Давайте я вам скриншоты и фото всего процесса подготовлю, чтобы не быть голословным. УВЧ нет, как и говорил. Где-то ошибка - проверьте лучше все внимательно. -134дБм без УВЧ просто не может быть - я выше посчитал теоретический предел для этого АЦП (по сути они все не сильно отличаются в этом плане). Может генератор сифонит мимо аттенюатора. Без УВЧ для радио с прямой оцифровкой цифра нереальная. В принципе, если у Вас есть в программе ЦОС измеритель мощности сигнала ("правильный", в смысле среднеквадратичный с усреднением до логарифмирования), то достаточно его откалибровать (при достаточно большом уровне, чтобы всякие пролазы не влияли), потом подключить на вход 50Ом нагрузку, поставить полосу 500Гц и увидите MDS сразу :) компрессия начиналась при уровне , когда ADC входил в перегрузку. Оно по разному бывает. Я наблюдал когда при уровне 6дБ сверху перегрузки АЦП уровень тестового сигнала не изменялся. Лучше просто определять момент перегрузки, особого труда это не представляет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 498] Автор : UT4UBK Дата : 08.06.2019 20:56 Да, у меня именно так , при уровне сигнала выше уровня перегрузки ADC уровень опорного сигнала не изменялся. Поэтому и остановился на принятой методике. Проверил еще раз, поставил ступенчатый аттенюатор между генератором и входом (прошлый раз стоял на входе обычный 6dB ), при -127dBm, пик отлично различим на спектроанализаторе приемника. Завтра подключу к аудио плате в компе, чтобы спектролабом измерить точно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 499] Автор : UR3IQO Дата : 08.06.2019 21:03 при -127dBm, пик отлично различим на спектроанализаторе приемника. Стоп, стоп, стоп. Полоса бина спектроанализатора какая? А нам надо в полосе 500Гц. Вот из-за этого видимо и разница. Очень советую добавить измеритель мощности сигнала к приемнику, здорово помогает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 500] Автор : UT4UBK Дата : 08.06.2019 21:14 Полоса бина 6 Гц в спектранализаторе приемника. Измерения уровня сигнала к уровню шума производил в Spectrum Lab перед этим. Поставил Spectrum Plus. Можете дать настройки, для него для измерения уровня сигнал/шум в полосе 500Hz повторю и выложу. Не вижу проблем -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 501] Автор : Genadi Zawidowski Дата : 08.06.2019 21:21 Да вы у радио 500 герц поставьте и измеряйте то что пройдет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 502] Автор : UR3IQO Дата : 08.06.2019 21:26 Можете дать настройки, для него для измерения уровня сигнал/шум в полосе 500Hz повторю и выложу. Не вижу проблем А что там настраивать - есть несколько вариантов: 1. У него есть измеритель полной мощности 2. У него есть измеритель С/Ш (не знаю насколько он хорошо работает для наших применений с малым соотношением С/Ш). Собственно ни в первом ни во втором случае не надо что-либо мерять по спектру. О, Геннадий уже опередил меня... P.S. Еще проще в самом радио сделать измеритель мощности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 503] Автор : UT4UBK Дата : 08.06.2019 21:32 OK завтра попробую со спектролабом c двумя опциями, полосу фильтра в приемнике установлю в 500 Гц 1. Total power (+3dB RF ON) 2. SNR (+3dB RF ON) Так устраивает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 504] Автор : LZ1AO Дата : 08.06.2019 21:32 http://www.dg8saq.darc.de/AudioMeter/index.shtml Софт с DG8SAQ для измерения мощности и S/N в заданной полосе. Стандартное измерение: - Измеряем мощность шума (RMS) на НЧ вьход , Pn - Добавляем сигнал до удвоения мощности, P(s+n)=2xPn - Смотрим уровень сигнала с генератора, ето MDS в установленной полосе приема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 505] Автор : UT4UBK Дата : 08.06.2019 21:35 http://www.dg8saq.darc.de/AudioMeter/index.shtml Измеряет и S/N в заданной полосе. Отлично,и этой штукой попробуем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 506] Автор : UR3IQO Дата : 08.06.2019 21:44 2. SNR (+3dB RF ON) С SNR не +3дБ, а просто SNR=3dB. Это когда включен сигнал с генератора. Когда сигнала нет, то говорить об SNR бессмысленно. Т.е. в этом варианте поставили полосу в приемнике 500Гц, подали сигнал, выставили его уровень, чтобы SNR был равен 3дБ, смотрите сколько на генераторе это и будет MDS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 507] Автор : EU1SW Дата : 08.06.2019 22:26 134dBm иначе цифра вообще ничего не описывает), скорее всего где-то ошиблись, децибел на 10 минимумпочти на 20 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 508] Автор : UT4UBK Дата : 08.06.2019 23:02 http://www.dg8saq.darc.de/AudioMeter/index.shtml Софт с DG8SAQ для измерения мощности и S/N в заданной полосе. Стандартное измерение: - Измеряем мощность шума (RMS) на НЧ вьход , Pn - Добавляем сигнал до удвоения мощности, P(s+n)=2xPn - Смотрим уровень сигнала с генератора, ето MDS в установленной полосе приема. Так и сделал. Полосовой фильтр в приемнике 800-1300 Hz Выходной уровень генератора -107dBm. После него - ступенчатый аттенюатор. Скриншоты измерений: 1. Спектроанализатор программы. Подогнал полосу измерения программы (500 Гц) к полосе фильтра приемника 314961 2. RF OFF 314960 3. RF ON 314959 4. Скриншот с экрана трансивера 314962 Аттенюатор в положении -20dB Итого измеренный MDS без УВЧ -127dBm Потерями в кабеле 1м пренебрежем. Перегрузка ADC наступает при уровне сигнал а с генератора +9dBm -6dBm (attenuator) = +3dBm (красный квадратик возле S-метра) Итого: Blocking gain level 130dB 314965 Попробовал Spectra Plus - измерять неудобно. К сожалению, так и не услышал как лучше всего его приспособить к измерению MDS. Сергей, очередной раз спасибо за точный и профессиональный совет. Возможно, при использовании SpectraLab прошлый раз что-то не учел. Главное - найдена правильная методика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 509] Автор : LZ1AO Дата : 09.06.2019 00:07 Наверно лучше будет расширит полосу анализа в измерителе, так что все определялось только фильтром в трансивере. В принципе при таких измерениях, по НЧ, полоса измерителя мощности устанавливается примерно с 20 до 20000Hz, так что все возможные артефакты в слышимом НЧ диапазоне учитывались - наводки с сети, с блоками питания, широкополосный шум НЧ тракта, гармоники и т.д. Еще, вижу что в SW DG8SAQ установлен размер FFT 1024, у вас на панораме, судя по бин в 6Hz, наверно 8192. Не помню все возможности SW DG8SAQ, но наверно можно увеличить размер FFT в SW DG8SAQ до 8192 точек или более. Посмотрел АЦП, S/N измеряют с сигналом в -1dBFS. Так что - добавлять 1dB к S/N или производитель его уже добавил? Как думаете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 510] Автор : LZ1AO Дата : 09.06.2019 01:23 Добавлю. IMHO, почин ARRL измерят MDS, а не чувствительность при SINAD в 12dB или (S+N)/N в 10dB мне непонятен. Скажу больше - ето глупость того же сорта как их измерения НЧ анализатором с полосой фильтра в порядки ниже полосой приемника. Так и получаются у них в таблицах приемники с IMD3 вьше их RMDR :-P Моя практика - измеряю чувствительность при SINAD 12dB (или 20dB с CCITT или C-message взвешиванием если режим - NBFM) . На того ест стандартные измерители да и результат получается аккуратнее, чем при измерения (S+N/N) = 3dB. MDS или NF - их легко вычислить на базе измеренной чувствительности. Добавлено через 17 минут(ы): Или все таки есть какие-нибудь резоны (кроме как поднять MDS на 6-8 дб и потерять в динамике) его оставить? Я бь его оставил. В мобильном варианте использования трансивера с, как правило, неэффективной антенной - усилитель помогает. Японская тройка компании из за мобильную работу делает приемники в трансиверах с усилителями до 20dB, а не из за того что до сих пор не догадались, что можно обойтись только трансформатором. То что многие не выключают усилитель при работе с эффективными антеннами - другое дело.:-P По полосе измерения программы, просто хотел исключить наводки от мониторов итд. :-P Не делайте так, если не хотите потом дискутировать ето многократно с потребителями. Увеличить размер FFT - в Settings, Sample lenght, полосу - в Measure или курсорами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 511] Автор : EU1SW Дата : 09.06.2019 08:16 Приемник на базе LTC2165 наиболее вероятно может иметь MDS не лучше -123.7 дбм в полосе 500 Гц. Все остальное - от лукавого -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 512] Автор : SVd2004 Дата : 09.06.2019 08:44 Трансивер Odyssey-2 TRX (2017) на базе LTC2165 Чувствительность MDS(500) -127 дБ, с установленным УВЧ -134 дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 513] Автор : UT4UBK Дата : 09.06.2019 12:29 Я абсолютно согласен, что "осетра надо урезать" и -134dBm - моя ошибка. С другой стороны, производить вычисления в софте - тоже неправильно. Есть методики измерения, есть таблицы Шервуда, есть оборудование. "Бьют не по паспорту, а по лицу" :oops: Основная задача - понять , насколько в данном конструктиве реализованы параметры ADC и найти методику, которая позволяет сравнивать свои измерения с Шервудовскими. Скажу сразу, при таких измерения 3dB - есть очень много возможностей "пошалить" и подогнать результаты. Надо будет попробовать измерения, указанные Сергеем SINAD = -12dB (для начала найти методику) и сравнить результаты с измерениями MDS По совету LZ1AO Сергея - увеличил FFT до 8192, расширил полосу до диапазона звуковых частот 100-3000 Hz RF OFF 314997 RF ON 314996 Аттенюатар пришлось уменьшить на -3dB. Итого MDS 124dBm Blocking gain level 127dB Сергей EU1SW, если Вам не сложно, приведите ваш расчет MDS. Заодно и измерил подавление боковой. RF ON, USB, -101dBm 314999 RF ON, LSB, -13dBm 314998 Подавление боковой - 88dB на частоте 1kHz. Надо будет сравнить на частотах 150-200 Нz, так как там точность фазового сдвига уж меньше, хотя я специально подбирал коэффициенты для этого диапазона. Фазосдвигающие (-45 /+45) фильтры имеют размерность 192 tap. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 514] Автор : rx9cim Дата : 09.06.2019 14:33 Предполагаю что дело не в частоте, а в порядке фильтра. Какой сэмплрейт обработки? 12кГц? Попробуйте количество тапов увеличить. По хорошему порядок фильтров должен обеспечивать подавление за полосой не хуже динамического диапазона . Смысла нет делать как в аналоге - динамика отдельно, прямоугольность отдельно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 515] Автор : UT4UBK Дата : 09.06.2019 14:35 Предполагаю что дело не в частоте, а в порядке фильтра. Какой сэмплрейт обработки? 12кГц? Попробуйте количество тапов увеличить. Зачем? Этого значения с головой достаточно. Там ФЧХ имеет немного большие колебания в диапазоне от 20 до 120 Гц. Пришлось много крутить настроек, чтобы их уменьшить. С другой стороны , в SSB все равно ниже ста герц уже работает ФВЧ и прилично давит эту область частот. По порядку фильтра основной селекции - имеет смысл делать настраиваемую прямоугольность. Я сделал в настройках на выбор два типа фильтров IIR с большой прямоугольностью и FIR min phaze с меньшей. Особых искажений в IIR не заметил, наверно уши не "золотые". Разница хорошо ощутима в телеграфных фильтрах, там да, шум мягче. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 516] Автор : EU1SW Дата : 09.06.2019 14:39 Расчет не представляет никакого секрета и тайны. Из даташита имеем значение СШ для всей первой зоны Найквиста. Интересующая нас полоса 500 Гц. Выигрыш от изменения полосы составит 10*log10(61440/0.5)=50.9 дб, суммируем 76.8 + 50.9 =127.7 дб, это и есть ваш идеальный BDR для полосы 500 Гц, зная значение 0 дбфс находим MDS +4-127.7=-123.7 dbm -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 517] Автор : UT4UBK Дата : 09.06.2019 14:41 Расчет не представляет никакого секрета и тайны. Из даташита имеем значение СШ для всей первой зоны Найквиста. Интересующая нас полоса 500 Гц. Выигрыш от изменения полосы составит 10*log10(61440/0.5)=50.9 дб, суммируем 76.8 + 50.9 =127.7 дб, это и есть ваш идеальный BDR для полосы 500 Гц, зная значение 0 дбфс находим MDS +4-127.7=-123.7 dbm А учет трансформатора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 518] Автор : EU1SW Дата : 09.06.2019 14:48 +4 дбм для 0 dBFS это и есть учёт трансформатора, без трансформатора надо было бы считать относительно +10 дбм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 519] Автор : rx9cim Дата : 09.06.2019 15:23 Ut4ubk - мой опыт с iir фильтрами говорит об их абсолютной нормальности для восприятия на слух при более менее широких полосах. Для узких полос высокие порядки iir могут вызвать звон, сталкивался с этим. По поводу 88дБ - т.е. как бы говорится что ДД по забитию 127дБ, но при этом трансивер будет слышать все что выше 88дБ по второй полосе. Не кажется ли вам это нелогичным? Да, в аналоговых трансиверах так и было, т.к. сложно реализовать высокое подавление за счет ограниченности ФОС. Но в цифре можно всякие чудеса сотворить и получить лучший результат. Потом не совсем понял про зависимость подавления от частоты - в полосе удержания ких фильтры имеют линейный фазовый сдвиг. Откуда зааисимость? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 520] Автор : LZ1AO Дата : 09.06.2019 15:42 Без сигнала -49.207дБ, с сигналом -44.516дБ. Т.е. соотношение с/с+ш у Вас 4.691дБ. Не на то смотрите. В красном на картинках - общая мощность, с сигналом и без. Все в порядке, разница ~3dB. О методиках - методика измерения SINAD позволяет подать сигнал непрерывно и исключит влияние АРУ, но в измерителе необходим Notch filter на частоту сигнала с подавлением не менее 30-40dB и полосой подавления на уровне 3дБ не более BW/10, где BW - полоса фильтра измеряемого приемника. Легче всего измерить (S+N)/N, без АРУ. Измеряем Pn, потом P(S+N), потом считаем. Метод предполагает, что нелинейные искажение сигнала приемником достаточно ниские. O NF - я согласен с Вами, он характеристика более чем достаточная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 521] Автор : rolin Дата : 09.06.2019 17:48 LZ1AO, UR3IQO, что за тяга писать огромные простыни ни о чем ? Ваши трансиверы в таблице Шервуда не окажутся, не переживайте. И наши тоже. А поэтому, калибруем С-метр приемника по известному уровню и меряем его собственный шум тем же С-метром в полосе 500 Гц в режиме усреднения 5-10 раз. Получаем цифру значения минимально различимого сигнала - MDS. С помощью внешнего генератора определяем уровень переполнения АЦП, используя все тот же калиброванный С-метр. Отнимаем от полученного значения MDS и имеем BDR - диапазон входных сигналов, ограниченный блокировкой тракта. Так как весь последующий тракт обработки цифровой и собственного шума не привносит, то и полученные цифры будут достаточно точны относительно всего тракта РЧ-вход - ЗЧ-выход. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 522] Автор : UT4UBK Дата : 09.06.2019 19:13 Я об этом писал вчера, с расчетом почему: Олег, я вам благодарен, что вы заметили несоответствие в результатах измерения с теоретическими выкладками. И спасибо участникам форума (я всегда это отмечаю) - повторил эти измерения, "урезал осетра" и выложил результаты. Также объяснял, зачем делаю эти измерения именно таким образом - хочу иметь, именно, инструментальные подтверждения параметров. К сожалению, вы пропустили это и третий раз настойчиво повторяете одно и тоже. Я вас услышал. Да, я сделаю так , как вы советуете, в будущем, если будет время, возможно, когда-нибудь. Кстати, АРУ было отключено - смотрите скриншот экрана. rx9cim По IMRR. В 7300 и 7610 трансиверах эта величина также заявлена >70dB. Поэтому не вижу смысла гнаться за параметрами >100dB. Если вы приведете спецификацию современного трансивера с такими параметрами IMRR - с удовольствием посмотрю, и если - останется память в DSP (два канала speex для основного и суб приемника очень прожорливы по памяти) - увеличу количество тапов во BP фильтрах с фиксированными задержками +-45 градусов. По их ФЧХ - у них точность падает при выходе за пределы полосы пропускания. Но "играясь" параметрами и снижаю частоту нижнего ската можно получить хорошую точность и на границе нижнего ската. Добавлено через 32 минут(ы): Небольшое дополнение по встроенной программе - лог. Ниже скриншот ее основного окна: 315009 Переход в лог и обратно осуществляется по нажатию F12 выносной клавиатуры. Очень удобно иметь небольшую беспроводную PC клавиатуру, приемник подключается в задний разъем USB. Технических языком говоря - внутри поднят sqlite сервер баз данных, поэтому все возможности взрослых программ логов в ней поддержаны, SQL поиск связей по базе, удаленный запрос по сети данных позывного. Сейчас поддерживаются три сервера - qrz.com qrzcq.com и hamqth Можно добавить и qrz.ru , но пытался дважды на нем ввести свои данные, сайт ругается, что не хватает чего-то еще, отложил это дело. Естественно - надо иметь XML подписку (вводится в настройках). Подгрузка данных по позывному производится в фоне, зеленый значек - данные есть, красный - данных нет, синий- идет запрос. В правом верхнем углу выводятся найденные QSO в базе по маске ввода позывного. Шрифты поддерживают UTF8, поэтому с представлением русскоязычных символов (достаточно частое явление ) тоже нет проблем. Информация вводится как с клавиатуры, так и с экранной "клавы" по каждому полю. Для переходя в режим передачи, находясь в логе, можно использовать специальную клавишу на клавиатуре. Также есть возможность запуска записи QSO на флешку находясь в логе. Можно постранично просмотреть всю базу, отредактировать необходимые QSO: 315010 Скриншот экрана редактирования просмотра QSO: 315011 Пока не добавлены поля редактирования даты и времени связи. Также уже есть поддержка загрузки лога онлайн на qrz.com и экспорта/импорта в ADIF (написана специальная утилита). Теперь дело за поддержкой в UI. Меня спрашивают - зачем это, если по CAT (сетевой CAT сервер также есть) можно подключить любой внешний логгер 1. Иногда просто лень включать комп. Сейчас - всегда пользуюсь только встроенным логом 2. Работа на выездах, опять не надо тащить ноут и все, что с этим связано. Было бы очень полезно услышать соображения, что еще можно в нее добавить. Заранее благодарен -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 523] Автор : LZ1AO Дата : 09.06.2019 19:37 LZ1AO, UR3IQO, что за тяга писать огромные простыни ни о чем ? Не хамите. Не Вам я пишу да и читать мои писания не обязываю. То, что изволили написать о метод измерения MDS и BDR - хорошая иллюстрация Вашего уровня незнания реалии в индустриальной практики. Параметры доказываются инструментальным способом, сертифицированными приборами, а не показометром, каким является предложенный Вами калиброванный S-метр. Автор ветки совсем ясно объявил что интересуется инструментальными способами измерения. О них я и написАл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 524] Автор : UT4UBK Дата : 09.06.2019 20:22 rolin https://www.findchips.com/search/LTC2165 Сейчас нельзя, в ордере на диджике есть. Обычная история. Встречал и на российских складах остатки. На алике - но не рисковал. Всегда можно подождать. Плюс если что-то выйдет по новее, можно быстро переразвести плату в том же форм факторе. У меня была похожая история с TPS82130 и LP38798 для фронтенда, перешел на TPS82140. Для второго - нашел остатки на московском складе. Через 3-5 месяцев - на складах обе позиции в больших объемах По встроенным вычислениям никто не будет проверять параметры. Поэтому, надо быть уверенным в том, что заявляешь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 525] Автор : LZ1AO Дата : 09.06.2019 21:41 По GUI логгера: - добавка десятичной за килогерцами точки в столбец FREQ, например 14032.258, позволить читать легче. - колонка BAND - если нет специальных причин можно и не отображать, IMHO Модератору: Пожалуйста, удалите мои сообщения в ветке. Кому надо было - прочитал и понял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 526] Автор : UT4UBK Дата : 10.06.2019 20:06 Плата усилителя мощности PA (rev 2.1) Усилитель сделан по стандартной схемотехнике на связке транзисторов RD16 + RD100 На плате установлены цифровые формирователи напряжений смещения (4 канала), измерители токов драйвера и выходного каскада с электронной защитой от перегрузки по току, цифровая схема управления оборотами вентилятора (3 канала), цифровой датчик измерителя температуры. Все настройки задаются по I2C шине. Выход усилителя нагружен на шестиканальный LPF (пятого порядка) для всех любительских КВ диапазонов. Для диапазонов 30 и 20 метров используется LPF седьмого порядка для получения приемлимого подавления высших гармоник диапазона 20 метров. Используемые кольца - T50-2 (10 штук, для диапазона 160 метров используются склеенные по два кольца), T50-6 (7 штук) В качеств разъема питания используется авиамодельный разъем XT30 Для цифровой части управления можно установить экран для защиты от наводок. Но как показал практика, можно обойтись и без него Порядок сборки платы усилителя Собираем плату согласно сборочному чертежу. Индуктивности LPF пока не запаиваем. 315085 Несущая конструкция всего усилителя - готовый радиатор https://ru.aliexpress.com/item/2pcs-High-power-heatsink-LED-heat-sink-125-45-125-Fan-Heatsink/32397384946.html?spm=a2g0s.9042311.0.0.274233edCWfvOq Предварительно , в радиаторе необходимо нарезать резьбу (по прилагаемому чертежу) и сделать одну выборку. Наклеиваем изоляционную пленку https://www.fiberflon.de/Products/PTFE-Glass-Fabrics-Self-Adhesive/Standard-Series/Page-301-17.aspx Она свободно продается для "кондицонерщиков" Накладываем собранную плату,острым скальпелем делаем вырезы под транзисторы и отверстия 315086 Подключаем пигтейл, как показано на фото. Нагружаем выход усилителя 50 омной нагрузкой Запаиваем индуктивности Подаем внешние 12 вольт на тествую точку TP3 С помощью VNA проверяем S21 и S11 параметры Повторяем эту процедуру для других диапазонов 315087 Очищаем плату после настройки всех LPF Заливаем и фиксируем катушки из пистолета жидким клеем Отрезаем 110 мм semi rigid bypass cable RG405 https://ru.aliexpress.com/item/1-RG405/32851715042.html?spm=a2g0s.9042311.0.0.3e4c33edetg2Yk Формуем его 315088 Запаиваем его на плату 315089 Четырьмя винтами прикручиваем плату к радиатору, рекомендуется подкладывать гроверные шайбы 315090 315091 Добавлено через 13 минут(ы): Изготовление выходного трансформатора Выходной трансформатор (1:16) намотан на сердечнике BN-61-002 с помощью 25 коаксиального кабеля (https://ru.aliexpress.com/item/RF-coaxial-cable-25-ohms-Low-Impedance-Coaxial-Cable-Microwave-cable-25R-1M-LOT/32805400938.html) Отрезаются четыре куска кабеля длиной 120 мм. Дальнейшая сборка понятно из фото: 315092 315094 315095 315096 315097 315098 Устанавливаем трансформатор на плату 315099 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 527] Автор : rx9cim Дата : 10.06.2019 20:31 rx9cim По IMRR. В 7300 и 7610 трансиверах эта величина также заявлена >70dB. Поэтому не вижу смысла гнаться за параметрами >100dB. Если вы приведете спецификацию современного трансивера с такими параметрами IMRR - с удовольствием посмотрю, и если - останется память в DSP (два канала speex для основного и суб приемника очень прожорливы по памяти) - увеличу количество тапов во BP фильтрах с фиксированными задержками +-45 градусов. По их ФЧХ - у них точность падает при выходе за пределы полосы пропускания. Но "играясь" параметрами и снижаю частоту нижнего ската можно получить хорошую точность и на границе нижнего ската. Я ведь не про хуже/лучше говорю, а про логично/нелогично. Мое мнение что IRR должно быть не хуже динамики по забитию. IMHO. С учетом того, что это не КФ паять и настраивать, а все софтово, на относительно мощных ресурсах. Иначе картина выглядит так, что пусть BDR будет много дециблов, что как бы намекает на пригодность для работы в тестах, но с другой стороны в тех же самых тестах будет слышно станции стоящие в стороне. Затухание за полосой можно улучшить не только за счет порядка фильтра получить, но и так же за счет применения оконных функций. Какой сэмплрейт основной обработки (после децимации если она используется)? Для телеграфа порядок фильтра так же 191? Или применяется подчистка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 528] Автор : UT4UBK Дата : 10.06.2019 20:46 Настройка выходного трансформатора В каждое из плеч выходного транзистора запаиваем в параллель 3x4.7ом резисторы + 160pF конденсаторы (размеры 1206). Подключаем VNA к пигтейлу (запаян в на предыдущем этапе). Проверяем КСВ (в диапазое 1-30 МГц) и подстраиваем, если это необходимо емкостями C17,C18 На скриншоте - результаты настройки 315100 Окончательный монтаж Монтируем транзисторы через тонкий тонкий слой термопасты. В вырезе печатной платы монтируем датчик температуры - транзистор 2N3904 в корпусе TO-92. Прижимаем плоской частью к радиатору, формуем выводы и припаеваем к монтажным площадкам. Экраинируем от наводок сверху медной фольгой. Прикручиваем вентиляторы (один или две штуки) с помощью саморезов в ребра радиатора. Установка вентилятора сверху радиатора не оправдала себя, поэтому - лучше поставить в торец https://ru.aliexpress.com/item/Free-Shipping-efb0412vhd-40mm-4020-DC-12v-0-18a-4cm-server-inverter-computer-cpu-axial-blower/32819187956.html?spm=a2g0s.9042311.0.0.274233edCr5KZm Подкладываем под вентилятор резиновые кольца для гашения вибрации https://ru.aliexpress.com/item/100-7-x-3-x-2/32860377686.html?spm=a2g0s.9042311.0.0.274233edteEO9Y Такого вентилятора (именно 2 см толщиной) достаточно для повседневной работы. Монтируем латунные 25 мм стойки https://ru.aliexpress.com/item/M3-Male-x-M3-Female-8mm-Long-Hexagonal-Brass-PCB-Standoffs-Spacers-50-Pcs/32823612285.html?spm=a2g0s.9042311.0.0.274233edRTZnsm Монтируем симметрирующий дроссель 315101 315103 315104 Добавлено через 14 минут(ы): Для IIR фильтров 32 порядка подавление в полосе затухания 120 dB Коэффициент прямоугольности 2.05 (если ничего не забыл, отдельно ВЧ и НЧ скаты), все коэффициенты предварительно расчитываются в матлабе и загружаются в хост процессором. По FIR все попроще и он используется в качестве shape фильтра на ВЧ бандах, где не нужна супер избирательность по соседнему каналу, ну и "золотых" ушей. Большим недостатком длинных FIR фильтров я считаю большую задержку. Обработка ведется на 24ksps Поэтому избирательность по соседнему каналу нормальная. Я имею ввиду - подавление верхней-нижней боковой и она полностью зависит от точности BP фильтров со сдвигами +-45 градусов в IQ каналах Добавил файл радиатора с местами обработки и нарезания резьбы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 529] Автор : rx9cim Дата : 10.06.2019 22:15 Может 1.05 вместо 2.05? Потому как у ЭМФ Кп что то около 1.4-1.6был,что считалось весьма неплохо. Кп =2 это что то на уровне 4х кристального КФ. Все дело в том, что на 24кГц фир 191 порядка будет иметь при полосе 500Гц затхание за полосой не более около 60дБ, это причем будет уровень риплов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 530] Автор : UT4UBK Дата : 10.06.2019 22:47 Да 1.хх сорри. Если честно я не понимаю, о чем мы говорим уже. Подавление нерабочей боковой около 90дб. Избирательность по соседнему каналу больше 100 и зависит от выбранного фильтра. У iir это больше, у fir меньше, и его лучше применять для телеграфных сигналов. Фир 191 порядка можно спроектировать с хорошим подавлением в полосе затухания и никакой прямоугольностью и наоборот. Точнее любой фильтр заданной длины. Добавлено через 13 минут(ы): Я кажется понял, в чем недопоримание. В ССБ у меня и используется обычный фазочый метод подавления нерабочей боковой, в телеграфе метод уивера и частоту тона можно задать в меню -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 531] Автор : SVd2004 Дата : 11.06.2019 05:11 По встроенным вычислениям никто не будет проверять параметры. Есть ли у трансивера встроенная система контроля параметров? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 532] Автор : UT4UBK Дата : 11.06.2019 11:43 Если вы имеете ввиду сервисное меню - да. Это можно сделать, используя консоль. К консоли можно получить доступ тремя способами Подключить UART-USB коннектор к сервисному разъему сверху платы (снять верхнюю крышку корпуса) Подключиться по сети по telnet Непосредственно в самой программе приемника есть вывод консоли на встроенный TFT дисплей (выход через меню) 315134 315133 Доступ к консоли защищен паролем. Для получения состояния текущего статуса PA надо набрать следующую команду cat /dev/pa 315135 Для тонкой настройки параметров PA набрать patune 315136 С помощью текстового меню можно настроить все параметры усилителя - токи покоя, температурный уставки, максимальные токи итд В принципе, можно сделать подобное и для сырых данных DSP - показание S метра итд но эта задача сейчас не в приоритете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 533] Автор : R6BK Дата : 11.06.2019 13:53 Плата Добрый день. ДПФ и плату питания, а так же АТУ будете публиковать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 534] Автор : UT4UBK Дата : 11.06.2019 14:05 Обязательно и бинарники также, и степы корпуса, и порядок сборки. И результаты измерений. Очень много материала, надо структурировать. Все ,как и обещал два года назад -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 535] Автор : RA1TEX Дата : 11.06.2019 14:32 на 50МГц усилитель не расчитан? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 536] Автор : UT4UBK Дата : 11.06.2019 14:43 Работать будет , надо поменять конденсаторы для выходного трансформатора. С LPF сложнее, при желании можно добавить еще один канал, место на плате есть Но точно не сейчас -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 537] Автор : R6BK Дата : 11.06.2019 14:46 Обязательно Спасибо. И еще, кооперироваться по платам будем? Или автор будет распространять? Самому заказывать, уж очень дорого обходится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 538] Автор : UT4UBK Дата : 11.06.2019 14:51 R6BK Давайте я выложу все материалы и реально оценим , какое кол-во людей захочет повторить. Можно сделать по схеме краудфандинга, получить гарантированные предзаказы и предоплаты на комплект плат и заказать нужное кол-во. Также можно на производстве заказать сборку наиболее сложных плат - DSP и Frontend По BGA - не так много людей на форуме, которые смогут их правильно распаять. Я для себя паяю только в печке. Главное , чтобы коллеги из Китайской народной республики не подсуетились раньше. Есть метод защиты у Кости Сапрыкина :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 539] Автор : RA1TEX Дата : 11.06.2019 15:04 С бга корпусом мало повторят а китайцы точно сопрут -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 540] Автор : R6BK Дата : 11.06.2019 15:09 Давайте я выложу все материалы и реально оценим Ок! Будем ждать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 541] Автор : UT4UBK Дата : 11.06.2019 15:16 С бга корпусом мало повторят а китайцы точно сопрут Если перевести на OMAPL138, он немного дешевле и быстрее (сейчас OMAPL137), у него есть шифрование (встроенный ключ) и подпись прошивки. Тогда нет, а без софта это просто кирпич, он им не нужен -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 542] Автор : greekk Дата : 11.06.2019 16:59 За прошивкой в ЛС. Каждая прошивка строго индивидуальна. Например как это сделал автор прибора ОСАмини. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 543] Автор : UT4UBK Дата : 11.06.2019 18:16 Да согласен, плюс есть возможность в самом устройстве регистрации по сети. Сейчас в прошивке уже есть FTP и SFTP клиенты для скачивания обновлений Надо только подключить скрипты для этого Результаты настройки выходных LPF усилителя мощности (S21 & S11) 30/20m bands (7th order) 315191315190 12/10m bands (5th order) 315193315192 17/15m bands (5th order) 315195315194 60/40m bands (5th order) 315197315196 80m band (5th order) 315199315198 160m band (5th order) 315201315200 Конденсаторы (точность 5%) подбирались по минимуму VSWR в рабочей полосе при фиксированных количествах витков. LPF повторен в 2 экземплярах и получены идентичные характеристики. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 544] Автор : Phoenix Дата : 11.06.2019 18:37 Константин, добрый вечер! В вырезе печатной платы монтируем датчик температуры - транзистор 2N3904 в корпусе TO-92. Еле нашел его на схеме. :-P А почему не допустим DS18S20? Установка вентилятора сверху радиатора не оправдала себя, поэтому - лучше поставить в торец Можно подробнее, что не получилось? Ещё вопросы по схемотехнике УМ. 1. Почему нет блокировочных конденсаторов со стороны анодов D3, D4, D17, D18? 2. Зачем R22 и R5-R7? Вы как-то эти узлы рассчитывали, обмеряли? 3. L1 на схеме и фото разное количество витков. Я думаю L1 уйдет в насыщение (большой ток, маленькое кольцо, много витков), ошибаюсь? 4. L2 тоже не точность - на схеме 13 витков, на фото 12. Также вопрос по насыщению, не сильно ли много витков? 5. При горизонтальном расположении L1, L2 (близко к полигонам ПП) проблем не было? Вопрос не праздный, сам так хочу сделать. :-P 6. LPF - это Ваша разработка? Нечасто в самодельных р/л конструкциях катушки внутри фильтра разного номинала. :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 545] Автор : UT4UBK Дата : 11.06.2019 19:00 Phoenix DS18S20 В схеме используется специализированный контроллер вентилятора. В него просто загружается таблица обротов в зависимости от температуры. Плюс по i2c можно читать температуру, убиваем двух зайцев. Плюс он работает как защита от температуры, и цена как у одного DS18S20. Вообще с цифровыми компонентами на плате PA надо быть осторожным, убиваются наводками на раз По вентилятору - производительность в торце повыше, хотя с таким количеством ребер - этот радиатор предназначен для конвекционного отвода тепла. Плюс перевернутый вентилятор (80 мм) - работает на вытяжку, и крыльчатка слетает под своим весом через некоторое время. Да и дует он прямо в крышку, в ней надо делать серьезные прорези, сыпится мусор итд Возможно увеличить на 20 мм длину корпуса и установить низкооборотный вентилятор большего диаметра в торце 1. Почему нет блокировочных конденсаторов со стороны анодов D3, D4, D17, D18? Это стандартная для айкомов схема автосмещения, немного улучшает IMD 2. Зачем R22 и R5-R7? Вы как-то эти узлы рассчитывали, обмеряли? R22 обычный антипаразитный резистор. R5-R7 - реализует схему сумматора, полгода назад на этой ветке обсуждали. Также стандартная схематика для айкомовских усилителей 3. L1 на схеме и фото разное количество витков. Я думаю L1 уйдет в насыщение (большой ток, маленькое кольцо, много витков), ошибаюсь? Не думаю, холодный 4. L2 тоже не точность - на схеме 13 витков, на фото 12. Также вопрос по насыщению, не сильно ли много витков? Тоже самое 6. При горизонтальном расположении L1, L2 (близко к полигонам ПП) проблем не было? Вопрос не праздный, сам так хочу сделать. Не было. Основная причина установки горизонтально - уложиться в 20 мм высоту LPF - это Ваша разработка? Нечасто в самодельных р/л конструкциях катушки внутри фильтра разного номинала. :-P Да, оптимизировал для повторяемости -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 546] Автор : UT4UBK Дата : 14.06.2019 13:59 По транзисторам для PA , брал дважды тут https://ru.aliexpress.com/item/RD100HHF1/32281191885.html?spm=a2g0s.9042311.0.0.274233edY6705K По $28, теперь по $22 плюс появилась версия с С в конце партнамбера. Никто не знает , чем они отличаются , кроме ка более короткими выводами? Вот нашел их на taobao по $17 315377 Есть у меня чувство, что кто-то кого-то ****** начал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 547] Автор : Genadi Zawidowski Дата : 14.06.2019 14:10 Никто не знает , чем они отличаются , кроме ка более короткими выводами? На мой взгляд, другой корпус (пониже немного и короткие выводы) хорошая защита от перемаркировки "не С" версий. Суда по даташиту, чуть выше усиление (в том числе на малых сигналах). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 548] Автор : UT4UBK Дата : 14.06.2019 14:26 Надо пробовать Если ОК , по $17 - очень хороший проверенный вариант. Хотя размытый принт на корпусе немного смущает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 549] Автор : LZ1AO Дата : 14.06.2019 16:00 Версия C появилась в 2017 или 2018, ЕМНИП. Mitsubishi заменили тогда кое-кто из доставщиков корпусов и золотой проволоки. Примерно в второй половине 2016 / начало 2017 из за етого производство некоторых LDMOS даже приостановили на несколько месяцев. По моему сейчась они вьпускають только RD100HHF1C. Что не нравиться мне на некоторьх фото с Али, я не видел RD70HVF1C ( используем примерно 5к в год) без фирменного знака или с маркировке в синий цвет. Полагаю, что с RD100xxx должно бьт подобное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 550] Автор : UT4UBK Дата : 14.06.2019 16:21 Вот, похоже, локальный бренд, который перемаркировывают под Mitsubishi https://ru.aliexpress.com/item/RD100HHF1-SMD/32989622471.html?aff_platform=aaf&afref=&dp=b92faa6c514183b399cde271f329d9a5&onelink_item_from=32989622471&algo_expid=adcc6a2c-6240-4ae7-910d-a6aba6d5da35-12&onelink_thrd=0.015&ws_ab_test=searchweb0_0%252Csearchweb201602_9_10065_10068_319_10059_10884_317_10887_10696_321_322_10084_453_10083_454_10103_10618_10307_537_536%252Csearchweb201603_52%252CppcSwitch_0&pvid=1db1a110-5002-441b-a34b-d4c6f46f612c&onelink_duration=0.758876&sk=VnYZvQVf&onelink_status=noneresult&scm=1007.22893.125781.0&terminal_id=c661fca4fe1e4f7e911c78cc7c64475d&algo_pvid=adcc6a2c-6240-4ae7-910d-a6aba6d5da35&af=857570&cpt=1560518298030&spm=a2g0v.search0604.3.81.57012d61sW0bJD&transAbTest=ae803_3&onelink_page_from=ITEM_DETAIL&cv=47843&onelink_item_to=32989622471&mall_affr=pr3&aff_trace_key=d2f67ee88a914c41a791b17efe03ba6b-1560518298030-08711-VnYZvQVf&onelink_page_to=ITEM_DETAIL https://www.ampleon.com/ Их сайт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 551] Автор : RA1AGB Дата : 14.06.2019 16:26 Нет, Ampleon это отвалившийся кусок от NXP (в прошлом Philips). Короче библейская история, Philips родил NXP, NXP родил Ampleon... Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 552] Автор : UT4UBK Дата : 14.06.2019 17:34 Небольшой апдейт по софту. В софт был добавлен шестиканальный аудио компрессор + фазовый ротатор. Основная часть компрессора это аудио кроссовер. За основу была взята по следующая структура: 315408 Сначала, 4 канальный вариант был просчитан в матлабе и получены вот такие результаты: 315409 Результат моделирования АЧХ 315410 После этого в железе была реализована шестиканальная версия кроссовера. В принципе, мощности позволяют реализовать и 8 и 12 канальные версии, тк IIR фильтры не требуют много памяти для сохранения промежуточных результатов 315411 Нижний пункт меню - количество ступеней фазового ротатора. Также , находясь в этом меню можно осуществлять самоконтроль и запись на флеш диск для последующего прослушивания на PC 315412 Добавлен дополнительный пункт Specch processor . Все настройки можно сохранять в трех пользовательских пресетах (+2 заводских пресета). Также понравился алгоритм CESSB контроля огибающей. Насколько я знаю, флексы его имплементировали в 6ххх серии 315413 И добавление по 8 канальному эквалайзеру. В трансивере сейчас три эквалайзера: на передачу, отдельно для наушников и встроенного динамика. Также есть 3 (2 в микрофонном канале) пользовательских пресета (+3 заводских) 315414 Остался открытым вопрос по ревербератору, тк не увидел в таких сигналах особых преимуществ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 553] Автор : UT4UBK Дата : 16.06.2019 14:52 Плата PW power distribution На плате расположены сетевые и USB разъемы, разъем ввода питания. Предусмотрена возможность замера общего тока усилителя мощности, но эти детали не запаиваются, тк ток PA можно замерять непосредственно на нем, и поддержку в софте этого измерителя я исключил. U4 U5 - защита для сетевого соединения USB разъем - обычный, вертикальный, right angle. Я использовал китайский, что был в библиотеке. С обратной стороны в плату впаиваются силиконовые AWG12-14 провода (100мм) для подачи питания на PA. На конце запаиваются клеммная колодка XT30 https://ru.aliexpress.com/item/10-XT30-XT60-XT90/32979338310.html?spm=a2g0v.search0604.3.1.185259a7Ljb4mH&transAbTest=ae803_3&s=p&ws_ab_test=searchweb0_0%2Csearchweb201602_9_10065_10068_319_10059_10884_317_10887_10696_321_322_10084_453_10083_454_10103_10618_10307_537_536%2Csearchweb201603_52%2CppcSwitch_0&algo_pvid=f8d936ce-cc46-41af-ba34-b8c2b1630363&algo_expid=f8d936ce-cc46-41af-ba34-b8c2b1630363-0 315523 Весь внутренний монтаж (прокладка кабелей питания) начинается с этой платы. Позже будут выложены размеры каждого кабеля и порядок сборки. 315524 На заднюю панель устанавливается разъем под джек 3.5 мм для PTT и двум проводами подключается к разъему X11 По недоступности LTC2165 Вот этот товарищ ответил, что они у него есть на складе https://ru.aliexpress.com/item/1-LTC2165CUK-LTC2165IUK-LTC2165UK-LTC2165-QFN-48-IC/33004624835.html?spm=a2g0v.search0604.3.1.4f664d2awayNdW&transAbTest=ae803_3&ws_ab_test=searchweb0_0%2Csearchweb201602_9_10065_10068_319_10059_10884_317_10887_10696_321_322_10084_453_10083_454_10103_10618_10307_537_536%2Csearchweb201603_52%2CppcSwitch_0&algo_pvid=d3b12ac6-7e0a-4fb7-954b-ee2109ee5162&algo_expid=d3b12ac6-7e0a-4fb7-954b-ee2109ee5162-0 Никто не заказывал ADC у китайцев? Необходимо собрать еще несколько комплектов фронтэнда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 554] Автор : UT4UBK Дата : 16.06.2019 17:07 Плата BPF На плате расположены 9 каналов диапазонных полосовых фильтров и LNA. Индуктивности намотаны на кольцах T37-6 (9 штук), T37-2 (6 штук), FT37-67 (6 штук), FT37-61 (3 штуки). Обязательно проконтролировать значение индуктивностей после намотки. Девятый канал - не запаян, оставлен в качестве резерва. Для подключения фронтенда используются MCX или SMA разъемы, любые варианты -торцевой или вертикальный. Для подключения к PA - только вертикальный. Для LNA 20 dB выбрана микросхема ADL5534ACPZ-R . Также на плате есть UFL разъем для подключения coupler c платы ATU - необходимо для работы цифровых предискажений. На схеме есть небольшая неточность, реле указаны IMG03, на самом деле - IMG06 (в боме - правильно) В принципе, как показала практика, для коммутации реле лучше использовать High Side Switch вместо Low Side (ULN2003APWR) Тогда не надо будет прокладывать 12 вольтовую шину питания через все обмотки реле и упрощается трассировка. В платах PA & ATU как раз используются такие ключи TBD62783AFNG,EL Есть пин-ту-пин замены от многих производителей, но внутри - биполярные транзисторы, вместо полевых от Toshiba. Возможно, для унификации бомов в будущем переразведу плату под такие ключи. Добавлено через 43 минут(ы): Измерения параметров BPF Ничего экстраординарного нет,только для проверки параметров. Конденсаторы не подбирались, проверялись только индуктивности перед запайкой. Выложил не все ,только основные 1.6-2.8MHz 315545 2.6-4.3MHz 315546 6-8.5MHz 315548 7.3-13.5MHz 315549 14.5-22MHz 315550 19.2-34MHz 315551 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 555] Автор : LZ1AO Дата : 16.06.2019 22:08 https://www.digikey.com/product-detail/en/linear-technology-analog-devices/LTC2165CUK-PBF/LTC2165CUK-PBF-ND/2675487 149 штук LTC2165CUK, Статус : Can ship immediately -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 556] Автор : UT4UBK Дата : 16.06.2019 22:35 Спасибо, Сергей. Обновили стоки. Сегодня днем смотрел, было ноль на складе. Разобрался, в Украину не поставляют, экспортный контроль, поэтому и писало, что недоступно. А все таки, имеет смыл рисковать с китайцами? Встречал упоминания на сайте, что получали ацп нормально -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 557] Автор : UT4UBK Дата : 21.06.2019 20:33 Решил перед выкладыванием степов для корпуса переделать заднюю панель, для установки 60 мм более тихого вентилятора. 315792 Также хотелось бы услышать рекомендации по размещению разъема ключа и разъема для подключения ответвителя для внешнего усилителя (для предискажений). Стандартный разъем для ключа - джек 3.5 мм, имеет ли смысл его уменьшить до 2.5 мм, чтобы не занимать много места 315791 315795 На выходных будет вложена инфа по поcледней плате ATU. Она полностью переделана и платы уже пришли. Следующие этапы: Описание загрузки софта и сам софт. Чертежи и модели всех частей корпуса Порядок сборки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 558] Автор : LZ1AO Дата : 21.06.2019 22:17 Если сдвинут заземлитель под вентилятора и снабдит его крыльчаткой - освободится место для соединителей. Если будет возможно монтировать N соединители с фланцами внутри корпуса, будет элегантнее. Разъем для подключения ответвителя - не понял где он сейчас, под антенными соединителями будет ОК. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 559] Автор : Stranger Дата : 22.06.2019 08:06 Стандартный разъем для ключа - джек 3.5 мм, имеет ли смысл его уменьшить до 2.5 мм, чтобы не занимать много места Весьма сомнительная экономия, учитывая то, что обычно качество контакта в разъеме прямо пропорционально его размеру, по крайней мере, это справедливо для "джеков". В промышленных аппаратах даже 6.3 мм не "гнушаются", если место позволяет, конечно. Добавлено через 5 минут(ы): А все таки, имеет смыл рисковать с китайцами? Встречал упоминания на сайте, что получали ацп нормально А есть выбор? С одной стороны - по-нормальному у американцев всё равно не купить, а с другой - лично имел положительный опыт с ADS6145 и LTC2208. Хотя это - не гарантия. Так что - без риска - никак :) Брать поштучно, сразу запаивать в плату и тестировать. Если вдруг что не так - не теряя времени, выставлять претензию и... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 560] Автор : UT4UBK Дата : 23.06.2019 20:46 Небольшой отчет по поездке в Винницу. Предварительно договорились о встрече с Александром (US0NZ) и Анатолием(UR5NPI). Хочу сказать огромное спасибо и поблагодарить за гостеприимство и теплую встречу. Что было сделано: Несколько часов обсуждали существующий конструктив и пути его улучшения и упрощения. Все результаты и запросы зафиксированы и будут обдуманы В эти дни эфир был забит станциями участвующими в контесте, лучшего варианта и не придумать. У Александра, несмотря на близость к городу его QTH - низкий уровент шума, и после столичного эфира ну нет слов... Слушали до середины ночи. Станции Аргентины, Бразилии, Южной Кореи , с Виргинских островов принимались с уровнем 54-57 несмотря на сильные грозовые разряды. Антенны - обычная inv V Александром, Анатолием и мной было проведено несколько десятков связей в диапазонах 80-40-20 метров. Причем на двадцати метрах ксв в антенне был около трех. Автотюнер перед поездкой был отключен тк пришла новая, полностью переработанная плата и софт под нее еще не был адаптирован. Поэтому, во избежание "генеральского эффекта" - он был отключен. Честно, включать трансивер в Киеве с 9+ бальными помехами уже не хочется. Было проведено несколько QSO с 9 райноми на 40 метрах, о чем раньше не мог и даже и думать в моем QTH. На следующий день приняли участие в Винницком круглом столе на 80м. Оказывается, это один из самых крупных украинских круглых столов (около 30-40 участников) Полную 1 часовую запись этого круглого стола можно прослушать(скачать) здесь https://drive.google.com/file/d/1dNQ6YBvGlmmKHTg8nQxzyVOJ1nRjG8HE/view?usp=sharing В трансивере был включен шумоподавитель (иногда слышны характерные артефакты обработки), полоса приемного фильтра 100-2800Гц Все таки не обошлось без ложки дегтя, т.н. "генеральского эффекта" В трансивере был включен встроенный динамик и Александр (US0NZ) одел наушники на шею, микрофон оказался расположенным впритык к губам. Поэтому, как метко подметил ведущий, звук был с большими искажениями, как будто на нос была одета прищепка. После круглого стола под особенности голоса Александра были адаптированы настройки эквалайзера и спич процессора, микрофон (обычная компьютерная гарнитура ) отнесен на нормальное расстояние от губ. Запись сигнала (уже на выходе приемника) можно послушать здесь. https://drive.google.com/file/d/1z1PusULX3QMIEbvQP-uO40-qP4QOKrlw/view?usp=sharing Также - по просьбе двух участников было проведена запись их эфира и сделан скриншот спектроанализатора 315900 https://drive.google.com/file/d/1knAMlTE5dEEosjilVUvCB7mDW0xtw3i3/view?usp=sharing По будущим планам - через пару недель Александру и Анатолию будет передан экземпляр для дальнейшего , более длительного тестирования. Очень надеюсь, если будет время, вырваться на хамфест в Степашки и подготовить уже три экземпляра трансивера для тестирования в условиях полевого дня Добавлено через 17 минут(ы): Плата автотюнера Это вторая версия платы автотюнера, полностью переработанная: Теперь конфигурация - 8С-8L Коммутация реле была переделана для использования High side switch и добавлены дроссели, трасс на нижней стороне стало заметно меньше LPF был перенесен на плату PA, поэтому удалось уменьшит размер платы Было решено отказаться от дорогой MAX2016 и специализированных ADC. Вместо этого используется очень дешевый 8051 1T ($0.25 цена на алике) контроллер cо встроенным 12 разрядным ADC. Вся логика защиты усилителя от высокого ксв переносится в него. У меня есть положительный опыт работы с ним, тк он очень устойчив к помехам В качестве логарифмических усилителей используются дешевые AD8307 На плату добавлен экран для измерителя KCB Оптимизировано расположение ответвителя и коммутатора для внешнего ответвителя Значительно упрощен лайоут Конденсаторы тюнера - smd 500-600 V rating , были проверены на предыдущей версии Используются индуктивности на сдвоенных кольцах T80 для последних двух ступеней В дальнейших планах - использовать эту плату также как и простой выносной тюнер с минимальной переработкой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 561] Автор : UN7RX Дата : 23.06.2019 21:11 Интерфейс просто заглядение.:super: Вот потому я, несмотря на все "софтовые победы" остаюсь ярым приверженцем "железного" интерфейса исполнения связной аппаратуры. Я, правда, настолько растерялся в обилии информации, к тому же все время обновляемой, что пока просто ничего не делаю для какого то "собирания камней" в технологический Эверест внутри темы. Буду ждать какой то команды от автора, что уже можно и нужно делать. :oops: Так что, пока пользователи будут бродить по теме. Потом просто создадим новую, с чистого листа и стартовой информацией.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 562] Автор : us0nz Дата : 24.06.2019 23:31 Несколько строк о впечатлениях от знакомства с автором трансивера и самим трансивером. Впечатления однозначно позитивные и главное - оптимистичные на развитие проэкта и его реализации.И к счастью, никакой "генеральский эффект" его не омрачил,мелочь, которая быстро устранилась. Сначала тестирования трансивера появилась неуверенность в своих возможностях осилить довольно обширное меню настроек, но после нескольких часов "юзанья" и надоедливых вопросов Константину это прошло, и появилось приятное чувство комфорта работы в эфире, используя заложенные в трансивер возможности, даже при условии их еще не всех реализованных на данный момент. Дело времени и очень близкого. Работа с Logом, с Интернетом в режиме online, очень оперативное заливка Loga в QSLотчетные сайты оставила неизгладимые воспоминания и не оставила спокойного сна - HI! Реализовывались практически все наши с Анатолием (UR5NPI) "хотелки", благо реализованное в трансивере меню это позволяет. Что еще видится со стороны и хочется, было озвучено в дружеской беседе, и на сколько оно будет реализовано - абсолютное право автора. Высокий профессионализм и комуникабельность Константина, видение путей развития SDR техники как тупиковых, так и перспективных, реализация сего симбиоза в архитектуре трансивера Ермак - дает уверенность в выходе на радиолюбительский рынок довольно амбициозного изделия с претензией на занятие своей достойной ниши и дающее владельцу такого трансивера высокий уровень удовольствия от работы в эфире. Настоящие мечты сбываются! И это воодушевляет! Удачи! ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 563] Автор : Genadi Zawidowski Дата : 24.06.2019 23:34 А подробнее про тупиковые пути можно? Методом исключения узнаем перспективные... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 564] Автор : UT0UM Дата : 25.06.2019 00:01 в выходе на радиолюбительский рынок я вот давно хочу спросить, сколько, по стоимости, выходит BOM + платы ну хотя бы примерно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 565] Автор : UN7RX Дата : 25.06.2019 00:34 Я всем напоминаю, что это техническая авторская тема. И никакие дискуссии на отвлеченные темы, разного рода треп и прочий флуд ЗАПРЕЩЕНЫ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 566] Автор : rx9cim Дата : 25.06.2019 08:11 Почитал доку на omap. Предполагаю что основное ограничение для dsp - относительно небольшие объемы встроенного озу. При работе из внешней памяти быстродействие будет падать т.к. потребителей внешнего озу много, дисплей в первую очередь. Какое фактическое время доступа к внешнему озу? Насколько самостоятельны dsp ядра - могут сами автономно выполнять вычисления полностью? Или только базовые операции и периодические пинки и загрузка со стороны арм9? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 567] Автор : UT4UBK Дата : 25.06.2019 08:46 rx9cim, 1. 256Кбайт L2 памяти для DSP очень много, если не пользоваться TI BIOS и библиотеками межпроцессорного взаимодействия 2. Конечно будет падать, на одной шине сидит много потребителей, по оценкам раз в 7-10 3. Я использую память 167 МГц 4. Это полностью независимое ядро я вот давно хочу спросить, сколько, по стоимости, выходит BOM + платы ну хотя бы примерно Планировал выложить просчеты БОМа после публикаций загрузчика. Это непростой вопрос, очень сильно зависит от партии и где берется пассив Поэтому БОМы будут просчитываться по следущим правилам Партия 20 штук Активные компоненты - с официальных стоков Пассив - по ценам катушечных партий Моточные изделия сердечники - по ценам этого замечательного сайта http://kitsandparts.com/ Впрочем, если не хочется ждать, можете это сделать прямо сейчас сами, в бомах есть DiGi key партнамберы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 568] Автор : UT0UM Дата : 25.06.2019 10:12 Партия 20 штук Пассив - по ценам катушечных партий спасибо конечно, но для рядового радиолюбителя, желающего собрать один трансивер для себя, такой БОМ не представляет никакого интереса -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 569] Автор : vadim_d Дата : 25.06.2019 11:12 такой БОМ не представляет никакого интереса Со слов автора в БОМе есть ссылка на DigiKey, там можно посмотреть и штучные цены -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 570] Автор : UN7RX Дата : 25.06.2019 14:34 Категорически предлагаю прекратить любые обсуждения в стиле "это не правильно". Поясняю еще раз - авторская ветка предполагает повторение желающими авторской конструкции, ВСЕ. Автор, в данном случае, Константин, для этого предоставил все необходимое - решайте сами, будете вы это собирать, или пойдет искать что-то другое. Можете открыть новую тему и там хоть заобсуждаться о преимуществах, или недостатках разных схемотехник. Но не тут! Коллеги, мне реально начинает надоедать пытаться донести столь простую мысль до каждого персонально. И еще, касаемо BOM-а. Список комплектующих - максимум что можете попросить у автора. Он не обязан ни указывать цены, ни подгонять их под что-то, да и это бессмысленно, кто-то купит в диджикей, кто-то на али, кто- еще где то. Не злоупотребляйте этой темой, настоятельно рекомендую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 571] Автор : UT4UBK Дата : 29.06.2019 16:30 Всем добрый день, очень много вопросов в личку по стоимости изготовления плат. Для начала - я провел калькуляцию платы DSP: Минимально заказываемая партия - 30 штук Пассив- катушечные нормы, активные компоненты - от 50 штук, чтбы можно было установить в питатели Взял цену запуска SMT линии - $1500 (весьма ориентировочно) Получил цену настроенной платы DSP (без стоимости отправки) $166 (TFT включен) Следует учесть, что цена немного будет выше, тк придется покупать компоненты с избытком + неизбежный производственный брак. По плате фронтенда - будет немного позже. В принципе, можно разместить фронтенд и плату DSP на одной панели и сэкономить на стоимости монтажа, но надо учитывать количество питателей на SMT линии, не у каждого есть такое кол-во Ориентировочно - плата фронтенда будет примерно в эту же сумму (LTC2165 - цена около $100) Механика, кит - комплект около $70-80 (6 деталей + ручка валкодера), хотя еще не общался плотно на эту тему. Есть смысл запускать , если наберется хотя бы 30 человек желающих приобрести киты DSP+FRONTEND+кит корпуса. Остальные платы достаточно простые и не вызовут особой сложности при сборке в домашних условиях. Их тоже можно изготовить в партиях от 50 штук (пустые платы) и включать в кит. Прикладываю бом с расчетами. Ориентировался на трех поставщиков - Чайна, маузер и TI store Просьба отписаться в теме, если это кому то интересно и высказать свое мнение. Если нет - то не теряем больше времени, я выкладываю оставшиеся материалы (как и обещал в 2017 году) и ее смело можно отправлять в архив. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 572] Автор : <PVA> Дата : 29.06.2019 18:27 Готов приобрести такой кит - DSP+FRONTEND+кит корпуса + пустые платы. Самому приобретать выйдет дороже. Был анонс 20-ти ватной версии , я так понимаю это относится к пустым платам. Как я вижу это что то возле 350 зеленых? весь набор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 573] Автор : UT4UBK Дата : 29.06.2019 18:44 Если можно - в личку продублируйте, пожалуйста, фио, телефон, емэйл. С понедельника позвоню в компанию по обработке металла и контракторам по монтажу, есть идеи как удешевить немного. По крайней мере, у контракторов есть всегда пассив на складе и им выгодно его израсходовать По 20 ваттной версии, все с кем не говорил - для хоть какой-то работы в эфире нужно минимум 100 ватт. Готов услышать и обсудить любое мнение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 574] Автор : <PVA> Дата : 29.06.2019 19:16 По 20 ваттной версии, все с кем не говорил - для хоть какой-то работы в эфире нужно минимум 100 ватт. Готов услышать и обсудить любое мнени Это если работать самим трансивером то ста ватами комфортнее ,а для раскачки Бума 20 ти 30 ти с головой хватает, ну и тепловой режим легче + транзисторы, бинокли, на 20 ват дешевле. Хотя в меню мощность всегда можно уменьшить . Был анонс по типу Монки потому и спросил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 575] Автор : UT4UBK Дата : 29.06.2019 19:38 Давайте я продумаю вариант платы ум и на 20-30 ватт. По типу монки, после просчета бомов пришел к выводу, что сильно сэкономить не получится. Ну упадет цена механики в два раза, это не сильно поменяет результат. Делать копеечный корпус по принципу "пан склэпал сам" тоже не имеет смысла. А самостоятельная сборка ума тюнера и bpf доступна каждому. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 576] Автор : UT4UBK Дата : 30.06.2019 11:36 Пока выходной, в параллель отправил знакомым, проверенным китайцам БОМ и доки на просчеты для платы DSP. Добавлено через 12 минут(ы): И небольшое добавление по китовым платам (DSP+Frontend). В них будут внесены мелкие изменения: Добавлен специальный разъем для подключения LVDS кабеля - интерфейса к фронтенду https://ru.aliexpress.com/item/32809769555.html?spm=a2g0s.9042311.0.0.274233ed5X6PkM значительно упрощается монтаж, не надо будет вручную паять жгут из восьми экранированных витых пар Добавлены триггеры шмитта (1 микросхема - $0.50) для обработка сигналов от механических энкодеров, тогда можно будет использовать низкокачественные энкодеры с алика с большим дребезгом. Как показала практика, "софтовый антидребезг" для механических энкодеров не всегда хорошо помогает Любые пожелания, просьбы, вопросы - you are welcome, в форум или личку (можно на почту). Уже есть первая просьба - добавить XIT режим к имеющемуся RIT -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 577] Автор : yl2gl Дата : 30.06.2019 15:01 Давно хотел задать вопрос - можно ли применить 7 дюймовый дисплей? Прекрасно понимаю, что подобное приведёт к изменению конструктива механики корпуса, однако уже "наигрался" конструкциями с 5 дюймовым дисплеем, представляю, что это такое, и думаю, что для подобной конструкции он мал... И возможно ли большую часть кнопок в трансивере перевести на тачскрин? Когда лицевая панель трансивера представляет из себя клавиатуру компьютера (по количеству кнопок), при наличии тачскрина - думается, что это не камильфо.... Это так, в качестве пожеланий...., возможно, что уже поздно что либо изменять... Отличный трансивер и ваш подход к его изготовлению мне очень нравится! :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 578] Автор : UT4UBK Дата : 30.06.2019 15:12 Спасибо большое за отзыв. По интерфейсам 7 и 5 дюймовые модели дисплеев не отличатся. Но , вы правы, потребуется кардинальная переделка всего. Плата DSP по размеру индикатора была задумана специально для уменьшения размера (и цена 4-х слойной платы) и возможности ее замены в будущем (например - на другом процессоре) без переделки всего трансивера. В планах есть подъем вебсервера и работа по сети через браузер, может это как-то поможет. По тачскрину - сейчас так и сделано, все кнопки дублируются элементами UI программы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 579] Автор : UT4UBK Дата : 01.07.2019 09:16 Продолжаю публикацию материалов. Начнем с корпуса (фрезеровка, токарка). Внешний вид спереди: 316277 Внешний вид сзади: 316278 Для комплекта нужно два кронштейна ERMK.46535.2017-001 Материал - алюминий, дюраль. Передняя панель - стеклоструится, задняя - по выбору, можно анодировать. Ручка настройки - черный анод. По ссылке - архив чертежей и степы моделей https://drive.google.com/file/d/1lBu-MtrbY1Z5LH8VlHmwS5iBzSTDhMsl/view?usp=sharing По разъему наушников. У никогда не мог устоять от использования разъемов фирмы Lemo :-P , тем более для аппаратуры на выезды. Здесь используется вот такой разъем: https://ru.aliexpress.com/item/32824678014.html?spm=a2g0s.9042311.0.0.274233edSeYjQZ К нему уже изготавливаются различные переходники - под наушники итд. В принципе можно использовать обычные чеырехконтактные джеки https://ru.aliexpress.com/item/32852217500.html?spm=a2g0s.9042311.0.0.274233ednZcw3w , обязательно пластиковые,иначе наводки на микрофон обеспечены. Но резьбу придется перерезать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 580] Автор : greekk Дата : 01.07.2019 10:36 Отличный трансивер и ваш подход к его изготовлению мне очень нравится! :super: Отличный вариант срубить бабла запустив в серию десяток аппатов.. Автор почти готовый пакет кд приготовил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 581] Автор : UT4UBK Дата : 01.07.2019 10:37 Без софта это просто кирпич. А софт писать - не один год. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 582] Автор : greekk Дата : 01.07.2019 10:39 Софт вы думаю выложите? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 583] Автор : UT4UBK Дата : 01.07.2019 10:50 Как уже писал: 1. Загрузчик - да 2. Основной софт - по запросу в личку со встроенным серийником Если коллеги захотят изготовить киты: 1. На плате будет стоять 15 центовый крипточип . Активация софта по сети, обновление тоже по сети онлайн. Если даже ломанут софт, то сетевые обновление не будет работать. 2. На старших омапах есть секьюрный загрузчик -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 584] Автор : greekk Дата : 01.07.2019 10:54 Думаю ломануть софтину мало кому получиться из монтажников. Навыков хватает только заказать платы на писиби вэй или в резонит да заказать с алика детали. Прошивку с PIC процессора макеевской шкалы ни кто не изъял за 20 лет.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 585] Автор : UT4UBK Дата : 01.07.2019 11:09 Думаю ломануть софтину мало кому получиться из монтажников. Прошивку с PIC процессора макеевской шкалы ни кто не изъял за 20 лет.... Китайцы умельцы еще те. Месяц назад разговаривал со своим подрядчиком из Китая на тему трансиверов , он уже пошел и получил позывной :-( А так- всегда действует принцип неуловимого Джо, он неуловимый, потому что никому не нужен. :-P Думаю ломануть софтину мало кому получиться из монтажников. Навыков хватает только заказать платы на писиби вэй или в резонит да заказать с алика детали. Прошивку с PIC процессора макеевской шкалы ни кто не изъял за 20 лет.... Поэтому и предложил централизованно заказать киты, кому интересно. Никакой прибыли моей в этом нет, кроме как получить новый опыт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 586] Автор : UT4UBK Дата : 02.07.2019 11:21 Плата и штамповки отправлены на просчет в несколько контор. Вопрос по стойкам в корпусе. Внутренние перегородки корпуса и крышки штампуются и гнутся из 1мм алюминия, сборка - на вытяжных заклепках. В первых вариантах корпуса я использовал запрессовываемые PEM стойки. Но качество мне не понравилось , у контрактора не было специальной оснастки для этого. 316343 Сейчас планируется перейти на обычные винтовые стойки. Из какого материала их лучше выбрать: Латунь Никелированные стойки Анодированный алюминий Обычный алюминий Первые два варианта не рекомендуют для алюминия. Анодированный алюминий (стойки с алика) - они не токопроводящие. Остается обычный алюминий https://ru.aliexpress.com/item/32881933703.html?spm=a2g0o.cart.0.0.5aae3c00kE5Dlo&cv=47843&af=857570&mall_affr=pr3&dp=85d98b085b6d16f992610a8f82c1dce2&scm=1007.22893.125780.0&pvid=d17830ea-4d89-46ef-881c-de1acd42ce31&onelink_thrd=0.015&onelink_page_from=ITEM_DETAIL&onelink_item_to=32881933703&onelink_duration=0.920888&onelink_status=noneresult&onelink_item_from=32881933703&onelink_page_to=ITEM_DETAIL&afref=&aff_platform=aaf&cpt=1562055604530&sk=VnYZvQVf&aff_trace_key=f27c761520314a9f89fc639025590a55-1562055604530-00578-VnYZvQVf&terminal_id=c661fca4fe1e4f7e911c78cc7c64475d Какие еще варианты могут быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 587] Автор : UR5VFT Дата : 02.07.2019 13:09 Остается обычный алюминий - будут быстро окисляться, на рад заводе в тв пер для телевидения применяли 3 вариант -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 588] Автор : UT4UBK Дата : 02.07.2019 13:16 Все алюминиевые штампованные детали подвергаются химическому анодированию. Оно остается электропроводным (цвет - слегка желтоватый). Целиком анодировать после сборки не получится,тогда винты надо алюминиевые ставить. Придется заказать стойки и посмотреть в реале. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 589] Автор : RA4HJW Дата : 02.07.2019 17:26 Внешний вид спереди S метр лучше обыграть в стиле кенвуда 850-го... полукруглый как то не вписывается во всю красоту... :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 590] Автор : UT4UBK Дата : 02.07.2019 17:48 ОК можно будет добавить в софте возможность выбора типа индикатора. Записано -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 591] Автор : ur7cq Дата : 02.07.2019 18:59 S метр лучше обыграть в стиле кенвуда 850-го... полукруглый как то не вписывается во всю красоту... Не соглашусь, всё в точности до наоборот. можно будет добавить в софте возможность выбора типа индикатора. :пиво: Добавлено через 29 минут(ы): UT4UBK, Неплохо было-бы на панель сзади вывести отдельное гнездо для коммутации PTT внешнего усилителя мошности ( для этого предусмотреть в схеме ключ с реле для гальванической развязки). А лучше пару гнёзд таких, с возможностью назначать из меню на каком диапазоне какой УМ коммутирует конкретное гнездо. Может пропустил, пока не нашел информации о том, будет все КВ+6М, или только КВ. Желательно +6М band -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 592] Автор : UT4UBK Дата : 02.07.2019 19:58 Ярослав, там есть гнездо 5 контактное (подписано тюнер), на него выводятся 3 вывода с I2C расширителя, ими можно управлять программно как на вход так и на выход. По 6М бэнду: 1. Тактовая ADC 122.88 мгц , в принципе должна на 50 МГц работать надо проверять 2. На плате фронтенда стоит Minicircuit фильтр с полосой среза 50 МГц, нужно поменять на такой же на 70 https://ww2.minicircuits.com/homepage/homepage.html 3. На плате BPF разведено 9 каналов, один из них можно завести на 6 метровый диапазон 4. С PA - немного сложнее, надо переразвести и добавить еще один бэнд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 593] Автор : ur7hfo Дата : 02.07.2019 22:48 5 контактное (подписано тюнер), на него выводятся 3 вывода с I2C расширителя, ими можно управлять программно как на вход так и на выход. То есть , теоретически , пины этого разъема можно будет запрограммировать например как у айкомов http://www.prnewell.com/kc2wi/Icom_tune_control/index.htm , и потом подключать туда внешний тюнер ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 594] Автор : UT4UBK Дата : 02.07.2019 22:54 Да, именно так и сделано. Там логические выходы I2c расширителя с tvs диодами. Каждый вход может работать в обе стороны. Поэтому - пока не ставил опто ключи. Нужно определиться с функционалом более подробно. Если есть предложения с удовольствием выслушаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 595] Автор : us0nz Дата : 02.07.2019 23:04 UT4UBK, Неплохо было-бы на панель сзади вывести отдельное гнездо для коммутации PTT внешнего усилителя мошности ( для этого предусмотреть в схеме ключ с реле для гальванической развязки). А лучше пару гнёзд таких, с возможностью назначать из меню на каком диапазоне какой УМ коммутирует конкретное гнездо. Поддерживаю рекомендацию по этому функционалу ... Учитывая наши реалии, такой функционал должён быть ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 596] Автор : ur7hfo Дата : 03.07.2019 10:43 Нужно определиться с функционалом более подробно. Если есть предложения с удовольствием выслушаю Я попытаюсь сразу представить использование данного трансивера с внешним усилителем автоматом, и внешним тюнером автоматом. Исходя из этого, сразу требуется сигнал PTT OUT , то есть выход ptt, и желательно с регулируемой задержкой, то есть вначале внешний усилитель должен стать на передачу, а затем уже трансивер на передачу (это при нажатии ptt на тангенте), и наоборот, при отпускании тангенты трансивера, вначале трансивер стает на прием , а затем и усилитель. Далее, почти все современные усилители понимают переключение диапазонов по BCD data , ну у многих и кат-интерфейс есть, но BCD по моему понимают все, а значит, выход бенд данных очень желателен. Тут вот есть описание - https://www.unifiedmicro.com/BCD_14_MAN.pdf Ну и интерфейс к тюнеру, например взять логику айкомовскую , как по ссылке что я приводил выше. Таким образом Ваш трансивер легко интегрируется практически с любым заводским тюнером и усилителем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 597] Автор : UT4UBK Дата : 03.07.2019 11:23 Ок, давайте посчитам кол-во пинов: 1. Тюнер - 3 пина 2. PTT out - 1 пин 3. BCD- 4 пина Плюс земля 2-3 пина и выход питания 1-2 пина. Итого - нужен разъем 12-14 пинов. Хотелось бы, чтобы он запивался прямо на плату напротив выборки в задней панели для упрощения монтажа. У вас есть на примете такой разъем? И небольшое дополнение к сегодняшней дискуссии, во фронтенд будет запаиваться фильтр с частотой среза 70 МГц для работы в диапазоне 6 метров -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 598] Автор : Genadi Zawidowski Дата : 03.07.2019 11:32 PTT OUT + BCD + TX INHIBIT = влезает в DIN8 (FT891). PTT от тюнера = 1 пин ps: можно просто без переделок использовать кабели. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 599] Автор : ua3enb Дата : 03.07.2019 11:34 316397 можно такой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 600] Автор : UT4UBK Дата : 03.07.2019 12:02 PTT OUT + BCD + TX INHIBIT = влезает в DIN8 (FT891). PTT от тюнера = 1 пин ps: можно просто без переделок использовать кабели. Максимальные кол-во пинов в таком разъеме - 9 , придется два ставить. Может на тюнер такой-же . только с меньшим кол-вом пинов ? Для айкомовских тюнеров - три пина. По разъему COM порта - к сожалению, они очень ненадежные Кажется нашел, то что надо https://ru.aliexpress.com/item/32838826618.html?spm=a2g0o.productlist.0.0.36294fc8Cy5l75&algo_pvid=fc74f890-9fc8-4df7-ae44-9f61be544824&algo_expid=fc74f890-9fc8-4df7-ae44-9f61be544824-5&btsid=49e1c051-4c08-4801-9416-49b0b3b22459&ws_ab_test=searchweb0_0%2Csearchweb201602_9%2Csearchweb201603_52 LEMO forever :-) Серия 1B 16 мм. На алике есть готовые кабеля с распайкой Вот еще один https://ru.aliexpress.com/item/1763211276.html?spm=a2g0o.productlist.0.0.36294fc8Cy5l75&algo_pvid=fc74f890-9fc8-4df7-ae44-9f61be544824&algo_expid=fc74f890-9fc8-4df7-ae44-9f61be544824-9&btsid=49e1c051-4c08-4801-9416-49b0b3b22459&ws_ab_test=searchweb0_0%2Csearchweb201602_9%2Csearchweb201603_52 Немного большего диаметра -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 601] Автор : Genadi Zawidowski Дата : 03.07.2019 12:23 В FT891 используется DIN8. Большие несовместимы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 602] Автор : UT4UBK Дата : 03.07.2019 12:38 Вот прорисовал установку Lemo разъема https://ru.aliexpress.com/item/1763211276.html?spm=a2g0o.productlist.0.0.36294fc8Cy5l75&algo_pvid=fc74f890-9fc8-4df7-ae44-9f61be544824&algo_expid=fc74f890-9fc8-4df7-ae44-9f61be544824-9&btsid=49e1c051-4c08-4801-9416-49b0b3b22459&ws_ab_test=searchweb0_0%2Csearchweb201602_9%2Csearchweb201603_52 На любое кол-во пинов (до 19) 316409 Mini DIN не кажутся очень надежными, особенно в полевых условиях. Может под PTT+KEY поставить один похожий для стилистической однородности? Монтируется прямо на плату PA и фиксируется гайкой на задней панели 316410 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 603] Автор : Genadi Zawidowski Дата : 03.07.2019 12:56 Если с местом никак, то разумеется вопросы совместимости с имеющимся оборудованием "идут лесом". Мне кажется в первую очередь важны разъемы наушников, ключа, микрофона. Их под прямое, без переходников, соединение с имеющимся оборудованием. Остальное как получится. Надежность... Все равно до состояния rugged станций не дойдет - а значит и jack 3.5 mm и mini-DIN попадают с число допустимых. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 604] Автор : UT4UBK Дата : 03.07.2019 13:04 Вот промоделировал замену разъема PTT+KEY на один четырех контактный разъем 316413 316412 Все равно нужны переходники для ключа, а так - один надежный разъем для полевых условий с защелкой Прд наушники я поставил лемо или можно поставить под джек 4 пин 3.5 мм. Под ключ 6 мм джек места нет,так что похоже без переходников - никак -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 605] Автор : LZ1AO Дата : 03.07.2019 13:12 Я думаю, что лучше сделать етот (ети) соединитель (и) для периферии совместимые с ICOM или YEASU или KENWOOD, на Ваш вьбор. LEMO - мне тоже нравятся, но не поставил бы их в никаком случае, потребителям такие "новости" не нравятся. Поверьте мне, традиция - ето не всегда плохо.:-P PTT и КЕY - оставьте их в покое, как есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 606] Автор : UT4UBK Дата : 03.07.2019 13:42 Спасибо Сергей за совет. PTT+KEY тогда оставлю, "что-то накатило " :crazy:. По интерфейсному - вариантов нет, мало места на плате PA Добавлено через 14 минут(ы): Кстати в процессе обдумывания новых версий появился еще один вариант оптимизации конструкции. Для управления встроенных модулей BPF PA и ATU используется шина I2C в экранированном кабеле. Для повышения защищенности от наводок PA 1. Вся шина переводится на диф RS485, кабель остается прежний 2. В периферии ставятся дешевые 51 1T 20 центовые контроллеры + RS485 преобразователь вместо I2C expanders. Мы с ними работали, очень устойчивы к наводкам Результат - цена остается прежней или меньше, помехоустойчивость шины возрастает на порядок при минимальных переделках Для примера MCU $0.25 +RS485 https://ru.aliexpress.com/item/32843475439.html?spm=a2g0o.productlist.0.0.298f7b26S3D19H&algo_pvid=84cd0f33-7179-4e33-92a2-ad6fcc04bee6&algo_expid=84cd0f33-7179-4e33-92a2-ad6fcc04bee6-16&btsid=b096f031-d837-4e11-b00f-b3ff079ae3d3&ws_ab_test=searchweb0_0%2Csearchweb201602_9%2Csearchweb201603_52 $0.03 Замена I2C ехпандера, плюс 12 разрядный ADC на борту - выходит дешевле -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 607] Автор : ur7hfo Дата : 03.07.2019 14:58 У вас есть на примете такой разъем? Уже выше ответили, если из недорогого брать, то подойдет разъем DSUB15. Более того, такие разъемы бывают и спаренные, для экономии места на плате - https://www.alibaba.com/product-detail/Double-D-sub-15-Pin-Three_60710565683.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 608] Автор : UT4UBK Дата : 03.07.2019 15:18 Я с ними работал по нескольким проектам - очень ненадежные Вот этот планирую https://ru.aliexpress.com/item/1763211276.html?spm=a2g0o.cart.0.0.73863c005jGTX1 Уже заказал образец -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 609] Автор : ur7hfo Дата : 03.07.2019 15:30 Уже заказал образец Конечно выбор за Вами. Хоть и цена кусачая конечно. Но всегда можно будет потом сделать интерфейсный кабель, вот как пример, распиновка DSUB15 усилителя SPE Expert 1K-FA 316417 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 610] Автор : UT4UBK Дата : 03.07.2019 15:37 В любом случае пока время есть , придут- покрутим их вживую -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 611] Автор : UT4UBK Дата : 03.07.2019 16:45 По пайке переходников - вот готовые кабеля с разъемами - https://ru.aliexpress.com/item/32716755431.html?spm=a2g0o.cart.0.0.6f583c009akexA 1 метр кабеля с качественным разъемом $26 14 пинов. Мне кажется - это намного выгоднее, чем самому искать кабель, потом распивать в тот же DSUB итд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 612] Автор : LZ1AO Дата : 03.07.2019 17:03 цена кусачая конечно :-P На комплект - кабель с соединителем + соединитель на трансивера, в процентах к всего в BOM-у - сколько получится ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 613] Автор : UT4UBK Дата : 03.07.2019 17:10 Ну разъем под запайку в плату 14 пин - $19 с доставкой. На партии думаю до $16-17 опустить. От трех штук - скидка целых 5% :super: А сколько внешний киловаттный усилитель стоит? Экономия на спичках, да и не всем он нужен, этот кабель :-P Для китов- если он не нужен, человек не ставит на плату, в корпусе - резиновая заглушка. Судя по дискуссии - паять переходник все равно приходится, тк усилители у всех разные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 614] Автор : UT4UBK Дата : 04.07.2019 16:34 Получил просчет цен за работу по механике (токарка, фрезеровка) без стоимости металла 1. ERMK.46535.2017 - 260 грн/шт (ручка настройки) $10 2. ERMK.46535.2017-001 - 309 грн/шт *2 620грн (кронштейны) $24 3. ERMK.741128.2016-001 - 912 грн/шт (передняя панель) $35.3 4. ERMK.741128.2017-002 - 812 грн/шт (задняя панель) $31.4 Итого за работу $100 Круглые цифры в полученных расчетах меня наводят на определенные мысли :-P Отдельной графой пойдет стеклоструй передней панели и УФ печать Металл не включен, можно поторговаться и включить металл в эту сумму. "Копытные" и отправка сюда не включены По штамповке: пока на просчете, прошлый раз обошлось в 800 грн, сейчас думаю - около 1100 с металлом Просьба всем, кто оставил заявки ответить сюда или в личку (на почту), готовы ли по таким ценам работать? Если нет, то закрываем лавочку Для сравнения два года назад просчитывал образцы у китайцев, мне насчитали $600 и были очень удивлены, когда получили отказ. Цены за два года выросли здесь тоже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 615] Автор : UT4UBK Дата : 05.07.2019 10:23 Так что, если по платам - я ещё пока в раздумьях, то от корпуса - однозначно отказываюсь. Пока никто не отказался. По переписке в мыле - выработали предельную цену по корпусу. И мы в нее укладываемся. По качеству изготовления. Вот ручка после изготовления перед анодировкой: 316499316500 Стоимость работы - $10, металл - копейки. По какой цене вы возьмете ручки на ebay? Каждый зарабатывает, как может. Поверьте,мне есть чем зарабатывать и в эти цены я никакой "маржи не закладываю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 616] Автор : UT4UBK Дата : 05.07.2019 10:47 Вот пример собранного корпуса- шасси, без передней панели 316501 Вот - передняя панель со стойками 316503316504 Они по $31 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 617] Автор : UN7RX Дата : 05.07.2019 11:01 Прекращаем финансовые обсуждения! :evil: Ветка из технической стремительно свалилась в базарную. От автора будут все данные по абсолютно самостоятельному повторению и предложения по покупкам готовых узлов и компонентов. А там ваше дело - хоть из фанеры собирайте! Не нужно разводить бодягу "дорого - не дорого", дело исключительно добровольное! Все, прекратили бардак. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 618] Автор : UT4UBK Дата : 05.07.2019 11:13 Всё ок. Люди рассуждают, где лучше купить ручку или у токаря заказать. Что такого? Давайте так, мне кажется, Роберт абсолютно прав - закрываем рассуждения до получения окончательных цифр. Я выкладываю, цены и каждый решает, нужно ему это или нет. У всех , кто подписался - есть все мои контакты, вплоть до телефона и мы можем обсудить любые вопросы, если это будет нужно. Оффтоп через день почистим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 619] Автор : UN7RX Дата : 05.07.2019 12:52 Я выкладываю, цены и каждый решает, нужно ему это или нет. Именно. Будет проект от автора as is, сами решаете как вы его будете (если будете собирать). Повторю уже в сотый раз (а на сто первый начну банить) в авторских ветках все содержимое определяется автором ветки. И конструкция повторяется по автору. Тем более что по техническим предложениям он абсолютно открыт и коммуникабелен, что еще нужно? Хотите иначе нет проблем, решайте сами как вам удобнее, но не в этой теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 620] Автор : UT4UBK Дата : 05.07.2019 21:12 Отчет о поездке Ярослава UR7CQ в гости https://www.youtube.com/watch?time_continue= 3&v=XT0eeNGBzYk -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 621] Автор : yl2gl Дата : 06.07.2019 09:06 Круто! По дизайну лицевой - фрезеровку вокруг ручек стоило бы сделать по их диаметру, как у ручки настройки. Жаль, что пока нет надписей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 622] Автор : UT4UBK Дата : 06.07.2019 11:14 Сейчас едут новые ручки с более приличным видом https://ru.aliexpress.com/item/32749470303.html?spm=a2g0s.9042311.0.0.67e933ed12JFnJ Промоделируем и посмотрим внешний вид с меньшим диаметром. Надписи - после отпуска сделаю. УФ печать двумя цветами. Получил образцы тампопечати, как то не очень, на мой взгляд Есть еще идея резать фетровые прокладки под них (благо лазер есть) для защиты от пыли -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 623] Автор : yl2gl Дата : 06.07.2019 23:51 Может быть не на самих кнопках надписи делать, а традиционно, на самой панели? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 624] Автор : UT0UM Дата : 06.07.2019 23:56 а традиционно, на самой панели? ... Но не о оставил места под надписи на панели -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 625] Автор : UT4UBK Дата : 06.07.2019 23:56 Может быть не на самих кнопках надписи делать, а традиционно, на самой панели? На кнопках должно получиться, рисовал макет на панели , некрасиво выходит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 626] Автор : SP3OSJ Дата : 07.07.2019 00:42 Hi Прямоугольник лучше. Экран визуально становится больше. 316620 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 627] Автор : yl2gl Дата : 07.07.2019 00:49 Надписи на кнопках быстро сотрутся и будут иметь неряшлевый вид. Не зря буржуины от такого варианта отказались. По дисплею - SP3OSJ прав, выглядит большим, как мне кажется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 628] Автор : UT4UBK Дата : 07.07.2019 00:54 Hi Прямоугольник лучше. Экран визуально становится больше. К сожалению - не получится сделать острые углы. Там ведется обработка внутренних поверхностей фрезой 6 мм . Если они будут выбирать мелкой фрезой на втором этапе - выйдет дороже и будут видны следы от нее. https://www.quora.com/Is-making-perfect-square-pockets-possible-using-a-milling-machine The milling inside corners problem Надписи на кнопках быстро сотрутся и будут иметь неряшлевый вид. Не зря буржуины от такого варианта отказались. Китайцы могут сделать надписи на заводе. Но нужна большая партия. УФ печать имеет большую износостойкость. Проверим -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 629] Автор : SP3OSJ Дата : 07.07.2019 12:30 Добрый день, "Там ведется обработка внутренних поверхностей фрезой 6 мм ." Как это сделано это отверстие? 316627 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 630] Автор : UT4UBK Дата : 07.07.2019 13:04 Мелкой фрезой без смены инструмента. И стоит сравнить периметр окна. Задам вопрос технологу о сложности и цене выборки большого окна мелкой фрезой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 631] Автор : UT4UBK Дата : 07.07.2019 15:25 Нигде на алике не могу найти вот такие https://www.rcscomponents.kiev.ua/product/pls2-40-dlinnye-ch11402v101-shtyri-na-platu-1h40-2-00mm_33481.html Сорри уже нашел, ключевое слово 1*40P 2.0mm длинный https://ru.aliexpress.com/item/32702382107.html?spm=a2g0o.productlist.0.0.54d6163aIQAn4L&algo_pvid=4385fe97-6bba-4419-856a-c69cc7e03487&algo_expid=4385fe97-6bba-4419-856a-c69cc7e03487-2&btsid=483e7363-b6ee-44b9-9a90-d9e3421732d2&ws_ab_test=searchweb0_0%2Csearchweb201602_9%2Csearchweb201603_52 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 632] Автор : Livas60 Дата : 07.07.2019 16:12 Вот на выбор: https://ru.aliexpress.com/item/32982321712.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 633] Автор : UR5LAM Дата : 08.07.2019 16:40 На мой взгляд, прямые углы окна дисплея смотрятся более солидно, и действительно экран кажется немного большим. Если этот момент не повлияет радикальным образом на себестоимость, то лучше сделать острые углы. PS А с другой стороны, закруглённые края добавляют аппарату индивидуальности. :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 634] Автор : gen3 Дата : 08.07.2019 16:43 Прямые углы чпу не сможет сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 635] Автор : UT4UBK Дата : 08.07.2019 17:12 Там вопрос в стоимости детали. Сейчас выставили счет 31 usd за работу. И я не уверен, что это будет хаас фрезеровать. А обычный портал. Все возможно. Поэтому любая смена инструмента добавит риск появления следов от мелкой фрезы в углах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 636] Автор : R3KBL Дата : 08.07.2019 23:17 316715 Пошел процесс сборки. Пришли платы DSP и Frontend. Есть пара свободных плат, обращаться в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 637] Автор : UT4UBK Дата : 10.07.2019 10:00 R3KBL, отлично. Пару вопросов 1. Где вы делали платы - JLPCB? 2. Вы заказывали стенсилы, для них гербера тоже были? 3. У производителя - не возникли вопросы с герберами и fab drawing? После отпуск выложу инструкцию по загрузчику. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 638] Автор : UT4UBK Дата : 25.07.2019 16:35 По поводу интерфейсного разъема для внешнего PA - пришли сэмплы. Разъем для установки на плату - 14pin $11.5 https://ru.aliexpress.com/item/32962651331.html?spm=a2g0o.cart.0.0.36ad3c00puWxSC Ответный разъем с кабелем 1метр $27.11 https://ru.aliexpress.com/item/32716755431.html?spm=a2g0s.9042311.0.0.32e333edfm3ax2 Качество китайской реплики отличное. Ответный разъем уже смонтирован на кабеле (все 14 жил разного цвета) и кабель имеет двойную экранировку. В любом случае, для каждого усилителя надо будет распивать ответную часть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 639] Автор : UT4UBK Дата : 25.07.2019 18:09 Ну и по поводу китайских коллег :-P - после отправки на просчет стоимости производства https://maker.lceda.cn/u/ERMAK-SDR-DDC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 640] Автор : R7KGA Дата : 25.07.2019 21:06 Не совсем понял чем они награждают проект? Бесплатным PCB assembly? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 641] Автор : UT4UBK Дата : 25.07.2019 22:21 Не, этим товарищам я ничего не слал. Отправил своему контрагенту в чайне бом на просчет, и на этом сайте появилась ссылка после этого. Этот контрагент, кстати, пошел получать позывной после нашего общения. Хороший пример оперативности китайских коллег -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 642] Автор : yl2gl Дата : 25.07.2019 23:08 Видимо понял, что на этом можно хорошо заработать :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 643] Автор : EU1SW Дата : 25.07.2019 23:12 Как то неважно у него с пониманием обстоит... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 644] Автор : R3KBL Дата : 25.07.2019 23:38 Довольно быстро пришел дисплей с Buydisplay: 317658 Проект движется :-P UT4UBK (http://www.cqham.ru/forum/member.php?32546-UT4UBK),При заказе плат у производителя вопросов не возникло. JLPCB, куда же без них. Стенсилы не заказывал, есть в наличии универсальные трафареты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 645] Автор : UT4UBK Дата : 25.07.2019 23:55 В принципе, могу отдать свой стенсил с рамкой для это версии платы. Если надо обращайтесь. Для 3 версии, которая пойдет на киты все равно нужно заказывать другой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 646] Автор : UT4UBK Дата : 29.07.2019 22:25 Последние новости: Оплачена закупка компонентов на 30 плат в TI store (счет во вложении + 20%) $1695.6 К концу недели будет точная цена на плату DSP, заказчикам можно будет начинать платежи ( будет информация в email рассылке) Заказан образец LCD индикатора по $16 вместо $22.5 (https://www.buydisplay.com/default/5-tft-lcd-display-module-wvga-800x480-high-resolution-for-mp4-gps) Надо будет попробовать и сравнить, если качество не хуже, то заказать уже партию 30 штук В воскресенье будут отправлены гербера финальной плата DSP (3.0) на производство для изготовления golden sample перед запуском производства. Пришли алюминиевые стойки для корпусов. Смотрятся отлично, правда цена конская (https://ru.aliexpress.com/item/32881933703.html?spm=a2g0s.9042311.0.0.274233edHurV3R). Сейчас ищется оптовый продавец на алибабе по вменяемой цене. Если кто знает - you are welcome Заказана на проверку дешевая тайванская SDRAM память для замены Micron (https://ru.aliexpress.com/item/32960965756.html?spm=a2g0s.9042311.0.0.4fa933edSJdHob) Release notes для DSP платы версия 3.0 Разъем LVDS кабеля Триггеры шмитта на энкодеры Перекинуть I2C шины Убрать SPI формирователь Добавить RS485 формирователь Сделать выбор I2C<->RS485 для внешнюю шину Добавить крипточип на шину I2C Пододвинуть электролит C143 Убрать поддержку резистивного тачскрина Поменять целл под диод умножителя ФНЧ на микрофон Завести отдельный разъем ключ + PTT - 4 пина Изменить отверстие под держатель батареи -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 647] Автор : SP3OSJ Дата : 02.08.2019 19:35 Доброе утро (привет) Я получил PCB. Allpcb производство. 318070 73 Artur -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 648] Автор : UT4UBK Дата : 03.08.2019 23:16 SP3OSJ,будьте внимательны с SDRAM памятью, нужна на частоту 167 MHz Подготовлены гербера для golden samples версия 3.0 Если все пройдет нормально, запускаются на сборку платы для китов. Схема во вложении, если есть пожелания что-то добавить до отправки в Китай - пожалуйста, отпишитесь. Из основных новшеств - фронтенд подключается через дешевый LVDS кабель. https://ru.aliexpress.com/item/32809769555.html?spm=a2g0s.9042311.0.0.274233edfLUh39 Также - через этот кабель заведено питание для фронтенда, если спуров не будет, то еще один кабель , через который на него идет питание убирается и сборка трансивера упрощается. Также добавлен крипточип для аутентфикации фирменных плат по сети и хранения уникальных данных - серийный номер, MAC адрес. Вопрос по сетевым MAC адресам - он не простой. Покупать и резервировать диапазон не имеет смысла. На первые киты - есть идея. У заказчиков всегда есть какие-нибудь неисправные сетевые железяки (сгоревшие роутеры, свичи итд) У них можно взять адрес (сзади на наклейках) и зашить в плату. Тогда точно конфликтов не возникнет. Кстати, в процессе обдумывания модификаций появилась еще одна идея. На SoC есть один свободный GPIO4_12. В LVDS кабеле - один свободный провод, может через него завести сброс FPGA или на ее пин, например, для перевода в режим бутлоадера и апгрейда прошивки FPGA в процессе обновления. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 649] Автор : UT4UBK Дата : 05.08.2019 15:10 Всем, предварительно оставившим заявки на киты, написал письмо по ценам и дальнейшим шагам. Просьба, если кто-то оставлял заявки и не получил письмо - написать в личку или на почту. Финальная цена для DSP платы (+TFT индикатор) - $165, как и считалось ранее. По ссылке https://www.dropbox.com/sh/pgn0h2pa5dklgkn/AAA7DXif44t1rsPZDOGPszzva?dl=0 - финальная дока на платы REV 3.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 650] Автор : UT4UBK Дата : 09.08.2019 12:28 Последние новости для заказчиков китов: 1. Подготовлена документация и запущены в производство golden sample фронтенда (rev 2.1) 2. Вся комплектация для изготовления партии китов платы DSP практически закуплена -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 651] Автор : UT4UBK Дата : 10.08.2019 21:09 Для уменьшения цены механики приходится шерстить алибабу на предмет оптовых закупок. Недавно приобрел алюминиевые стойки на алике https://ru.aliexpress.com/item/32881933703.html?spm=a2g0s.9042311.0.0.274233edduG0zt Нашел оптового поставщика на алибабе вот его цены (MOQ 100pcs) 318412 На некоторые позиции цены выше , чем на алике. Китай - удивительная страна. Если у кого-то есть проверенные оптовые поставщики на такие стойки - буду очень благодарен за наводку. Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 652] Автор : SP5TAA Дата : 11.08.2019 00:56 Привет, если я правильно понял, что вы здесь изложили, то думаю, что здесь было бы лучше: https://en.maritex.com.pl/product/search_products/2?query=tulejki%20dystansowe&category_id=4622 Я часто покупаю у них. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 653] Автор : UT4UBK Дата : 14.08.2019 17:20 Требуется помощь зала, заранее благодарен за ответ. После очередного монтажа кабелей для трансивера (резка, обжим контактов итд), решил заказать в Китае готовые сборки для комплектования китов. В чем лучше всего рисовать чертежи кабельных сборок для оформления заказа. Поработал в солиде с 3D прокладкой кабелей автоматической генерацией чертежей и понял, какой это ядерный "пушной зверек". Может есть что-то попроще? Или домучаться и научиться их прокладывать в Солиде? Можно ли их в солиде начертить без 3D routing? Если кто из заказчиков китов сможет нарисовать комплект чертежей для кабелей 1-2 дня (с моей консультацией, неважно в чем ), размеры "моей безграничной благодарности будут иметь границы разумного":super: Просто времени сейчас не хватает на это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 654] Автор : UT4UBK Дата : 16.08.2019 13:32 Сегодня разговаривали с коллегами по подготовке чертежей шлейфов. Один образец чертежа Ethernet кабеля прилагаю. Просьба заказчикам и "неравнодушным" дать отклик - этой информации достаточно , для самостоятельного изготовления? В данной конфигурации используется 4 жильный экранированный кабель. В наших реалиях можно использовать два отрезка экранированного МГТФ, и поместить его после разделки в PET оболочку ("змеиная кожа"). Отправляю китайцам на просчет, если цена будет разумная, можно будет заказать оптом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 655] Автор : R7KGA Дата : 16.08.2019 14:00 По чертежу мне все ясно. Изготовить самостоятельно возможно. Вызывает вопросы сам кабель. Я бы предложил сделать в домашних условиях две витых пары в экране и сверху "змеиная кожа". Применение двух экранированных МГТФ для Ethernet считаю не очень хорошим предложением. Это мое мнение, возможно ошибочное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 656] Автор : UT4UBK Дата : 16.08.2019 14:09 Андрей, я имел ввиду мгтфэ, там внутри провода свиты PET collapsible cable в боме это и есть змеиная кожа -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 657] Автор : R7KGA Дата : 16.08.2019 14:33 ОК, понял. Почему то представил одинарный МГТФ в экране. Добавлено через 16 минут(ы): А вот что то такого плана будет совсем идеально. https://ru.aliexpress.com/item/32993945897.html?spm=a2g0o.productlist.0.0.49bc198ddHPJ9W&algo_pvid=7c5f6251-e282-4aca-8d3d-183323e0302a&algo_expid=7c5f6251-e282-4aca-8d3d-183323e0302a-5&btsid=8322f03c-117a-4243-838d-004f6e53e422&ws_ab_test=searchweb0_0,searchweb201602_4,searchweb201603_52 Есть и тоньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 658] Автор : UT4UBK Дата : 16.08.2019 15:08 Заказал. А какие тоньше, боюсь 24awg в эти разъемы не обжать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 659] Автор : R7KGA Дата : 16.08.2019 15:14 Вот 28awg, тоньше именно витых не могу найти. https://ru.aliexpress.com/item/33003925032.html?spm=a2g0o.productlist.0.0.351f3967JZsGrP&algo_pvid=d08f3e32-b666-479f-9fe5-99922390c65b&algo_expid=d08f3e32-b666-479f-9fe5-99922390c65b-20&btsid=4ecfe1df-747b-420b-b6cc-f398f3a1b191&ws_ab_test=searchweb0_0,searchweb201602_4,searchweb201603_52 но нужно уточнять, 28awg у него только в названии -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 660] Автор : UT4UBK Дата : 19.08.2019 18:42 Новости по КИТАМ Пришли golden samples плат DSP и Frontеnd. Планируется сборка со следующего понедельника 318824 Собран второй трансивер для Hamfest в Степашках и передаче его на тестирование. Также на нем производится окончательна прокладка кабелей и подготовка КД в Китай 318826 Проверена работа неэкранированного LVDS кабеля (только в рукаве абсорбере). Наводок никаких нет, осталась окончательная проверка в режиме передачи при высоком КСВ на полной мощности Получены первые просчеты для изготовления кабельных сборок , например - цена кабеля Ethernet (чертеж в приложении) $1.75. Заказчикам просьба отписаться (на мыло , скайп, телефон, в треде итд), готовы ли заказать весь комплект кабелей для сборки трансивера (11 штук плюс три RF SMA кабеля) , сборка трансивера значительно упростится. Если да- то готовлю чертежи остальных кабелей, они проще -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 661] Автор : UT4UBK Дата : 21.08.2019 17:28 Наблюдая баталии в соседней ветке, измерил IMD3 opa2695 прим максимальной выходной мощности 20mW для одного тона. В зависимости от диапазона - от -50dB до -60dB по отношению к одному тону. Двутональник генерируется программно, разнос - 300 Гц. Причем - на вч бэндах это параметр лучше. Если надо - могу выложить скрины измерений -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 662] Автор : EU1SW Дата : 21.08.2019 17:38 В соседней ветке больше интересуются IMD на выходе всего PA, и офигевают от "открытий чудных"... Выход преддрайвера мало кому интересен. "Там" просто изначально должно быть все хорошо. Если "там" плохо - дальше можно уже не торопиться... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 663] Автор : UT4UBK Дата : 21.08.2019 17:41 Тут был споры о том, что преддрайвер на на OPA27xx это плохое решение, OPA2695 товарищи вообще затюкали, поэтому когда обмерял и калибровал новый экземпляр трансивера сделал и это измерение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 664] Автор : EU1SW Дата : 21.08.2019 17:46 ну, тогда проблему нужно рассматривать в комплексе, видимо для 20 милливатт OPA2695 вполне подходит. Есть некоторый аспект в другом, если ЦАП сам по себе выдает +6 дБм, то зачем нужен OPA2695? Может в этом и кроется разный подход к "оптимальности" и "хорошести" применения всяких ОПА в этом месте конструкции? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 665] Автор : UT4UBK Дата : 21.08.2019 17:50 Какой именно DAC? AD9744 или DAC904? При каком напряжении питания? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 666] Автор : EU1SW Дата : 21.08.2019 18:02 Любой из этих, при 3,3... Они практически взаимозаменяемы. Добавлено через 7 минут(ы): И я могу указать жопу строчку в коде ПЛИС, откуда ноги растут... ) Ибо я догадываюсь откуда эти строчки взяты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 667] Автор : UT4UBK Дата : 21.08.2019 18:19 Судя по даташиту, измерения они делают не при +6dBm -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 668] Автор : EU1SW Дата : 21.08.2019 18:30 Да без проблем, Вы конструктор сего, Вам виднее. В даташите еще много чего есть, помимо картинок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 669] Автор : UT4UBK Дата : 21.08.2019 18:41 Хорошо, Вы упомянули что DAC выдает +6dBm Какой IMD в этом случае. Мне это интересно. На следующей неделе будут новые сэмплы могу ввести ваши изменения и измерить. Я полагаюсь на даташиты и реальные измерения -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 670] Автор : EU1SW Дата : 21.08.2019 18:58 Да, к слову, на картинке указаны условия - 0 дБFS на шине. 0 дБFS при штатных номиналах опорного напряжения и номинала токозадающего резистора соответствуют 20 мА амплитудного значения по каждому из токовых выходов. А как преобразовывать ток в напряжение, соответственно в мощность - дело хозяйское. могу ввести ваши изменения и измерить. Спасибо, но я уже все измерил и выяснил для себя, и опубликовал года 3 назад. Ссылки не храню, извините. Добавлено через 7 минут(ы): быстро нашлось... http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1370889&viewfull=1#post1370889 Я полагаюсь на даташиты и реальные измерения и это прекрасно, успехов! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 671] Автор : UT4UBK Дата : 21.08.2019 19:30 EU1SW, Сергей, это не посыл, а реалии знакомства с опенсорсными проектами, мина на мине. Не хотел обидеть. Обвязку проверю, код смотрю Вы это имели ввиду по разрядности, или жопа глупже зарыта? assign dac_data = {cordic_out_i[15], cordic_out_i[15], cordic_out_i[14:3]}; -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 672] Автор : EU1SW Дата : 21.08.2019 21:05 Да, это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 673] Автор : UT4UBK Дата : 21.08.2019 21:15 Честно говоря, там похожие ляпы и по приемнику были. Кстати, в Ваших конструкциях вы использует опору для подстройки VCXO? Обычно используют простые XOR фазовые детекторы. Так у него на выходе при нулевой фазе есть спайки, пришлось менять -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 674] Автор : EU1SW Дата : 21.08.2019 21:22 вероятно с помощью этого сдвига решались некие задачи, можно даже предположить какие, по схеме после ФНЧ BGA616, а с ее КУ и нагрузочной способностью, такой сигнал на входе просто заткнет но это было там, а у нас тут ) Да, применяю пока простой XOR ФД. Мы как то даже обсуждали недостатки XOR в узком кругу, но пока руки не дошли, держу в todo ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 675] Автор : rx9cim Дата : 22.08.2019 12:17 Извиняюсь что вклиниваюсь. Решалась другая задача. У кордика таки есть собсьвенное усиление. Для конкретной реализации (проекты hiqsdr и rolina) оно составляет 1.6. Т.е. почти 2 . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 676] Автор : EU1SW Дата : 22.08.2019 13:01 Это известно, усиление в "конкретной реализации" такое же как и у исходного гермеса, читайте комментарии в тексте оригинального исходного кода, сравните схемотехнику, и не будет нужды вклиниваться ) Тем более, что пояснения некорректны, если исходить из реализации, ибо, что бы выполнить то, о чем вы говорите, достаточно сделать assign dac_data = cordic_out_i[14:1]; Добавлено через 40 минут(ы): В общем я тут подумал, что продолжение дискуссии на этот счет контрпродуктивно, если для решения каких либо задач необходимо недоиспользование разрядной сетки на 2 разряда, то пусть будет так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 677] Автор : rx9cim Дата : 22.08.2019 13:12 Да ну. Ранее писали про некие другие задачи, которые в следующем вашем сообщении стали вдруг известны. В чем конкретно мои пояснения некорректны? Я описал откуда такое преобразование появилось. Это знаю достоверно т.к. общался с rolin ом на эту тему .Опиание технической реализации и оценку праильности я не давал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 678] Автор : UT4UBK Дата : 22.08.2019 13:17 Ну почему же - конпродуктивно. Обсуждение опенсоурсных проектов всем будет полезно. Например передатчик всегда выдает спур ниже на 32 кгц , причем на всех частотах. На выходных будет время изменю разрядность, измерю сигнал снова 318997 С этим тоже надо разбираться, поставил в todo . Реализация бутлоадера сейчас в приоритете, чтобы менять прошивку с хоста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 679] Автор : rx9cim Дата : 22.08.2019 13:24 По поводу жопности этого решения. Интересно было бы сравнить его с простстым усечение до 14 бит. Простое усичение мне не нравится. Представьте как будет выглядеть синусоида. В моментах приближения к максимуму будет скачок в около 0. Спур может быть следствие плохого питания или влиянием шим. Либо результатами dsp. Разбирайтесь. Замеры разной разрядностью были бы интересны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 680] Автор : EU1SW Дата : 22.08.2019 13:24 Ну почему же - конпродуктивно. Я имел ввиду то, что обсуждать озвученную выше интерпретацию данного присвоения бит - контрпродуктивно, ибо не синтаксис строки не соответствует смыслу описанного действия. Это выражается в недоиспользовании физической разрядной сетки ЦАП со всеми вытекающими. Вранье, или заблуждение - не интересно. В словах Георгия я не сомневаюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 681] Автор : UT4UBK Дата : 22.08.2019 13:30 Питание - АМ, спур был бы и сверху и снизу. ШИМа нет, там честный DAC на опору поставлен. Да и АМ хорошо видна на двухтоновом сигнале .Мне разнос частот не нравится 32кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 682] Автор : EU1SW Дата : 22.08.2019 13:57 Я еще раньше уже пытался сообразить, откуда именно 32 кГц берется, да еще так четко, постоянно снизу ) можно в самой плис синтезировать и запустить IQ на входы интерполятора, если спур останется - дело в гейтвари, если уйдет - в ДСП или коде приемника I2S/SPI (не вникал особо, что там применено) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 683] Автор : UT4UBK Дата : 23.08.2019 18:18 Собрал очередную плату УМ. Немного изменил точку включения симметрирующего дросселя и намотал его 1мм проводом. Транзисторы - с алика, падение на проводах 1.2 вольта (12.6 Вольт) Результаты по IMD3,5 для двух диапазонов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 684] Автор : UR6LCK Дата : 24.08.2019 17:35 А схемку можно ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 685] Автор : UT4UBK Дата : 06.09.2019 14:18 Апдейт по текущему статус китов: Комплектуха вся приехала Платы на производстве (версия 3.1) Запуск SMT запланирован на 20 -23 числа На фото - собранные и проверенные сэмплы. Есть несколько изменений: На фронтенде SMA разъемы заменены на MCX - дешевле и проще собирать Enron память на 167 Мгц не взлетела, как и договаривались , остаемся на Микроновской, на фото - проверенные сэмплы из пришедшей партии Для MAC адресов будет устанавливаться 20 центовая микросхема с уже прошитым на заводе реальным адресом. Покупка блока IEEE MAC адресов на 4096 обойдется в $550 плюс надо его регистрировать на юр лицо и ждать 150 дней. Поэтому дешевле взять уже прописанные адреса Кабеля - сэмплы еще едут В комплект с DSP будут поставлены LVDS кабеля, они уже в пути Экраны за $15 не подошли тк у них другое положение шлейфа тачскрина. Закуплены более дорогие экраны и уже едут Найден перевозчик для доставки в Россию Проблема с батарейками ML1220 - перевозчики не берут платы со вставленными батарейками, поэтому на плате устанавливается стандартный разъем и их придется закупать каждому самостоятельно , нужны именно подзаряжаяемые версии https://ru.aliexpress.com/af/ml1220.html?SearchText=ml1220&d=y&initiative_id=SB_20190906030905&origin=n&catId=0&isViewCP=y&jump=afs&switch_new_app=y По ссылке - 3D PDF плат. https://www.dropbox.com/sh/pgn0h2pa5dklgkn/AAA7DXif44t1rsPZDOGPszzva?dl=0 В акробат ридере можно покрутить Сейчас будет пару недель на софт. Основной упор будет сделан на замену AGC - будет взят вариант из PowerSDR и добавлено окно с настройками, будет закончен логгер, введена поддержка крипоточипов и вычитывание MAC адреса при старте системы PS: LTC2165 от китайцев работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 686] Автор : UT4UBK Дата : 06.09.2019 19:02 Есть тема для обсуждения, давно размышляю над недорогим, гальваноразвязанным USB интерфейсом для проброса аудио в трансивер +подключение внешней колонки. 319898 Она выполняет несколько функций Проброс аудио из трансивера в PC и обратно (в PC появляется аудио устройство) Проброс UART 9600 для классического CAT Гальваническая развязка от PC Подключение внешней колонки, чтобы не тянуть дополнительные экранированные провода внутри трансивера USB хаб - подключение по USB (клавиатура) Цена вопроса - очень небольшая, комплектуха c платой выходит в $10-12, все питание берется по USB. Возможно два варианта исполнения: Внешнее небольшое устройство , подключается в задний разъем USB, приемник клавиатуры - в него Заменить этой платой плату power distribution в трансивере, тогда все разъемы помещаются в один ряд на задней панели Нувотоновский контроллер и аудио усилитель уже заказаны. Первый этап - написать драйвер по OS для проброса аудио на контроллер по USB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 687] Автор : UR7HBP Дата : 06.09.2019 19:23 Я за 2 вариант, все внутри. И так проводов, коробочек хватает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 688] Автор : <PVA> Дата : 06.09.2019 20:52 Я за Второй вариант . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 689] Автор : R7KGA Дата : 06.09.2019 21:53 В блок "OPTO" надо еще дорисовать линии RX/TX UART как мне кажется. В итоге может оказаться более простым решением изолировать сам USB между PC и трансивером. Готовых решений много. Линия DAC от NUC505 сбивает с толку. У него на борту кодек с собственным выходом. Плюс еще выход на наушники набортный. Интересный процессор.. Ну и для наглядности пометить на диаграмме что идет к РС (левая сторона) , а что к контроллеру (правая сторона, но не вся :)). Делать это надо по моему мнению все внутри. Добавлено через 35 минут(ы): Еще вариант, вытащить из OMAP шины I2S и UART и напрямую в бридж СР2615. При наличии такой возможности конечно. Выход на внешние активные колонки взять с платы контроллера/FPU (наушники). USB HUB сам по себе, подключен только к ОМАР. Надо еще подумать как весь этот звук будет работать с цифровыми модами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 690] Автор : UT4UBK Дата : 06.09.2019 22:00 Так в том и дело, что обе стороны должны работать как слэйв, OTG в QNX 6.5 в зачаточном состояния, но написать какой-нибудь простой драйвер переслать аудио пакеты - это несложно. По RxD/TxD - абсолютно верно. Одно но, бридж поддерживает только 9600 при передаче аудио в обе стороны (48K*16). Плюс надо завести Reset с моста для индикации подключения и конца енумерации со стороны PC Да, внутри оно будет интересней, тем более - сетевой разъем можно взять двойной с USB и освободить место. (комбо разъемы https://www.te.com/usa-en/plp/combo-jacks/Y30lx.html) Начну с обычного макета пока. Встречал где- то USB-A, квадратные, с изоляцией по кругу (по моему - для крутых аудио цапов). Иначе - под него придется делать большую выборку в задней панели. В том то и дело, что это сразу добавляет количество жгутов, MCASP уже все заняты, ног свободных на процессоре - одна или две. Дополнительные жгуты- дополнительные наводки от PA и на приемник. А сейчас на заднюю панель все равно идет кабель USB1.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 691] Автор : ur3cjr Дата : 07.09.2019 01:36 Как по мне, то лучше беcпроводной звук. Возможно использовать чтото из серии CC85XX. http://www.ti.com/lit/ug/swru250m/swru250m.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 692] Автор : UT4UBK Дата : 12.09.2019 13:04 Очередной апдейт по кабелям, пришла партия кабелей - 2 типа (основное питание DSP и сетевой). Качество вполне на уровне, цены с учетом доставки сообщу в рассылке. В течении месяца закажу остальные шесть типов. Так что это вопрос тоже можно считать закрытым -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 693] Автор : UT4UBK Дата : 17.09.2019 12:57 Апдейт по проекту 17/09/2019 Платы DSP - получил партию плат и стенсилы, на этой неделе начинаем готовить линию к запуску. Индикаторы и вся комплектация - на складе. По срокам отгрузки (конец сентября) - предварительно укладываемся. 320561 В комплекте с платами будут отправлены кабеля LVDS и если успеют доехать - два кабеля (основное питание платы DSP и сетевой кабель). Платы будут отправлены в картонных коробках с уплотнителями (коробки сейчас закупаются). Проработан вопрос изготовления корпусов. Внутренние радиусы основного окна индикатора уменьшены. Сейчас запускается опытный образец 320564320563320565320566 В комплект кита входит 10 деталей. покрытие Детали внетреннего шасси (алюминий) - электропроводное анодирование Передняя панель - черный анод, задняя - серебристый анод Валкодер - черный анод Крышки - окраска, шагрень Полная спецификация и раскладка по ценам в рассылке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 694] Автор : evgeny_zaryanov Дата : 21.09.2019 10:22 Приветствую! как и где купить комплекты? Так же могу помощь с проектировкой и выпусков корпусов для данного трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 695] Автор : UT4UBK Дата : 27.09.2019 20:03 Апдейт 27.09.2019 Завтра планируется предварительный запуск SMT линии Упаковка к отправке плат подготовлена Активно допиливается софт. Практчиески закончен логгер, в будущем будут небольшие добавления (поиск по маске в базе, отправка QSO в другие онлайн хранилища, кроме qrz.com итд) На скриншотах - измененные интерфейсы (добавлен UI экспорт-импорт ADIF, экспорт на qrz.com, подключена экранная клавиатура для редактирования, добавлены вкладки ввода персональных настроек) Следующая неделя - монтаж, настройка и поэтапная отправка плат заказчикам Постараюсь успеть добавить новый AGC до отправки плат, если нет - то потом можно будет обновиться Очень много вопросов в личку, отвечу обязательно, как буду посвободнее. Небольшая просьба, для тестирования экспорта импорта ADIF, производительности БД хотелось бы получить большие ADIF файлы c количеством записей > 10тыс. и из разных программ логгеров. Я тестировал с logger32. Кто-нибудь может помочь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 696] Автор : UT4UBK Дата : 29.09.2019 18:54 Хочу поблагодарить откликнувшихся, кто поделился большими adif файлами. Работа импортера проверена с файлами из logger32 CQLOG и LogHХ Опробованы файлы с размером 12 тыс и 70 тыс записей Скорость импорта во внутреннюю базу данных SQLITE около 120 записей в секунду 70 тыс записей загружались около 9 минут Размер файла базы данных около 8 Мб Loopback уникального позывного по всей базе порядка 8 секунд (обычный SQL LIKE). Надо поработать с индексами и запросами, можно уменьшить до приемлимого времени и вынести ее в отдельную нитку чтобы не тормозить UI Ну и все это хозяйство крутится на 456 мГц В принципе - результатами доволен. У меня достаточно мощный комп, интересно было бы сравнить с производительностью на обычных компах и на разных логах, у кого есть цифры - буду благодарен, если поделитесь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 697] Автор : Kia2700d Дата : 30.09.2019 07:38 сравнить с производительностью на обычных компах 200 тысяч записей за 2 секунды на postgres. но там все грузится через буфер в ОЗУ и дальше большими блоками на SSD диск. нельзя сравнивать.. у вас для встроенной системы производительность то что надо, и хороший выбор движка sqlite.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 698] Автор : UT4UBK Дата : 30.09.2019 08:26 Насколько я смотрел код обычных логгеров - там везде sqlite стоит. Слышал в эфире, что после 50 тыс (какой логгер не помню) человек жаловался что лоопбэк до 4-5 секунд. В нашем случае можно уменьшить до 1-2 секунд (тесты покажут) если отказаться от like - он не использует индексы, тупо перебор всей таблицы. Да и не у всякого радиолюбителя есть такие объемные журналы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 699] Автор : Kia2700d Дата : 30.09.2019 22:18 кажется, чтобы like использовал индекс нужно его создать с опцией COLLATE NOCASE потому что по умолчанию like ищет по без учета регистра, а обычный индекс не отвечает этому требованию. https://stackoverflow.com/questions/12994870/sqlite-not-using-index-with-like-query https://stackoverflow.com/questions/8584499/sqlite-should-like-searchstr-use-an-index -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 700] Автор : UT4UBK Дата : 30.09.2019 23:00 Там есть лучше вариант, искать с обычным WHERE >< по стринговому полю с индексом. Только вместо % подставлять максимальное значение ASCII, на стаке был пример. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 701] Автор : UT4UBK Дата : 05.10.2019 15:37 Сегодня наконец получил 31 комплект печатных плат. Задержка была вызвана загрузкой участка ручного монтажа (запайка вертикальных штыревых разъемов ), хотя платы были собраны еще во вторник. На платах установлен крипточип для подписи ПО, уникальные ключи уже прошиты. Со следующих версий уже станет невозможным запуск ПО на платах, без этого чипа. У каждой платы есть свой уникальный серийный номер, он сохраняется в базе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 702] Автор : UN7RX Дата : 05.10.2019 17:13 На платах установлен крипточип для подписи ПО, уникальные ключи уже прошиты. Со следующих версий уже станет невозможным запуск ПО на платах, без этого чипа. UT4UBK, с развитием ветки я слегка потерял один момент, обязательный для всех подобных тем и неукоснительно соблюдаемый авторами на CQHAM. Конечная информация будет содержать 100% необходимого для самостоятельного изготовления любым желающим заглянувшим в эту ветку, без дополнительных обращений в лички, левые источники и прочее? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 703] Автор : UT4UBK Дата : 05.10.2019 17:27 А як же. Все материалы выложены, пустые платы раздаются, оставшиеся стенсилы отсылаются бесплатно, консультации тем, кто собирает самостоятельно даются. Прошивка в личку, как и в других темах. По защите я говорил об этом ранее. И да, прибыли никакой нет, все расчеты бомов были предоставлены всем желающим Осталось 4 пустых платы версии 3.0 и стенсил. Если кому надо, можно обратиться в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 704] Автор : UN7RX Дата : 05.10.2019 18:51 UT4UBK, спасибо! :super: Вопросов нет. Ну, а тем кому удобнее использовать полу- и просто готовое, предложения шикарные. Вообще на профессиональном уровне все выглядит. А как скромно было в начале :ржач: Просьба не пинать пианиста, он играет как может. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 705] Автор : Kia2700d Дата : 05.10.2019 22:48 из всего что я когда-либо встречал на цщахаме имхо EPMAK самый солидный и оригинальный проект, даже груп бай и мелкосерийная сборка правильно организованы, по мировым стандартам. никаких признаков колхоза! :-P. прошивка с личной подписью автора думаю совсем не повредит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 706] Автор : UT4UBK Дата : 15.10.2019 18:45 Всем добрый день, в конце этой недели будут отправлены оставшиеся 7 плат DSP заказчикам. Сейчас начинаю просчет модуля фронтенда. Никто не заказывал AD9744 с алика? Цены очень "конструктивные" по сравнению с arrow https://ru.aliexpress.com/item/4000194510012.html?spm=a2g0o.productlist.0.0.7b452525OahZpp&algo_pvid=1f12e0ae-6f5b-4393-8a41-be873b00fc73&algo_expid=1f12e0ae-6f5b-4393-8a41-be873b00fc73-29&btsid=1dcef633-20ab-4ae1-8c35-192494e86e22&ws_ab_test=searchweb0_0,searchweb201602_9,searchweb201603_55 Разница в два раза. LTC2165 от китайцев по $100 - рабочая, Cyclone IV - тоже , проверял оба варианта -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 707] Автор : R6BK Дата : 15.10.2019 20:06 Никто не заказывал AD9744 с алика? Приходят все рабочие, но бывают нормальные а бывают требующие инверсного кода. Если платы еще не заказывали, то лучше обратить внимание на DAC904E -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 708] Автор : UT4UBK Дата : 15.10.2019 20:54 DAC904E и AD9744 практически пин-ту-пин. DAC904E цена в три раза дешевле, чем AD9744 . Можно сделать варианты распайки под обе микросхемы. В чем то выигрыш еще будет? Просто оцениваю риски брать китайский клон в два раза дешевле -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 709] Автор : ra9qba Дата : 15.10.2019 20:56 Не знаю как на АлиЭкспресс, но тут были с прямым кодом, как на фото https://rover.ebay.com/rover/0/0/0?mpre=https%3A%2F%2Fwww.ebay.com%2Fulk%2Fitm%2F372247349575 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 710] Автор : RV3DLX Дата : 15.10.2019 21:03 Можно сделать варианты распайки под обе микросхемы. В трансивере Маламут-2 так и сделано, устанавливается резистор в разные позиции в зависимости от типа микросхемы. Все микросхемы DAC904E с Али, которые я и мои друзья получали работают нормально. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 711] Автор : UT4UBK Дата : 15.10.2019 21:10 А по остальным параметрам, выходной мощности, интермодам итд? Я договорился с поставщиком AD9744 получить три сэмпла и проверить. Не знаю как на АлиЭкспресс, но тут были с прямым кодом, как на фото https://rover.ebay.com/rover/0/0/0?mpre=https%3A%2F%2Fwww.ebay.com%2Fulk%2Fitm%2F372247349575 Кстати да, демпинг уже не такой зашкаливающий -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 712] Автор : UT4UBK Дата : 06.11.2019 20:23 Апдейт 06.11.2019 Практически вся комплектация на фронтенд в пути. Панели плат будут заказаны завтра (см скриншот). На это неделе будут закончены все чертежи кабелей и отправлены изготовителю. Ориентировочное время отгрузки фронтендов – первая неделя декабря. Были получены два сэмпла корпусов от изготовителя. Небольшое замечание – анодирование еще не сделано. Есть небольшие проблемы (вспучивание крышки от высокой температуры во время порошковой окраски, несоответствие чистоты поверхности для анодирования итд), но они уже решены. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 713] Автор : UT4UBK Дата : 07.11.2019 22:15 Сегодня была проведена пробная сборка корпуса с платами и измерение длины оставшихся кабелей (с учетом их прокладки в корпусе) для заказа на заводе. Был найдена небольшая ошибка - отсутствовал вырез под провод вентилятора. К сожалению, все панели уже изготовлены, придется это дорабатывать вручную с помощью надфиля. Для упрощения сборки также будет немного скорректирован размер FPU платы. Радиусы окна под индикатор уменьшены до минимума, да так смотрится лучше. Спасибо за совет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 714] Автор : UT0UM Дата : 07.11.2019 22:24 пробная сборка корпуса плата с кнопками прогнулась дугой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 715] Автор : UT4UBK Дата : 07.11.2019 22:25 Не , просто не ставил все пластиковые стойки для ее фиксации. И кабель LVDS немного поджал ее угол -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 716] Автор : UN7RX Дата : 07.11.2019 22:52 А что за кнопки? Это монолитная силиконовая тастура, или отдельные кнопки такие? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 717] Автор : UT4UBK Дата : 07.11.2019 22:54 Это обычные пластиковые толкатели -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 718] Автор : UT4UBK Дата : 08.11.2019 12:48 Коллеги, кто может подсказать проверенного поставщика FPGA EP4CE22E22C8N на алиэкспрессе? У моего проверенного они закончились на складе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 719] Автор : Livas60 Дата : 08.11.2019 14:52 Я приобретал у двоих продавцов. И у них тоже сейчас нет в наличии. У одного из них есть EP4CE22E22I7N: https://ru.aliexpress.com/item/32321998844.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 720] Автор : UT4UBK Дата : 08.11.2019 15:03 https://ru.aliexpress.com/item/32321998844.html У этого Kehunda тоже нет. Я брал у него несколько раз, сейчас оплатил , а он заявил что нет на складе, хорошо, что сразу же подтвердил отмену заказа, но все равно неприятно , сумма зависла приличная до возвращения на карту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 721] Автор : UT4UBK Дата : 10.11.2019 20:34 Апдейт 10.11.2019 Подготовлена полная и финальная КД для плат FP и PW Подготовлены гербера для мультипанели (две плата). Стоимость двух пустых плат в панели, с учетом быстрой доставки DHL соcтавляет $4.' По поводу копии LEMO разъема для подключения ключа и PTT, цена упала на 30% и стала почти вменямой для него https://ru.aliexpress.com/item/32951908427.html?spm=a2g0s.9042311.0.0.274233edgxCo64 $ 10.17 :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 722] Автор : Genadi Zawidowski Дата : 10.11.2019 21:05 доставки DHL Хотел предупредить что в России DHL с населением не работает. Растоможка от 200 долларов у них. На моем личном опыте получения (неполучения) сэмплов от Silabs и неполучения кварцев из Китая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 723] Автор : UT4UBK Дата : 10.11.2019 21:17 Геннадий, DHL доставка до меня, потом рассылка централизованная. Хотя, посылка получается 3.4 кг, и доставка DHL выходит дороже самих плат :crazy:те реальная цена платы выходит миньше 2 usd -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 724] Автор : UT4UBK Дата : 19.11.2019 19:12 Пришло время заказывать лазерную гравировку для передних панелей. После плодотворных дискуссий с коллегами - пришли к такому варианту надписей, толкатели будут двух разных цветов (серый и белый) 324323 По анодировке ручки валкодера еще полностью не определились - черная или темно красная 324324 Большая просьба - высказать свои замечания -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 725] Автор : R7KGA Дата : 19.11.2019 19:31 Передняя панель хороша, валкодеру лучше быть черным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 726] Автор : R4DZ Дата : 20.11.2019 10:29 Черная! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 727] Автор : Николов Дата : 20.11.2019 11:11 Константин , валкодеру лучше быть черным . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 728] Автор : Llll Дата : 20.11.2019 11:36 По анодировке ручки валкодера еще полностью не определились - черная или темно красная Не обычное решение :пиво: - я бы поэкспериментировал с цветом, например темно бордовый 324371 Многочисленные оттенки сатинового цвета 324372 Ну и для Vip заказчиков из янтаря ;-) 324373 --------------- Делайте ручки разных цветов - предлагайте на выбор ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 729] Автор : UT4UBK Дата : 03.12.2019 13:32 Кабель питания Выкладываю описание изготовления качественного кабеля питания для трансивера, не имеет смысла заказывать централизованно детали для него (кроме разъема MgaFIT и контактов - это входит в комплектацию) Список комплектующих: https://ru.aliexpress.com/item/32824171786.html?spm=a2g0s.9042311.0.0.274233edTZ8Rto 1метр красный+черный 12AWG https://ru.aliexpress.com/item/32824171786.html?spm=a2g0s.9042311.0.0.274233edTZ8Rto 1метр красный+черный 14AWG https://ru.aliexpress.com/item/32830142936.html?spm=a2g0s.9042311.0.0.274233edzt5SKe 2шт держатель предохранителей https://ru.aliexpress.com/item/32948119178.html?spm=a2g0o.productlist.0.0.6f3223d6Fqgujn&s=p&algo_pvid=434f35fb-79c0-4762-9b47-bfa871b68297&algo_expid=434f35fb-79c0-4762-9b47-bfa871b68297-5&btsid=2c68f10e-ec29-44ee-aee6-58c6c59dbac5&ws_ab_test=searchweb0_0,searchweb201602_9,searchweb201603_55 5-6 штук предохранитель 25A стандарт https://ru.aliexpress.com/item/32790100274.html?spm=a2g0o.productlist.0.0.5abf5df90pEb2t&algo_pvid=f353a087-c776-457a-a68b-fea5fdec1ed8&algo_expid=f353a087-c776-457a-a68b-fea5fdec1ed8-30&btsid=270cc4c2-ce69-4a1d-a65c-bef3eed1b7a2&ws_ab_test=searchweb0_0,searchweb201602_9,searchweb201603_55 2шт кабельный наконечник https://ru.aliexpress.com/item/32920174296.html?spm=a2g0o.productlist.0.0.ce236348xAokrz&algo_pvid=0bb94590-281c-42a3-a1a2-829db33d11d2&algo_expid=0bb94590-281c-42a3-a1a2-829db33d11d2-0&btsid=3cc0a3b2-f2c7-490f-9003-d5afc070ec6b&ws_ab_test=searchweb0_0,searchweb201602_9,searchweb201603_55 ферритовая защелка 1 шт https://ru.aliexpress.com/item/4000258655423.html?spm=a2g0o.cart.0.0.5ecf3c00YII90e&mp 1 (https://ru.aliexpress.com/item/4000258655423.html?spm=a2g0o.cart.0.0.5ecf3c00YII90e&mp=1) ферритовая защелка 1 шт 4 провода 14AWG 0.5м x 2 обжимается в разъеме MegaFIT и фиксируются термоусадочной трубкой. Со второй стороны они обжимаются в контакты держателей предохранителя. 2 провода 12WAG 1м обжимаются контакты держателей предохранителя и фиксируются термоусадочной трубкой.. Пластиковые держатели можно зафиксировать "суперклеем" после сборки. На кабеля одеваются две ферритовых EMI подавителя (12AWG можно сделать один виток) На концы проводов 12AWG обжимаются кабельные наконечники Детали можно посмотреть на фото. Из инструментов необходимо иметь - хороший кабельный обжимщик и термофен для нагрева термоусадочных трубок. Добавлено через 10 минут(ы): Апдейт по проекту Платы для фронтендов отправлены на участок монтажа Получены платы фронтпанелей и идет их комплектация Запущена печать надписей на кнопках для всех заказчиков Зафиксирован дизайн надписей для передней и задней панели. На этой недели планируется получение партии панелей и отправка их на лазерную гравировку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 730] Автор : Chin Дата : 04.12.2019 07:27 Все красиво и все такое...а вот сколько это все будет стоить? И будут ли варианты китовых наборов? А то как визаром все получится... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 731] Автор : Llll Дата : 04.12.2019 08:27 А то как визаром все получится... А что там с Визором случилось, - не продается, дорого или еще чего? Пропустил этот момент. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 732] Автор : UR5LAM Дата : 04.12.2019 09:55 LIII Off Очередь замерла и почти не движется. C qrz.ru: Кто может сообщить по движению очереди? Я(RW0LA) 32 -й. 28-й получил в мае, прошёл год, пошёл 2-й. А как у R7HC (33-й) и у UA3AFM (31-й)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 733] Автор : UR5VFT Дата : 04.12.2019 12:20 Большая просьба - высказать свои замечания - дизайн верньера а ля Дроздов не смотрится на вашей передней панели.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 734] Автор : UT4UBK Дата : 04.12.2019 13:00 Не хотел покупать и привязываться к стандартной ручке. А делать обрезинку нет возможности. Добавлено через 32 минут(ы): На всякий случай - прикладываю описание примененного разъема Mega-FIT для коммутации питания -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 735] Автор : ur7cq Дата : 04.12.2019 13:20 - дизайн верньера а ля Дроздов не смотрится на вашей передней панели.. Как по мне, очень даже неплохо такая ручка смотрится. Не так, как у всех, да, но это не причина однозначной оценки в плане истины. Мнений о цвете и форме фломастеров может быть много. Имел возможность почти целый день + вечер крутить ручку настройки трансивера Ермак, только положительные эмоции, удобно, ручка имеет вес, ощущения правильного решения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 736] Автор : UT4UBK Дата : 04.12.2019 13:51 Снял короткий ролик по работе с этим верньером. Внутри металл не убирался, поэтому он получился тяжелым, возможно быстро прокручивать его. На видео - шаг 5 Гц. Если не нравится такой подход, можно нанести смазку для оптики, тогда он не будет так свободно вращаться https://www.youtube.com/embed/5pakE5KrN48 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 737] Автор : yl2gl Дата : 04.12.2019 14:12 Ручку не стоило так зашлифовывать, заваливая грани и фаски, тогда и смотрелась бы по другому. В слесарных мастерских такое исполнение называлось "обмылок", не в обиду будет сказано... Должны быть чёткие грани и поверхности. Конечно, это только моё мнение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 738] Автор : UT4UBK Дата : 04.12.2019 14:18 Это первый вариант "обмылка" , он получился таким после шлифовки для получения хорошей анодировки . У текущего изготовителя (я приводил фото ранее ) такой проблемы не было. Хотя- посмотрим , что получится после шлифовки 325447 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 739] Автор : R4DZ Дата : 04.12.2019 14:37 - дизайн верньера а ля Дроздов не смотрится на вашей передней панели.. Меня устраивает вполне, сменить на другое не проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 740] Автор : UT4UBK Дата : 06.12.2019 18:47 Пришли толкатели с напечатанными надписями. Пока примерил на старой - светлой панели. Вопрос , для многофункциональных кнопок (8 штук внизу без надписей) - ставим белые или серые? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 741] Автор : R6BK Дата : 06.12.2019 19:19 Наверное серые лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 742] Автор : Ub6lod Дата : 06.12.2019 21:38 Кнопки наверное должны быть одного цвета. Но это ИМХО конечно) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 743] Автор : Николов Дата : 07.12.2019 11:28 Константин ,думаю серые лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 744] Автор : R4DZ Дата : 07.12.2019 11:48 за серые -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 745] Автор : UT4UBK Дата : 12.12.2019 17:27 Коллеги никто не сталкивался с фейковыми альтерами с алика? Какие признаки? Выкладываю фото, смущает отсутствие второй точки 325995 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 746] Автор : Chin Дата : 12.12.2019 19:38 Ставил с подобной маркировкой в Маламут 2, работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 747] Автор : Анатолий.Mel Дата : 12.12.2019 19:43 Константин здравствуйте. Брал примерно такие. Только у меня СЕ10 а у Вас СЕ22. Вот и сегодня получил 2шт. Прошлые 2 прекрасно работают в Маламут М2 Вот фото сегодняшних 326000 А вот фото той что уже работает 326001 Первые две брал в начале лета этого года. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 748] Автор : UT4UBK Дата : 12.12.2019 20:03 Анатолий, спасибо. Ага, второй вариант- могут быть перемаркировки с меньшей емкостью. Надо будет сравнить TQFP144 цоколевки CE10 и CE22 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 749] Автор : V205 Дата : 13.12.2019 01:57 Возможно ли ожидание китов для нищих радиолюбителей? К примеру заказ не сразу всего а постепенно ,по частям или заказ основных узлов а остальное допиливать самим по мере возможности ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 750] Автор : UT4UBK Дата : 03.01.2020 17:55 Закончено большое обновление софта (ARM -1.3 DSP 1.1) 1. Имплементировано новое AGCW. Внизу скриншоты с экрана. Его оcобенности: Привязка типа AGC по моде Вкл/Выкл , максимальное усиление в режиме АРУ, фиксированное усиление (при выключенном АРУ) - привязывается к бэнду Работа "вперед" используя линию задержки 2. Исправлена проблема встроенного форматировани флешек с уже размеченными разделами (спасибо Андрею R7KGA за тестирование различных флешек) 3. Добавлена встроенная утилита для обновление фирмвари FPGA. В FPGA реализован бутлоадер по SPI Следующий этап - введение нового бэнда (6m), переназначение кнопок под него, реализация стековой памяти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 751] Автор : twskm Дата : 08.01.2020 11:33 Как и у кого заказать полный комплект? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 752] Автор : fedorenko28 Дата : 08.01.2020 14:59 Чего проще то? Напишите автору, получите исчерпывающий ответ по этому вопросу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 753] Автор : twskm Дата : 08.01.2020 15:48 UT4UBK, Приветствую Вас! есть ли в наличии полный комплект и какова стоимость? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 754] Автор : UT4UBK Дата : 08.01.2020 21:14 Добрый день, пару дней назад был открыт WiKi сайт по проекту, где будет аккумулироваться вся информация. Тк много полезной информации теряется в треде на форуме. Статьи и руководства появляются по мере отправки комплектов и получения фидбека. В ближайшей перспективе - руководства по сборке плат PW & FPU и корпуса http://sdr-ermak.com -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 755] Автор : SP3OSJ Дата : 09.01.2020 23:48 Добрый день, Konstantin, Це ваша робота?: https://silphase.com/downloads/Silphase_R1_leaflet_v3.pdf 73 Artur -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 756] Автор : EU1SW Дата : 10.01.2020 13:36 Закончено большое обновление софта (ARM -1.3 DSP 1.1) Добрый день! Константин, информация по модулю CPU и его прошивке доступна для самостоятельного изготовления? В прикреплении старт топика инфа отсутствует. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 757] Автор : ub1amz Дата : 10.01.2020 16:19 Атачи видны только на 1 странице темы. Там и qar и схема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 758] Автор : UT4UBK Дата : 10.01.2020 18:14 Прошивка в личку. Давайте запрос - отправлю. Какая у вас версия платы? Более новые прошивки можно прямо скачать из консоли трансивера, Нужно вставить флешку и в консоли набрать sftp ftpermak@sdr-ermak.com:/fw/bsp-ti-omap-l137-evm.ifs /media Схемы потерялсь в недрах треда, будут перенесены в вики -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 759] Автор : UN7RX Дата : 10.01.2020 21:59 Схемы потерялсь в недрах треда, будут перенесены в вики Константин, я очень рад что появилось вики и вовсю развернут и штампуется производственный процесс, судя по всему. Но напомню, что согласно общим требованиям изложенным в Правилах к авторским темам, в самой теме должна быть вся информация для самостоятельной сборки, пользователь не должен где то еще что-то искать. В теме несколько раз звучали обещания что именно так и будет, в результате она скатывается к доске объявлений, информированию и пожеланиям. И как понимать "прошивка в личку"? Завтра изменится погода, или ваше настроение, а в теме нет и не предвидится полной информации для самого ее существования. Актуализируйте текущее состояние и финальные перспективы, можно мне в ЛС, чтобы не разводить тут не технического содержания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 760] Автор : Kia2700d Дата : 10.01.2020 23:29 я вижу схему и прошивку норм, на первой странице. вики полезен потому что движок на этом форуме остановился в развитии лет 15 назад и не способен представлять информацию в удобном формате. многие жалуются, что найти нужный файл или сообщение практически невозможно, надо листать сотни страниц. поиск ограничен интервалами в 20 сек. 21й век на дворе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 761] Автор : UT4UBK Дата : 11.01.2020 00:23 Роберт, судя по всему мне придется дать развернутый лично Вам и другим "неравнодушным" и "бдительным" коллегам :-P ответ, чтобы прекратить рождение всяких "нездоровых" сенсаций. И именно в открытой форме,а не в личку. Давайте по пунктам Но напомню, что согласно общим требованиям изложенным в Правилах к авторским темам, в самой теме должна быть вся информация для самостоятельной сборки, пользователь не должен где то еще что-то искать. К сожалению, форма подачи материала в формате форума затрудняет передачу информации в сжатом и удобном виде. А информации было выложено много, по мере сборки комплектов - еще больше появляется вопросов, поэтому, для экономии нервов заказчиков (и моего времени) мне несложно было найти $8 на покупку домена , $6 - в месяц на оплату клауда (hetzner) и 10$ за годовую лицензию Confluence Atlassian + 8$ покупка сертификата. Более того, как владелец Вашего ресурса, Вы прекрасно знаете, что я не могу изменять шапку. Если Вы готовы лично ее изменить, для более удобной подачи материала - почему бы нет, я с радостью Вам помогу. В теме несколько раз звучали обещания что именно так и будет, в результате она скатывается к доске объявлений, информированию и пожеланиям. Как Вы можете, Роберт, заметить, я именно это и сделал, и подал информацию в более удобном виде. "Доска объявлений" + "информированию" + "пожеланиям" - это как раз касается заказчиков, перед которыми я несу ответственность и денежные риски. Я готов обсудить любые пожелания, открыт к обсуждениям, с удовольствием поделюсь (и делился ) наработками. Надеюсь, они оказались полезными и не провоцировали бесконечные флеймы, с чем Вы, владелец этого замечательного ресурса всеми силами боритесь. И как понимать "прошивка в личку"? Завтра изменится погода, или ваше настроение, а в теме нет и не предвидится полной информации для самого ее существования. Да не проблема, жалко что-ли :-| Но, хочу Вам напомнить, что размер прошивки уже достиг 17 мБ, и формат форума не позволяет прикреплять такие большие сообщения. Поэтому были предложена два варианта Если хочется здесь и сейчас (aka EU1SW & UB1AMZ) - обращайтесь в "личку", или подождать, пока она не будет выложена на ресурсе sdr-*****.**m Для большего удобства обновления заказчиками, она уже есть на FTP сервере, и ее можно скачать, зайдя в консоль трансивера и набрав команду. Сергей EU1SW & UB1AMZ (к сожалению не нашел Вашего имени ) - вот ссылка, по которой Вы сможете ее скачать. И да, он не имеет привязки к крипточипу. Пользуйтесь на здоровье, если будут вопросы - обращайтесь. https://www.dropbox.com/sh/4x52j3q5dn7rub3/AAD6i9P5I51mDj_CbKcLzc3ka?dl=0 Актуализируйте текущее состояние и финальные перспективы Поспешу успокоить я не использую Ваш ресурс для коммерческой раскрутки проекта, хотя бы потому: Проект - некоммерческий, все расчеты БОМов публиковались открыто и Вы могли с ними ознакомиться Финальные перспективы - закончить 30 комплектов и помочь их собрать заказчикам. О чем я их, Вас и других коллег информировал. Теперь о самом больном вопросе - коммерческой соcтавляющей. ЕЕ - на данный момент нет, будет - ли, честно - не знаю. Почему бы Вам не посмотреть на это с другой стороны. Мой опыт , контакты позволяют помочь коллегам собрать на хорошем техническом уровне платы с минимальной 5% наценкой. Мне кажется, не так много людей на этом форуме готовы собирать вручную вот это 327690327691 Вы , как владелец ресурса, можете читать переписку и не сможете меня упрекнуть в том , чего не было. На вопросы - как купить, сколько стоит, я не давал конкретных ответов, тк если фидбэк от коллег будет положительный, можно будет об этом подумать. Но сейчас, слишком рано об этом говорить. Если Вас интересует, сколько я заработал на 30 заказчиках, отвечаю - нисколько , даже ушел в "минуса". Подробнейшая калькуляция закупок была предоставлена в почтовой рассылке. И напоследок, чтобы отдать должное Вашему ресурсу, который помог мне найти коллег, (с некоторыми мы не общались со времен института и опять нас жизнь свела ), рутовый пароль консоли трансивера - cqham -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 762] Автор : UN7RX Дата : 11.01.2020 04:23 Теперь о самом больном вопросе - коммерческой соcтавляющей. ЕЕ - на данный момент нет, будет - ли, честно - не знаю. Ок, давайте отсюда, с учетом всего Вами вышесказанного. В коммерческой составляющей нет ничего плохого, или недопустимого, именно с учетом вышеизложенного. Более того, если мне захочется обзавестись таким трансивером, мне да и подавляющему количеству посетителей ветки и проще и дешевле будет сделать это через Вас (или тех кому вы делегируете определенные полномочия) в виде китов, или готовых конструкций, чем собирать все поштучно самому. Сам факт второго, что эта самостоятельность, кому очень нужно, 100% возможна, снимает все вопросы по коммерции, в том числе не только у администрации. Что до размещения материала, то пожалуй пока сделаем паузу по обновлению стартового топика, судя по всему работа ведется непрерывно и менять регулярно инфо нет смысла, немного подождем более-менее финального, а уж текущие новинки и модификации пусть черпают из Вики. Скорее всего потом создадим отдельную, новую тему, с ограничениями для пользователей, где будет размещена вся информация с нуля (при необходимости 2-3 подряд первых Ваших поста, даже пустые сгодятся, потом заполним), и исключительно уточняющими вопросами собирающих. Файлы не влезающие по объему, может добавить Администратор А эта будет "для всего". Ок, Константин, вопросов нет, думаю для окружающих тоже все предельно разложено. Для остальных - прошу далее эту тему не затрагивать в топике. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 763] Автор : UT4UBK Дата : 21.01.2020 22:42 Апдейт на текущий момент. Фронтенды 27 штук проверены и измерены параметры (RX,TX IMD на трех диапазонах) 5 штук - надо разбираться детально. На этой неделе будут отправки Корпуса - панели (передние, задние, ручки ) приехали. Ждут лазерной гравировки. После гравировки - сразу же отправка заказчикам Небольшое дополнение, хостер жалуется, что сайт WiKi постоянно брутофорсят и пытаются взломать неизвестные "герои" . Логи попыток auth.log за день достигают размера 3 мбайт! Ну что за люди - не дают спокойно жить :facepalm: Брутфорс продолжается до сих пор, наблюдаю в онлайне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 764] Автор : Val53 Дата : 05.02.2020 18:51 Очень красивый дизайн передней панели. Конструкторам VisAir надо поучится. Размеры VisAir соответствуют размерам телевизоров середины прошлого века. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 765] Автор : R4DZ Дата : 05.02.2020 18:58 [quote="Val53;1716219"]VisAir надо поучится. Размеры VisAir соответствуют размерам телевизоров середины прошлого века.[/quot Чем уж вам не угодил он?размеры не больше средних японских трансиверов!Вы хоть в живую его видели? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 766] Автор : Val53 Дата : 05.02.2020 20:22 Vis Air мне не по карману, да и внешний вид отпугивает. А старший его брат с 5' дисплеем имею в наличии. Работаю на нем и очень нравится. Хотел фото прикрепить не получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 767] Автор : UN7RX Дата : 05.02.2020 20:55 Брутфорс продолжается до сих пор, наблюдаю в онлайне. Смесь зависти, жадности и злобы, единственное что в голове таких идиотов. Кого то видимо сильно задело, что такая разработка является абсолютно всем доступной, наверное кто-то с бизнес планом обломался... Дизайн прекрасный. Немного портят впечатление типовые ручки энкодеров с Али. Лучше уж поставить пластиковые, конусные, с "юбкой", лучше будут смотреться. Где и металлические такие видел, но металл вещь "холодная", на любителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 768] Автор : Alexan Дата : 11.02.2020 20:11 Приветствую.Замечательный трансивер.Подскажите ,а возможно его подключить к манитору (комп) для просмотра понорамы, и какой обзор 96кгц,192кгц или более.Зрение уже не то ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 769] Автор : UT4UBK Дата : 21.02.2020 22:04 Обзор от 6КГц до 960КГц. По подключению монитору - только в удаленном режиме, планируется возможность подключения из браузера. Купил новые геймерские наушники https://www.moyo.ua/igrovaya_garnitura_hyperx_cloud_alpha/375736.html звук и микрофон очень достойные. Качество изготовления также на высоте. Было много дискуссий, чтобы поставить два джека (отдельно - микрофон, отдельно - наушники). Но пока места на передней панели для второго нет. С другой стороны- такие геймерские наушники идут в комплекте с 4 пиновым джеком. На худой конец - можно использовать переходник 330244 Завтра будет начата отправка корпусов. Спасибо семье (жене и дочери) за помощь, сортировали винтики по пакетикам, пока был на работе! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 770] Автор : UT4UBK Дата : 03.03.2020 14:43 Коллеги, есть вопрос заказчикам из РФ. Был проведен опрос (в email рассылке) по платам BPF и предложено три варианта (голые платы, платы только реле и полностью спаянные платы без индуктивностей ). Получил 12 ответов, из них только 5 человек запросили изготовление плат на SMT. Есть еще время для принятия решений (1-2 недели). Мне, конечно же будет проще отправить голые платы, но я не уверен, что после этого ветка не превратится бесконечный тред, как по другим SDR трансиверам, где ведется обсуждения плохой пайки, некачественных микросхем с али итд Решение за вами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 771] Автор : UT4UBK Дата : 06.03.2020 13:29 Хотелось бы обсудить дальнейшее развитие проекта. То что касается DSP части. Текущей производительности хватает с головой на все мыслимые пожелания. Плюс есть готовый рабочий код, поэтому логично - оставаться на DSP от TI. Вышли и заказаны очень неплохие SoC от TI, есть версии до 1GHz по очень вменяемым ценам https://www.ti.com/product/66AK2G12 Что касается MCU части. 1. Переход на 7 дюймовый дисплей с большим разрешением https://www.buydisplay.com/color-7-inch-1024x600-tft-lcd-panel-optional-touchscreen-at070tna2 2. Использование DDR3 памяти 3. Поставить EMMC 4GB (или остаться на NAND) 4. Добавить большее количество энкодеров - 8 штук 5. Добавить кнопки 6. Добавить еще один VFO енкодер (??) 7. LED подсветка кнопок 8. Выход под внешний монитор 9. ??? По программной части - миграция на QNX 7.0 + QT lib или Linux +QT lib В такой конфигурации уже можно будет запустить полноценный FT8 декодер+енкодер на борту. Какие еще "плюшки" хотелось бы добавить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 772] Автор : RN3KK Дата : 06.03.2020 14:21 Нужно отделить мух от котлет и сделать один раз нормально -отделить ВЧ часть с преобразованиями и формированиями панорам, звука в один смысловой блок (в том числе железно конструктивный) -сделать физическую панель управления для юзера -сделать софт для ПК для юзера -выделить АПИ общения фронэнда и бэкэнда для реализации взамодейсвия между компонентами системы Сделав один раз правильно, вы получаете гибкую систему с возможностью -сделать радио все в одном, подрубив шлейф панели управления в мазерборд -сделать отдельно радио (под столом) отдельно физическая панель управления (на столе) -утащить панель управления в облако и конектиться к железу через облако или как то еще -сделать софт для работы через облако или в локалке А то тупикова ветка в стиле висаир вдится. Судьба его кстати понятна - канул в никуда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 773] Автор : QUEEX Дата : 06.03.2020 15:11 Удалённое управление под ключ в наше время с индустриальными помехами очень актуально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 774] Автор : RN3KK Дата : 06.03.2020 15:13 У ребят все для этого сделано. Просто порядок навести и все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 775] Автор : Alexan Дата : 06.03.2020 16:16 Konstantin, Це ваша робота?: https://silphase.com/downloads/Silphase_R1_leaflet_v3.pdf Классно сделан ,глаз радует.Для выездов на природу и не только!И кто вояет эти скрипки Страдивари.И не увидел цену.А так слюни потекли... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 776] Автор : UT4UBK Дата : 06.03.2020 16:52 Это внебрачный ребёнок этого проекта. С моей стороны только техническая поддержка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 777] Автор : UY0FF Дата : 06.03.2020 17:41 Вот их сайт с ценами - https://silphase.com/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 778] Автор : UT4UBK Дата : 06.03.2020 18:14 По ценам это точно не у меня узнавать. Спрашивайте у них напрямую. И что они за это предлагают. Платы им были отгружены. RN3KK. То что вы предлагаете это концепция флексов. Одно но, по умолчанию такая система не будет дешёвой. Указанный процессор стоит около 20 usd. Может имеет смысл сделать упор на Web доступ, имея большую мощность на борту? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 779] Автор : RN3KK Дата : 06.03.2020 18:38 web доступ хорош до определенного момента. Там будут плавать задержки и не понятно пока как для всего протягивать управление, чтобы перекрыть все потребности общества, а именно телеграф, цифра которая чувствительна к задержкам, ссб. Я не против web, если есть четкое понимание как это будет работать. К тому же нет разницы, какой клиент(web или программный). Тут вопрос лишь в концепте. К тому же я сколько не изучал, вменяемой технологии UDP для web так и не встретилось. Концепция которую я предлагаю не нова - трансивер это есть сервер к которому ходят клиенты с использованием протокола (некого своего, на базе xml или json для управления, и не понятно каким протоколом для IQ потоков) В общем тут нужно думать, возможно декодирование цифры нужно делать на сервере, как и скиммер сервер, чтобы на клиента сыпались сразу данные. А то сейчас у всех перекос есть с неправильным подходом. Клиент должен быть легким и тонким каналом и стабильной работой. Поле непаханное на самом деле. Даже флексы тут недоработали. Кстати флекс на базе бигл бон сделан с ARM линуксом на борту (он перед глазами у меня, как и ssh доступ к операционке). Отлаживаться можно на обычных ПК в таком случае. IQ гнать на клиента наверное это не правильно. Нужно на сервере все делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 780] Автор : UT4UBK Дата : 06.03.2020 18:56 WEB хорош тем, что можно снизить требования к каналу, и не заниматься поддержкой софта на различных платформах. Плюс - полная, платформонезависимая отрисовка на клиенте. Первые шаги в этом направлении уже сделаны. По UDP в вебе - QUIC, пока только в хроме, но думаю - со временем он умрет. Сделать FT8 на сервере - ничего сложного нет. И доступ по вебу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 781] Автор : Alexan Дата : 06.03.2020 20:38 Вот их сайт с ценами - https://silphase.com/ Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 782] Автор : linoobs Дата : 14.03.2020 03:28 Прошу прощения, всю тему не перечитывал, только последние пару десятков страниц, не могу найти ни схему ни прошивку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 783] Автор : vadim_d Дата : 14.03.2020 12:40 только последние пару десятков страниц Гляньте на первую -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 784] Автор : linoobs Дата : 14.03.2020 13:40 Нашел спасибо, это поеследний вариант прошивки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 785] Автор : EU1SW Дата : 14.03.2020 13:47 это прошивка ПЛИС -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 786] Автор : linoobs Дата : 14.03.2020 13:58 Материала на первой странице достаточно для самостоятельной сборки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 787] Автор : SVd2004 Дата : 14.03.2020 14:03 https://sdr-ermak.com/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 788] Автор : linoobs Дата : 14.03.2020 14:56 Не нашел даже схемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 789] Автор : SVd2004 Дата : 14.03.2020 15:20 Ну так, если на сайте автора ничего не нашли, что ещё спрашивать? Он предлагал всем желающим готовые платы с прошивками (с обоими) по себестоимости... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 790] Автор : UN7RX Дата : 14.03.2020 15:33 Я тоже не нашел документации для самостоятельной сборки "с нуля". Константин, где это на wiki? Или еще где то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 791] Автор : linoobs Дата : 14.03.2020 15:53 Ну так, если на сайте автора ничего не нашли, что ещё спрашивать? А зачем отправлять на сайт за схемой, если ее там нет? Платы от автора мне все равно никак не купить, а была бы рабочая схема и прошивка, можно и самому развести. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 792] Автор : SVd2004 Дата : 14.03.2020 16:02 Платы от автора мне все равно никак не купить Без прошивки автора (программа и ОС) для процессора, всё равно никуда... Это ключик для этого трансивера... ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 793] Автор : linoobs Дата : 14.03.2020 16:05 Без прошивки автора (программа и ОС) для процессора, всё равно никуда... Это ключик для этого трансивера... ;-) Так я об этом и говорю, что не могу ее найти, хотя все 75 страниц пишется, что она есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 794] Автор : SVd2004 Дата : 14.03.2020 16:22 не могу ее найти, хотя все 75 страниц пишется, что она есть В теме всё есть про прошивку, вы просто не читали http://www.cqham.ru/forum/showthread.php?35581-SDR-DDC-трансивер-ЕРМАК&p=1709407&viewfull=1#post1709407 Но, как я понимаю, только для оригинальной платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 795] Автор : UT4UBK Дата : 14.03.2020 16:37 Вот без меня меня женили. Все платы+гербер есть в теме. Нужна последняя плата 3.1- можно обратиться в личку. Вики в процессе наполнения, в первую очередь выкладывается подробная информация для заказчиков. Были старые стенсил, кому-то отдал. Единственный момент, по консультациям самосборщикам, будут задержки из-за нехватки свободного времени. Прошивки в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 796] Автор : UN7RX Дата : 15.03.2020 09:24 Константин, а где именно в теме? В первом посту темы закреплены файлы датированные июнем прошлого года, это оно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 797] Автор : R7KGA Дата : 15.03.2020 14:35 Начиная с 49 страницы темы, сообщение #490 (02.06.2019) выкладывались схемы, герберы, описания -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 798] Автор : UT4UBK Дата : 15.03.2020 19:55 Спасибо Андрей, вот отсюда http://www.cqham.ru/forum/showthread.php?35581-SDR-DDC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%C5%D0%CC%C0%CA/page49 Выкладывал максимально подробно всю инфу, накопившуюся у меня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 799] Автор : UT4UBK Дата : 24.03.2020 01:06 Выложена экспериментальная прошивка 1.3.2-s Качать тут - 1.3.2-s (https://nx8619.your-storageshare.de/s/xt2R7LikpjcpYt5) Пока на свой страх и риск https://www.cq-radio.net/forum/styles/default/xenforo/personal/buba.gif Протестировал десяток раз, автообновление не сбоит Она устанавливается по старом варианту, через флешку. Инструкция здесь https://sdr-ermak.com/pages/viewpage.action?pageId=327712 Перед установкой желательно почистить из UBOOT основной раздел: nand erase.part filesystem И потом обновить этой прошивкой. Теперь, после ее установки можно войти в консоль и набрать команду: updfw.sh bsp-ti-omap-l137-evm.ifs Скрипт скачает файл с сервера и зальет его в NAND Осталось прикрутить GUI - для проверки обновлений, вывода информации на экран о новшествах в текущем релизе итд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 800] Автор : UT4UBK Дата : 24.03.2020 18:44 Подготовлена серверная инфраструктура для обновления на различные версии. Сейчас выложена версия 1.3.3-s Теперь, чтобы обновится на нужную версию, в консоли (можно зайти в консоль на самом трансивере, не подключая uart) надо набрать команду updfw.sh 1.3.3-s Где аргумент - номер версии. Также к трансиверу можно удаленно подключиться по telnet и обновить софт Небольшое замечание, во время обновления отключается встроенный диск на 196МБайт, поэтому изменения (смены бэндов, модов итд )не сохраняются. По окончании обновления - он снова монтируется, и трансивер работает в обычном режиме В GUI будет происходить обновление только на последнюю актуальную версию, из консоли - можно откатиться на любую -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 801] Автор : UT4UBK Дата : 26.03.2020 23:24 На сервере выложена версия 1.3.5-s С предыдущих версий обновляться командой updfw.sh 1.3.5-s После ее установки в окне About появится дополнительная информация. Кнопкйй Refresh - проверяется наличие новых обновлений, если они есть, то в текстовом окне будет краткая информация о новшествах. Тогда становится доступной кнопка Download и появляется возможность обновится до последней версии. Индикатор CPU loading обновляется каждую секунду. При обновлении загрузка процессора увеличивается до 100% тк для связи с сервером используется ssh соединение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 802] Автор : UT4UBK Дата : 28.03.2020 21:58 Выложено обновление 1.3.7-s на сервере. Можно ставить. Начиная с версии 1.3.5-s консоль не нужна, можно обновляться прямо из GUI - окно About (долгое нажатие "1") Из главных новостей- поддержка PTT кабеля. В стандартном кабеле, что был отправлен заказчикам , желтый - земля, зеленый - PTT. При замыкании - трансивер уходит на передачу. Оставшихся два провода (красный и черный) на ключ. Вопрос - нужна ли отдельная галка в меню, чтобы разрешить педаль PTT? В следующем обновлении по роадмапу (1.3.8-s): Добавлено изменение скорости waterfall индикатора (и заменяется регулировка подсветки в меню). Изменение яркости подсветки TFT вынесено на отдельную кнопку (Long press "2"). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 803] Автор : UT4UBK Дата : 04.04.2020 16:46 Коллеги, требуется помощь зала. Есть еще одна идея. Трансивер можно подключать к nfs сетевым дискам. Тогда можно осуществлять длительные фоновые записи радиообмена на внешние , неограниченные носители (https://windowsreport.com/windows-10-free-nfs-server/). Я сейчас иcпользую эту возможность только для отладки софта. Насколько такая опция востребована? Также в нем есть клиент телнета. Можно подключаться к скиммерам и выводить метки позывных на шкалу. Единственно - места на экране мало для них. Может в этом режиме убирать waterfall (или делать его в два раза меньше) и там выводить метки (цвет - вид работы, также можно делать запросы в лог и помечать ,с которыми были связи)? На всякий случай - роадмап софта https://sdr-ermak.com/x/LgEw -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 804] Автор : Phoenix Дата : 06.04.2020 19:36 Хотелось бы обсудить дальнейшее развитие проекта. ... Какие еще "плюшки" хотелось бы добавить? Программное фазирование антенн для подавления шума эфира. Было бы круто. Это дорого по железу. Но ещё ценнее алгоритмы и их программная реализация. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 805] Автор : UT4UBK Дата : 06.04.2020 20:03 Для этого надо ставить второе ADC, те точно не в рамках данной конструкции -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 806] Автор : Phoenix Дата : 08.04.2020 12:23 Есть предложение: в ФНЧ 160 м заменить 2xT50-2 на T50-1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 807] Автор : UT4UBK Дата : 08.04.2020 13:02 Синие? Греются, проверял на T68-1, кольца брал с USA Или у вас другой опыт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 808] Автор : Phoenix Дата : 09.04.2020 09:00 Синие? Греются, проверял на T68-1, кольца брал с USA Или у вас другой опыт? Жаль. Я заказал себе T50-1 для 160 м и T50-10 для 10 м, пока не пробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 809] Автор : UR5LAM Дата : 16.04.2020 12:27 Испытал трансивер в режиме плотного минитеста, звучит комфортно, фильтрация хорошая, несколько станций в полосе читаются неплохо. https://www.youtube.com/watch?v=ZIys_xs2BUY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 810] Автор : RX3APM Sergey Дата : 16.04.2020 15:02 UR5LAM, Какой у вас эфир чистый, очень тихо. Шумовая полка -122-124, примерно. Тему не читал, поэтому «смешной» вопрос, а этот трансивер производится, есть в продаже, или собирать самому только ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 811] Автор : rz3qs Дата : 16.04.2020 15:25 Испытал трансивер Это трансивер дает или запись такая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 812] Автор : UR5LAM Дата : 16.04.2020 16:22 Тему не читал, поэтому «смешной» вопрос, а этот трансивер производится, есть в продаже, или собирать самому только ? Константин UT4UBK разработал все, для полного кит-а. Немного нужно попаять, но в основном «отверточная» сборка. На счёт продажи, это вопрос к автору проекта. Это трансивер дает или запись такая. Снимал смартфоном, через его микрофон, звук выводился через внешний УНЧ (класс D), поэтому сказать доподлинно, чьи «палки» пока не представляется возможным. Планирую попасть к Юрию UT4LW что бы обмерить трансивер по полной программе. Но это позже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 813] Автор : EU1SW Дата : 16.04.2020 17:24 Александр, это кино мы уже видели, победит SS2 ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 814] Автор : UR5LAM Дата : 16.04.2020 21:11 это кино мы уже видели, победит SS2 ) Измерения штука беспощадная, что приборы покажут, то и запишем в таблицу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 815] Автор : ur7cq Дата : 16.04.2020 22:01 Измерения всегда интересно, когда объективно. Таблицы бывают разными, как и методы измерений для разных таблиц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 816] Автор : R4DZ Дата : 16.04.2020 22:23 интересно кто будет делать измерения? и с чем сравнивать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 817] Автор : UT0UM Дата : 16.04.2020 23:06 интересно ... Планирую попасть к Юрию UT4LW что бы обмерить трансивер по полной программе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 818] Автор : UR5LAM Дата : 16.04.2020 23:15 Несколько фото из моего шэка. 333513 333514 333515 333516 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 819] Автор : RL3Q Дата : 17.04.2020 16:32 определено не нравится этот ермаковский спектроскоп другие видео смотрел тоже не понравилосьсорри за офф покажите свое со словами я сделал лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 820] Автор : UN7RX Дата : 17.04.2020 17:12 определено не нравится этот ермаковский спектроскоп другие видео смотрел тоже не понравилось 5 баллов за флуд, троллинг и банально свинское поведение в авторской теме. Повторяю ВСЕМ - не нравится, НЕ ЗАХОДИТЕ в тему! Ваше "фе оставляете при себе, оно не интересно ни автору, ни тем кто повторяет его конструкцию! :evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 821] Автор : UR6LCK Дата : 17.04.2020 18:31 UR5LAM, Два слова как впечатления ? Вам есть с чем сравнить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 822] Автор : UR5LAM Дата : 17.04.2020 20:57 От A vs B сравнений пока воздержусь, ибо новая игрушка всегда нравится. Первые впечатления более чем положительные. Есть некоторые вопросы к организации фильтров в телеграфе и телефоне (по два на моду явно не достаточно), так же есть некоторые вопросы к эргономике, например зачем мне работая CW, видеть все фильтры SSB, ведь куда логичней вместо них видеть только телеграфные фильтры и в большем количестве. Но, самое главное, это работа приемника, а он слышит (!), и само звучание приемника нравится, очень хорошо и четко разбираются слабые станции которые идут на уровне шума. Пока просто слушаю в оба уха и наслаждаюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 823] Автор : EU1SW Дата : 17.04.2020 21:09 это работа приемника, а он слышит (!), и само звучание приемника нравится, очень хорошо и четко разбираются слабые станции которые идут на уровне шума. Пока просто слушаю в оба уха и наслаждаюсь. а с чем сравниваете, что было до этого? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 824] Автор : RX3APM Sergey Дата : 18.04.2020 02:31 а с чем сравниваете, что было до этого? Судя по многочисленным постам в разных темах на разных форумах, у UR5LAM до этого был (и есть ?) сансдр2про. Интересно сравнить, конечно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 825] Автор : UT4UBK Дата : 18.04.2020 09:26 Сергей, вам логи auth.log сервера показать? Послушайте, мне что нечем заниматься, как сеошников нанимать или рекламу толкать? По делу есть что сказать? По набить платы - было две платы, фронт панели и pw, которые вручную запаивались, их не имело смысла отдавать на smt -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 826] Автор : vadim_d Дата : 18.04.2020 10:23 трансивер полностью не собран Тем не менее за фотографии огромное спасибо, одно дело глядеть на сгенеренные 3D картинки из CAD, и совсем другое - на собранный корпус. Кольцо под ручкой настройки на первых двух снимках выглядит желтоватым на фоне кнопок, а на третьем вполне в тоне, видимо особенность освещения. С нетерпением жду начала науки по Менделееву и лорду Кельвину ("там, где начинают измерять") :) сансдр в таких же условиях, сравнивайте смело ) Не, там уже сколько лет софт дорабатывается :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 827] Автор : UN7RX Дата : 18.04.2020 10:52 Я что, непонятно объясняю? Прекращаем флуд в теме! :evil: Хотите что то и с чем то сравнить - валяйте в флудраздел и хоть засравнивайтесь! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 828] Автор : RX3APM Sergey Дата : 18.04.2020 11:27 UN7RX, Вы уже перегибаете. Никто, как вы и требовали, ничего плохого не говорит, автора и его детище грязью не поливает. Но постулат, «всё познаётся в сравнении», как бы вы не хотели, никто не отменит. Так как, действительно, всё познаётся именно в сравнении. Конструкция вызвала интерес, в ветку потянулись люди, увидели/услышали видео работы трансивера, это произвело большое впечатление. Естественно, хочется сравнить, обсудить, и тд. Если вы всех отсюда разгоните, забаните, и тд, то тут останется только автор, ну и вы лично, возможно. Популярные конструкции обречены на флуд, это и есть мера оценки и признания, яркий пример - нескончаемые ветки про сансдр, и так, и эдак его хают, но все сидят, как наркоманы, и соскочить не могут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 829] Автор : UR5LAM Дата : 18.04.2020 13:07 Слушаю тест на 20м. https://www.youtube.com/watch?v=n_bvV0IytGY& t=2s -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 830] Автор : UN7RX Дата : 18.04.2020 13:46 Если вы всех отсюда разгоните, забаните, и тд, то тут останется только автор, ну и вы лично, возможно. Нет, свалят тролли и любителя потрепаться. А кто желает СОБИРАТЬ - останется и вздохнут свободнее. Не нужно мне рассказывать про результат, я намного лучше знаю что из этого получится, просто потому что вижу картинку с другой стороны. Популярные конструкции обречены на флуд, это и есть мера оценки и признания, яркий пример - нескончаемые ветки про сансдр, и так, и эдак его хают, но все сидят, как наркоманы, и соскочить не могут. Именно. Большей помойки чем эта тема не найти, найти там что-то нужное ПОЛЬЗОВАТЕЛЯМ практически нереально, благодаря болтунам. Вот и дискутируйте в стороне. Откройте в разделе оффтопа тему "Трансиверы с CQHAM" и можете там хоть на голове стоять. Дискуссия окончена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 831] Автор : UT4LW Дата : 18.04.2020 15:11 Слушаю тест на 20м. Как-то странно работает АРУ. Когда в полосе появляется вторая станция, общий уровень подскакивает. Как будто АРУ работает не по уровню, а по мощности, или еще как-то. Не говорю, что это плохо. Может это какие-то акустические резонансы? Александр, можно сделать записи с линейного выхода? 333628 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 832] Автор : UT4UBK Дата : 18.04.2020 15:14 Можно прямо на флешку сделать запись. Код АРУ из POWERSDR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 833] Автор : UT4UBK Дата : 18.04.2020 17:14 Вот картинки телеграфных фильтров, после записи на флеш цифрового потока, pitch 500 Hz Интересно сравнить с другими SDR, только обрабатывать именно цифровой сэмпл, например записать шум эфира на диск, в виде аудиофайлы. Если у кого есть возможность, буду очень благодарен. Достаточно аудиофайлов 333639333640 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 834] Автор : rz3qs Дата : 18.04.2020 17:51 например записать шум эфира Шум эфира. Можно с ГШ уровень S9+30....+60 dB записать, если конечно запись та. http://host.justlan.net/get/5e9b12d742cd3/hpsdr_3_4_9.wav -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 835] Автор : UT4UBK Дата : 18.04.2020 17:58 Вот результат 333643 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 836] Автор : rx9cim Дата : 18.04.2020 18:00 UT4UBK - Графики желательно бы с линейной шкалой частоты. Если я все правильно посчитал для ваших картинок, то у основного фильтра Кп около 1,8, что при полосе 600 Гц много. По виду АЧХ это БИХ фильтр, Чебышева, неравномерность в полосе пара дБ достаточно хорошо видна. БИХ для узких полос это зло, при полосе 300 и менее Гц можно уже звон хапнуть. Понятно, что БИХ позволяют получить небольшую задержку сигнала. Последствий от них хватает - нелинейная фчх (тут кому как, на слух это специфичное звучание), неравномерность АЧХ в полосе пропускания (что в общем то уже анахронизм по сегодняшним меркам). Мало кто сейчас БИХ применяет, если посмотреть на исходники разных, и не просто так от них ушли. Не только из-за звучания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 837] Автор : vadim_d Дата : 18.04.2020 18:17 и не просто так от них ушли А по-моему зря. Для телеграфа взять прототип Гаусса, урезанного до 12 или 6 дБ, а можно и линейно-фазовый, и звона не будет. Понятно, что простое окно считается проще (но объем вычислений больше при том же Кп), и с накоплением ошибки там все прозрачнее, но мне как-то IIR по духу ближе :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 838] Автор : UT4UBK Дата : 18.04.2020 18:20 RX9SIM Это экспресс измерения, точнее можно в Матлабе. По поводу IIR - не согласен, ICOM, насколько мне известно, их использует и у никого это не вызывает этот неприятия. То что я их использую - я не делаю из этого секрета. Второе, перечитал много инфы по форумам, перепробовал множество вариантов, честно - особой разницы не услышал, ни я , ни коллеги (FIR vs IIR). В том числе - пропуская реальные эфирные записи в Матлабе, через разные структуры фильтров, и давай прослушивать результаты . Поэтому, считаю - это достаточно спорное утверждение, что IIR убивает звучание. Кстати, IIR тоже можно проектировать с заданной фазовой характеристикой. :-) Если честно, уже больше "напрягают" задержки. Конечно, можно использовать и FIR на 3000 тапов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 839] Автор : rz3qs Дата : 18.04.2020 18:20 Вот результат Если это мой файл, то в нем 3 вида прямоугольности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 840] Автор : UT4LW Дата : 18.04.2020 18:41 ICOM, насколько мне известно, их использует и у никого это не вызывает этот неприятия. Это верно для SSB фильтров с небольшой прямоугольностью. В телеграфе фильтры Уже 500 Гц типа Sharp звенят со страшной силой. Каждый короткий импульс от рядом работающей станции превращается в звонкий "дынннь". Как горох по пустому тазику. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 841] Автор : UT4UBK Дата : 18.04.2020 19:04 Сорри за невнимательность, не обратил внимание на разрывы в аудио сэмплах 333646 .333644333645333648 Можете прокомментировать звучание каждого в телеграфе? Добавлено через 13 минут(ы): Юрий, если не возражаете , давайте проведем эксперимент. Тогда это будет конструктивное обсуждение, а не в стиле ОБС. План такой Получаем цифровую эфирную запись в телеграфном режиме с максимальной полосой фильтра, АРУ - выключено. Посчитаете - какую нужно. Можно несколько вариантов, с разными уровнями сигналов Обрабатываем различными фильтрами в Матлабе (IIR,FIR итд), у меня много пресетов, любого порядка. Скажите - какой сконструировать, сделаю любой. Будем учитывать и арифметику (float, double) Выкладываем аудиосэмплы и устраиваем "слепое" тестирование, с привлечением всех желающих. Если не хотите тут захламлять форум, можем на другом, каком-нибудь. Мне кажется, это будет очень полезный эксперимент для всех SDR строителей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 842] Автор : UT4LW Дата : 18.04.2020 19:29 Не, я в этой области пока только практик. Я думаю, что лучше дать выбор владельцам: КИХ, БИХ, прямоугольность, а они сами определятся, что им больше подходит. Нужен будет Full QSK, пожертвуют звуком и включат БИХ, не нужен - включат КИХ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 843] Автор : rx9cim Дата : 18.04.2020 19:49 Ut4ubk - на айком нажо не огульно равняться, а там где это нужно. У них не все всегда правильно сделано. Особенно это касается относительно старых поколений трансиверов типа ic756pro(до 3). Возможно актуально и для свежих моделей. Так вот там они наБИХались до такой степени, что трансивер имел весьма мерзкое звучание при долгом прослушивании. Дело не только в типе фильтра, но и в его прямугольности. 1.8 для 600Гц это много. В тесте вероятно придется крутить пич постоянно, т.к. соседние станции пролезать будут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 844] Автор : rz3qs Дата : 18.04.2020 19:52 Можете прокомментировать звучание каждого в телеграфе? Чем хуже прямоугольность, тем лучше звук, особенно на шумах и под ними, но если рядом станция, то должен быть выбор. Хороший вариант APF, с нужными настройками. Запись. http://host.justlan.net/get/5e9b2e7979621/APFhpsdr_3_4_9.wav Чтобы все это тестить нужен эталонный телеграфный сигнал на передачу ( фронты 2-5 мс), если все с эфира, то конца и края разных фильтров не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 845] Автор : vadim_d Дата : 18.04.2020 20:02 это будет очень полезный эксперимент для всех SDR строителей Если есть время и силы, открывайте тему, интересно будет поглядеть и послушать. Сейчас еще раз прокрутил запись Александра UR5LAM, при подходе к станции с жесткой манипуляцией ее щелчки попадают в полосу достаточно рано (что ожидаемо), но в целом понравилось. Может в случае вытягивания DX при худшем с/ш и будет ощущаться звон шума, но тут я давно не у дел :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 846] Автор : UT4UBK Дата : 18.04.2020 20:10 rx9cim, равняться надо на лидеров рынка и изучать , как у них сделано. На ICOM больше сотни инженеров работают. И продажи говорят сами за себя К сожалению, вы не обратили внимание на последние слова, что IIR фильтры можно проектировать с заданной фазовой характеристикой. Я очень много общался (общаюсь) с телеграфистами (DX, контестмены, экспедиции), в том числе из других стран (и только что - по телефону больше часа :-P). У всех разное мнение, часто - противоположное. Слепые тесты - готовятся. Вадим, я бы обратил внимание на то,что надо работать с сырыми цифровыми записями. Сейчас готовлю специальный вариант прошивки для таких тестов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 847] Автор : rx9cim Дата : 18.04.2020 20:29 Rz3qs - ваше утверждение верно для нелиненых систем - чем меньше он влияют, тем лучше. Если говорить о прямоугольности, то линейная система никак не влияет на частотные и фазовые составляющие сигнала в полосе пропускания. По поводу айкома - количество народа и объем продаж говорит только о том, что айком может делать и делать массово. Бих фильтры в айкоме по моему мнению появились из за того, что в темные времена процессоры были слабенькие и и имели мало памяти. Внешняя память не могла принципиально повлиять т.к. снижает быстродейтствие проца - можно только поочередно работать с адресами. Оттуда растут ноги в айкоме. Потом это все стало заделом - работает же. Как с шириной колеи римских повозок. Если делать все по айкомовски, тогда уж и панораму сделать как в айкоме, которую как минимум не восхваляют. Вроде есть что то такое, похожее на панораму, и так сойдет. Кстати да, а если айком очень "хороший" лидер, ddc внедрил спустя 15 лет относительно появления массовых продуктов. Давайте на него будем равняться. Равнение на лидеров предполагает всегда быть в отстающих. Немного оффтопа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 848] Автор : vadim_d Дата : 18.04.2020 20:40 IIR фильтры можно проектировать с заданной фазовой характеристикой И в цифре она получается такой, как задумано, а вот в аналоге неучтенная конечная добротность резонаторов может легко покривить всю фазу :) надо работать с сырыми цифровыми записями То есть писать заведомо более широкую полосу (дампить весь поток до обработки)? В любом случае интересно, даже на сгенерированных искусственно сигнале и шуме вполне можно посмотреть, услышится ли разница У всех разное мнение, часто - противоположное Ну это нормально, сколько людей, столько и мнений :) Добавлено через 6 минут(ы): то линейная система никак не влияет на частотные и фазовые составляющие сигнала в полосе пропускания Георгий, БИХ линеен, нелинейна его ФЧХ и как следствие ГВЗ в полосе. Прототип с линейной ФЧХ приводит к большему порядку при той же прямоугольности -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 849] Автор : UT4UBK Дата : 18.04.2020 20:47 vadim_d, конечно, именно так, у всех разные выходные кодеки,с разными параметрами. В тестовой прошивке ставится широкий фильтр 3кГц с пологими скатами и пишется вся CW каша в дамп. ICOM7300 DDC - там очень приличный DSP float TI , такой же как и у меня. Реализовать "длинный" FIR фильтр и не нарваться на эффекты квантования - проблем нет. Но, почему-то - все равно IIR. По панараме - мне больше по душе кенвудовская. ICOM в 7300 уперся в производительность CPU подсистемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 850] Автор : rx9cim Дата : 18.04.2020 20:50 Вадим, согласен с вами. В конкретном случае это не так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 851] Автор : UR6LCK Дата : 18.04.2020 22:04 UT4UBK, идите своей дорогой ,Это Ваш трансивер ЕРМАК а советы...... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 852] Автор : rx9cim Дата : 18.04.2020 22:49 ICOM в 7300 уперся в производительность CPU подсистемы. Мда. Т.е. сначала сделали нью генерейшн. Потом подумали. Т.е. для того, чтобы нормально отрисовывать картинку 480х280 пикселей им, лидерам, не хватило 400МГц проца R7S721000VCFP? Вы сами верите в это? В серьезных конторах есть макетирование и оценочное программирование, подобные промахи, что в серийном изделии чего-то там не хватило, минимизированы. по вашей просьбе записал шумы своего приемника малахит. Фильтр примерно с такой же прямоугольностью, только КИХ. Порядок фильтра вероятно возможно повысить, т.к. тоже около 1.8 Кп, не идеал. Может в будущем увеличу. https://yadi.sk/d/F1hpW-JBKVa00w Извиняюсь за свои наезды на айком. Можно сказать детская травма :) - на коллективке наслушался в свое время ic756pro. При этом дома был норм SDR и было с чем сравнить. С тех пор пристально смотрю на айком. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 853] Автор : UT4UBK Дата : 20.04.2020 20:03 Выложена новая прошивка 1.3.9-t Добавлена возможность увеличения размера водопада. Исправлено поведение кнопки в режиме AGC (длинное нажатие- вкл/выкл, короткое - вызов меню). Добавлено сглаживание указателя S-метра. Добавлен сброс AGC при каждом изменении режимов работы. Добавлена привязка полосы обзора спектроанализатора к стековой памяти. Добавлен режим спектроскопа - FIXED-AUTO. В режиме FIXED - если курсор уходит за границу экрана - повляется указатель, куда он ушел. Введена поправка для S-метра с учетом реального показания аттенюатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 854] Автор : ur7cq Дата : 21.04.2020 10:35 Записал трансивером Ермак супер слабый сигнал в шумах, только для тех, кто умеет слушать. Лучше этот файл воспроизвести применив хорошую акустику с усилителем имеющим минимальный уровень искажений, тогда ещё лучше слушать такие сигналы. Привык к низкому тону CW, кто слушает с пич выше, извините. https://ru.files.fm/u/e4pqm2vp -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 855] Автор : vadim_d Дата : 21.04.2020 12:03 супер слабый сигнал в шумах Да, вспомнился Леонид Ильич: "я супер стар" :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 856] Автор : ur7cq Дата : 21.04.2020 12:24 Да, вспомнился Леонид Ильич: "я супер стар" Да не вопрос, если мой пост вызывает только всплеск иронии, просто не вижу смысла в дальнейшем обмене информацией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 857] Автор : vadim_d Дата : 21.04.2020 12:50 только всплеск иронии Ну не только, в обычных компьютерных наушниках на самом начале записи разобрать смог отдельные знаки, а дальше по ощущениям уровень чуть приподнялся, но сказать, что там я разобрал хотя бы позывной, все равно не могу, так что соглашусь с тем, что уровень супер слабый :). На колонки (опять же компьютерные) не включал. А по части шума присоединюсь к мнению тех, кто считает узкополосный шум достаточно тяжелым для длительного слушания, ощущение его "звенящести" присутствует -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 858] Автор : rx9cim Дата : 21.04.2020 14:26 Ну не знаю насколько сложно принимать. Я кое чего такти принял слушая на телефон. Для телеграфа нужен отдельный шумодав. У меня такой применен в всех конструкциях. Шумы убирает хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 859] Автор : dmitrij-24 Дата : 28.04.2020 12:06 UT4UBK, Добрый день уважаемый колега. Сколько стоит такой трансивер полный набор набор комплектующих ( кит, сам собираю только без пайки смд а то уже и зрение и прицел не тот у пенсионера ) И сколько собраный полностью ( включил и работай) Заранее благодарю за ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 860] Автор : UT4UBK Дата : 28.04.2020 20:29 Дмитрий, ответил в личку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 861] Автор : UT4UBK Дата : 30.04.2020 14:13 Вопрос коллегам, российским заказчикам. На украинской ветке был опрос по кастомным ручкам для трансивера. Есть возможность заказать их хорошего качества, материал - анодированный алюминий. Ручка валкодера - обрезиненная В понедельник планирую разместить заказ. Качество ручек - на фото. Если есть еще желающие - просьба написать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 862] Автор : rx3dqx Дата : 30.04.2020 19:19 Дмитрий, ответил в личкуДобрый вечер! если можно тоже киньте в личку, тоже интересует данный вопрос. Благодарю.:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 863] Автор : UT4UBK Дата : 01.05.2020 22:50 Выложен новая версия 1.3.9-x Можно обновляться через GUI -Добавлена визуализация границ диапазонов. -Исправленs случайные дрожания спектроанализатора. -Добавлена привязка RF gain к стековой памяти. -Исправлены SOFT фильтра для CW. -Добавлена индикация SHARP-SOFT. -Отключен шаг 1Гц в ZOOM режимах. -Изменено поведение кнопки A=B. -Выход из режима MUTE поворотом энкодера AF. -Запоминание ATT в стековой памяти. -Регулировка параметров NB и NR с помощью энкодера. -Отключение AGC в TX. -Запрет переключения диапазонов в TX. -Длинное нажатие энкодера FIL - активация подстраиваемого фильтра. -Добавлен принудительный выбор фильтров в cоответствии с модой. Заложен CW фильтр с перестраиваемой полосой (шаг 10 Гц), будет активирован в следующем обновлении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 864] Автор : UT4UBK Дата : 02.05.2020 19:43 Выложена обновленная версия 1.3.91-x -Активирован ADJCW фильтр с шагом 10Гц. -Изменены цвета SHARP-SOFT иконки. -Наименьшая полоса ADJCW фильтра 50Гц. На этом версии минорные обновления заканчиваются и переходим к "впиливанию" приемника и телеграфа на передачу (версии 1.4 и выше) Также - добавление удаленного WEB доступа, Есть вопрос по добавлению поддержки протокола TCI. Харьковская "фракция" радиолюбителей - за, но останавливает то, что это разработка EE, и как бы не получилось в будущем какого "конфуза" , те использование чужой интеллектуальной собственности. Коллеги, могли бы прокомментировать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 865] Автор : vadim_d Дата : 02.05.2020 22:51 использование чужой интеллектуальной собственности А чем застолблена эта собственность? Есть ли какие-то патенты или иные документы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 866] Автор : UT4UBK Дата : 02.05.2020 23:22 Ну тем, что ЕЕ разработал протокол этот.8-) Другого пока в голову не приходит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 867] Автор : vadim_d Дата : 03.05.2020 00:03 ЕЕ разработал протокол этот Разработал, отдает как Licensed under the MIT License : https://github.com/maksimus1210/TCI . "Берите люди, пользуйтесь!" :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 868] Автор : UR5LAM Дата : 03.05.2020 00:25 Есть вопрос по добавлению поддержки протокола TCI. Харьковская "фракция" радиолюбителей - за, но останавливает то, что это разработка EE, и как бы не получилось в будущем какого "конфуза" , те использование чужой интеллектуальной собственности. Коллеги, могли бы прокомментировать? На сайте ЕЕ ничего по этому поводу нет, скорее наоборот. На официальном сайте сказано, что это открытый протокол: 334555 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 869] Автор : ur7cq Дата : 03.05.2020 08:58 TCI наоборот открытый протокол, успешно внедряется в разные логи разработчиками логов, и т.д. При этом, внедрение этого протокола открыто приветствуется, чем больше устройств и ПО будут поддерживать ТCI, тем удобнее использовать радио, не важно, от ЕЕ, или Ермак например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 870] Автор : RX3APM Sergey Дата : 03.05.2020 09:38 Ну, а обычный САТ по сом-порту останется в Ермаке ? Дело в том, что TCI, это, конечно, круто, но его упорно никто из авторов популярных логгеров не хочет внедрять. В итоге TCI лишь в 2-3 логгерах не очень массовых. Ну, и «чтобы два раза не вставать», когда Ермак или кит для сборки будет доступен к заказу ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 871] Автор : UR5LAM Дата : 03.05.2020 09:51 Протокол САТ вполне может сосуществовать с протоколом TCI в одном устройстве. У ЕЕ так и реализовано, и можно работать с различными логами. Но TCI очень удобная и продвинутая штука, надеюсь на скорое ее внедрение в данном проекте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 872] Автор : Genadi Zawidowski Дата : 03.05.2020 11:32 ничего по этому поводу нет, скорее наоборот Если буквоедством заниматься, в этом абзаце от EE нет позволения на реализацию в других трансиверах. Про логи, что все авторы радуются - там написано -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 873] Автор : UR5LAM Дата : 03.05.2020 11:59 про разные приемники и передатчики там тоже написано :rotate: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 874] Автор : UT4UBK Дата : 03.05.2020 16:50 RX3APM Sergey, да , обычный cat по ethernet остается, плюс будет cat через цифровой audio интерфейс по USB - он эмулирует со стороны pc аудиокарту и виртуальный com порт. Также - будет возможен через USB-UART переходник, в трансивере есть для него драйвера, те надо будет ставить два конвертера - на сторон pc и трансивера Прошерстил код на qt для TCI. В нашем случае, трансивер выступает как сервер, те все надо писать с нуля. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 875] Автор : UR5LAM Дата : 03.05.2020 17:39 Прошерстил код на qt для TCI. В нашем случае, трансивер выступает как сервер, те все надо писать с нуля. Константин, это реально в ближайшем будущем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 876] Автор : RX3APM Sergey Дата : 05.05.2020 05:32 Читал, что конструкция Ермака позволяет аппаратно апгрейдить АЦП на более мощный. Вопрос: Почему применён LTC2165, а не LTC2209, и можно ли вот так проапгрейдить один на другой ? PS. Вывод о том, что 2209 «лучше», чем 2165 сделан исключительно глядя на сравнительную таблицу изделий ЕЕ, где в младших моделях 2165, а в топ-моделях именно 2209. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 877] Автор : UT4UBK Дата : 05.05.2020 07:40 LTC2165 - это "холодный" АЦП и он потребляет намного меньшую мощность. За счёт этого получилось добиться в режиме приёма 0.7 А максимум потребляемого тока, с учётом потребления реле. Заменить можно, просто переразвести плату фронтенда под новый АЦП. В принципе место позволяет поставить плату с двумя ацп и добавить второй комплект фильтров. Сравнить ацп можно здесь https://www.analog.com/ru/parametricsearch/11814#/p7=16|16&p1746=125M|26G По ТСI. Да реально, на самом деле, там реализован TCP сервер для cat протокола. Можно запустить вторую службу inetd со своим парсером. Но это позже, согласно роадмапу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 878] Автор : RX3APM Sergey Дата : 05.05.2020 08:13 В принципе место позволяет поставить плату с двумя ацп и добавить второй комплект фильтров. Вот это вообще, МЕЧТА ! )) Кстати, сс2про с LTC2209 в режиме приёма потребляет 0.9А. Правда он без экрана, конечно же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 879] Автор : UT4UBK Дата : 05.05.2020 10:21 В 0.7 А также входит и потребление DSP+ARM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 880] Автор : rx3dqx Дата : 05.05.2020 11:29 Добрый вечер! если можно тоже киньте в личку, тоже интересует данный вопрос. Что то остался без внимания, повторю еще раз ? Сколько стоит такой трансивер полный набор набор комплектующих И сколько собранный полностью ( включил и работай) Или где прочитать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 881] Автор : UT7TA Дата : 05.05.2020 13:50 Прочитать можно в публичных сообщениях автора http://www.cqham.ru/forum/member.php?32546-UT4UBK -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 882] Автор : UT4UBK Дата : 12.05.2020 13:19 Опять требуется помощь зала. Сделан второй приемник. Два варианта иcполнения: Первый вариант - каждый со своим спектроанализатором, те при переключении на второй приемник, полностью меняются все иконки частоты, настройки фильтров итд. Приемники звучат одновременно в наушниках, один справа, другой - слева. Приемники могут работать на разных бэндах, в этом случае - входной bpf отключается. Второй недостаток - экран мелкий для двух спектроанализаторов и водопадов. Второй вариант - попроще. Используется один общий спектроанализатор(и водопад), второй приемник настраивается на частоту B (или A), На спектроанализатoр добавляется вертикальная линия для второго приемника. В этом случае - субприемник работает на одном бэнде с основным приемником (bpf не отключается). Обе частоты слушаются одновременно - SO2V mode -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 883] Автор : RA1TEX Дата : 12.05.2020 14:20 Мелкий экран не беда если внешний монитор подключается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 884] Автор : UT0UM Дата : 12.05.2020 15:02 В этом случае - субприемник работает на одном бэнде с основным приемником (bpf не отключается). если не работать SO2R, то в 99% случаев происходит именно так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 885] Автор : UT4UBK Дата : 19.05.2020 13:55 Опробован и запущен второй приемник, первый вариант. Работы и тщательных тестов еще на неделю-две. Мониторю прохождение одновременно в SSB и 29600 NFM участках на 10 метрах, squelch периодически срабатывает на несущую 29600 335945 335944 Загрузка ресурсов, реально дохлый ARM9 66% FPS просел, но все равно - достаточно живо и комфортно, короткое видео тут https://nx8619.your-storageshare.de/s/i5w5DxG3xs69grm Водопад на 1/3 и 1/2 также будет добавлен. Водопаду необходимо немного ресурсов. Прошивка (обновление)на сервере ожидается в течении недели -двух. Процесс автообновления - две стадии, при загрузке перепрошивается FPGA. Надо протестировать на аппаратах заказчиков, кто живет рядом, тк если заблочится FPGA - то ее только на перепрошивку ByteBlaster-ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 886] Автор : mikhailspcon Дата : 07.07.2020 20:33 Всем привет. Давно читаю тему, решил сам собрать трансивер. Купил основные комплектующие и детали, но уперся в стену, нет герберов, БОМов, схем и тд. Попытался попросить у конструктора UT4UBK и больше месяца не могу получить ответ. Подскажите, кому-то удавалась с ним связываться, переписываться, созваниваться? Это реальный человек? Или это бот у которого на имейле отбивает искусственный интеллект? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 887] Автор : rt6dz Дата : 07.07.2020 20:51 Посмотрите первую страницу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 888] Автор : UN7RX Дата : 07.07.2020 20:54 Или это бот у которого на имейле отбивает искусственный интеллект? Еще раз схамите в адрес авторов, уйдете с форума моментом и без предупреждений. С искусственным интеллектом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 889] Автор : R4DZ Дата : 07.07.2020 21:48 Всем привет. Давно читаю тему, решил сам собрать трансивер. Купил основные комплектующие и детали, но уперся в стену, нет герберов, БОМов, схем и тд. Попытался попросить у конструктора UT4UBK и больше месяца не могу получить ответ. Подскажите, кому-то удавалась с ним связываться, переписываться, созваниваться? Это реальный человек? Или это бот у которого на имейле отбивает искусственный интеллект? Не советую самому паять, очень сложные платы для самостоятельной сборки, особенно плата фронтенда , мелкие смд 0402, плотное расположение элементов, сам взялся подобную плату распаять и не уверен что будет работать, мучают смутные сомнения:cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 890] Автор : mikhailspcon Дата : 08.07.2020 20:36 Посмотрите первую страницу. Спасибо. На первой странице уже смотрел, но там устаревшие схемы и платы. Не советую самому паять, очень сложные платы для самостоятельной сборки, особенно плата фронтенда , мелкие смд 0402, плотное расположение элементов, сам взялся подобную плату распаять и не уверен что будет работать, мучают смутные сомнения У меня нет проблем с запайкой элементов, так как в собственности сервис по ремонту мобильников и планшетов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 891] Автор : UT4UBK Дата : 19.07.2020 17:53 Выложено официальное обновление 1.4.0-a Можно обновляться через закладку About Добавлен второй приемник. Добавлен внутренний CW KEYER. Переработан аудио миксер - программная реализация, независимая от кодека. Введен режим DUAL для одновременного отображения спектров. Убрана полоса обзора 640 КГц. Улучшен атибребезг энкодеров. Добавлена оперативная регулировка WPM. https://www.cq-radio.net/forum/index.php?attachments/dual-png.785/ Вид экрана в режиме DUAL https://www.cq-radio.net/forum/index.php?attachments/keyer-png.786/ Настройки Keyer. Диапазон регулировок задержки PTT 0-240 mS Диапазон регулировок задержки BRAKE-IN 0-480 mS Возможна оперативная регулировка wpm в режиме передачи (энкодером AF) https://www.cq-radio.net/forum/index.php?attachments/tx0-png.787/ Спектр сформированного CW сигнала (время нарастания и спада 5 ms) https://www.cq-radio.net/forum/index.php?attachments/cw-png.788/ После обновления при первой перезагрузке производится обновление прошивки FPGA через встроенный бутлоадер и, просьба, быть внимательным, тк время загрузки увеличится на 7 секунд. В следующих прошивках (по роадмапу): Version 1.5.0 - ToDo Имплементация TCI Миграция на новый протокол cat WEB доступ на прием Макросы CW Подстройка усиления кодека - отдельно для наушников и динамика. Второй приемник - режим одновременного прослушивания частоты B в основном приемнике. Version 1.6.0 - ToDo Добавление VOX Добавление XIT Переработка NB Подпись железа аппаратным ключем. Миграция на новый протокол cat WEB доступ на передачу. Полное удаленное управление -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 892] Автор : UT4UBK Дата : 31.07.2020 10:21 Получены первые результаты по WEB доступу к трансиверу. Поднят сервер на борту , он отдает страницы, управление из веб сервера работает через вебсокеты, с этим вопросов нет. Звук передается по вебсокетам , стерео, упаковка кодеком OPUS. Те , заходя на вебстраницу трансивера, мы уже можем управлять им, слышать звук. Самая большая проблема - задержки звука. Они могут достигать 1 секунды (именно в браузере), что очень не удобно. Например- меняешь частоту, звук меняется с задержкой в 1 секунду. Было решено написать небольшое приложение для прямого получения звука трансивера без задержек (подход flex) Упаковщик opus грузит проц примерно на 20% (стерео 24ks на канал ), аудиопоток по сети получается 14kB/sec ,будет добавлен downsampling аудиопотока до 12ks для уменьшение нагрузки на встроенный веб сервер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 893] Автор : UT4UBK Дата : 23.08.2020 15:56 Сегодня тестировал 12 часов подряд на 40 метров работу FT8 в удаленном режиме. Те удаленно, в Харькове был расшарен доступ по WEB к трансиверу, через VC подключал аудиопоток к WSJT и MSHV. На передачу работал из своего QTH (выход звуковой карты в микрофонный вход ) , на pskreporter можно посмотреть. Температура радиатора выше 45 градусов не поднималась Вторая новость, собрал из исходников MSHV , последний релиз. Особых проблем добавить TCI там нет. В 32-битной версии уже есть поддержка управления по сети для Ham Radio Deluxe. Когда будет свободное время, добавлю по аналогии TCI (Win+Linux). Если все взлетит, предложу Христо патч, чтобы добавить в основную ветку. Сравнивал качество декодирования FT8 MSHV и WSJT (включал параллельно), разницы не заметил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 894] Автор : UT4UBK Дата : 14.09.2020 10:02 https://www.youtube.com/watch?v=TpUWA3JIajk https://www.youtube.com/watch?v=Chc7Keo6lsg Удалённый доступ к трансиверу. Встретились с LZ3FT Никола в Равде. Слушаем контест. Доступ к трансиверу предоставил Ярослав UR7CQ, антенна 4 Эл, развёрнута на восток. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 895] Автор : UT4UBK Дата : 29.09.2020 09:29 Коллеги в двух словах. Наиболее проблемной вещью, как оказалось, это найти надежного изготовителя корпусов. С этим вопрос практически решен, корпуса получились высочайшего качества, без преувеличений. Сейчас жду просчета стоимости + доставки. Второй момент, найден (и проверен ) более удобный и простой метод охлаждения транзисторов PA, образцы радиаторов заказаны и едут из Японии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 896] Автор : UN7RX Дата : 29.09.2020 15:01 Народ, вы достали. Себя почитайте! Сколько будет стоить без корпуса и охлаждения? Можно в личку. А почему СРАЗУ не спросить об этом в ЛС?! Начнете тут устраивать базар, последуют санкции.:evil: Это техническая ветка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 897] Автор : UR0MC Дата : 03.10.2020 08:39 Общался вчера с одним из обладателей трансивера, он был вначале в восторге, так за него увлекательно рассказывал мне, что я загорелся купить. Но в последнее время наступает разочарование. САТ нормально с контестовыми логгерами не работает, телеграф кривой. А обладатель заядлый контестмен. На замечания автор не особо обращает внимание, на первый план по доработкам выходят такие функции как впихивание в трансивер декодера фт8 кому он нужен???) , впихивание логгера, который никак не позволит не вести статистику, а тем более работать в контестах. В общем, владелец устал от глюков, решил продавать, как всунет туда УМ. Не знаю, как посчитают модераторы - это наезд на автора? Я считаю - нет. Просто критика. Ведь создателей всяких шедевров принято критиковать?) Почему нам нельзя? Может автор уделит внимание более важным доработкам, чем декодер фт8. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 898] Автор : UZ5DM Дата : 03.10.2020 08:59 Спасибо Роман за эту информацию. Я тоже хотел брать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 899] Автор : Alexan Дата : 03.10.2020 10:26 Tks for info,73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 900] Автор : UN7RX Дата : 03.10.2020 10:42 Не знаю, как посчитают модераторы - это наезд на автора? Если это продается автором как изделие, или кит, то любая информация и замечания приветствуются, неважно насколько это негативно. Но именно ПОКУПАТЕЛЯМИ, а не виртуальными критиками. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 901] Автор : RX4HX Дата : 03.10.2020 10:43 телеграф кривой На эту проблему жалуются практически все пользователи "самопальных" SDR-ов. Причина банально проста: их разработчики хорошие конструкторы, но CW не работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 902] Автор : UT4UBK Дата : 03.10.2020 11:22 Роман, спасибо за разъяснения. Узнаю авторский стиль Паши. :-P просто ему не по душе, что решил сделать упор на TCI, и не спешу с реализацией кенвудовского пртокола. По CW - официального обновлёния ещё не делал, в текущей прошивке есть небольшой глюк. Первый символ из-за работы ару бьёт по ушам, тк нет отдельного кодека для самопослушивания. В работе, в оф обновлении будет пофикшено. Второе, покупать-продавать дело личное, проект некоммерческий. Мне есть чем зарабатывать в этой жизни. Всё мои обещания выполняются, я не припомню, чтобы на что-то "забил". Так же было сказано и Павлу, помимо него есть большлек кол-во других пользователей, и разорваться в приоритетах сложно. Телеграф формируется в fpga и манипулируется напрямую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 903] Автор : I-Denis Дата : 03.10.2020 11:23 А что значит кривой телеграф? Тире искривляется в запятую? Если взялись критиковать, хочется видеть действительно технический разбор, а не шаблонные высказывания -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 904] Автор : UT4UBK Дата : 03.10.2020 11:32 Приводил осциллограммы CW на выходе УМ Могу повторить. Любые измерения - пожалуйста, спрашивайте. На фото - измерение двухсигнальной избирательности и сравнение с другими трансиверами. По стандартной реализации CW в fpga, которая защита во все sdr. В ней нету памяти на один знак. При работе макросами или манипуляцию через порт это не имееn никакого значения. В трансивере есть ещё один свободный процессор, есть возможность сделать ключ на нем с памятью, нужно ли - время покажет. По фт8 это передергивание слов. В текущем варианте процессор не потянет. В фоновом режиме начал проработку замены dsp платы, на гораздо более мощный процессор кортекс 1ггц +dsp и куча всего, замена tft. На нем это получится, но это не приоритетная задача. 343934 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 905] Автор : vadim_d Дата : 03.10.2020 12:08 По стандартной реализации CW в fpga, которая защита во все sdr. В ней нету памяти на один знак. При работе макросами или манипуляцию через порт это не имееn никакого значенияЯ конечно последний раз телеграфом в эфире работал на электронном ключе, собранном еще на транзисторах, а развлекался со 155-й и 176-й сериями, поэтому все памяти знаков и ямбические режимы прошли мимо меня :). Тем не менее для FPGA реализации память на один знак не выглядит чем-то экстраординарным по ресурсам и коду (ну мне так кажется), если она стала стандартом де-факто и так востребована телеграфистами -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 906] Автор : UT4UBK Дата : 03.10.2020 12:18 Скажу честно, Вадим, сам был удивлён. Взял код из меркюри sdr. Очень качественно сделано формирование огибающей, задержка на выдачу посылки, brake in, а этой фичи нет. Второй момент, в фпжа упихнул 4 примника, два бандскопа. После ключа осталось свободных только 100 le. Ради памяти на один знак переходить на 10 циклон не вижу смысла. Проще на процессоре реализовать, если эта фича так востребована. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 907] Автор : vadim_d Дата : 03.10.2020 13:55 упихнул 4 примника, два бандскопа. После ключа осталось свободных только 100 leДа тут уже не разбежишься, по работе нормально не превышать 75-80%, чтобы и отладчик влезал при необходимости, и что-то новое попробовать можно было. Но в хобби все позволительно :) Проще на процессоре реализовать, если эта фича так востребованаЕсли связей между процессором и FPGA достаточно и схему менять не надо, то видимо вполне резонный выход Очень качественно сделано формирование огибающейЭх, черт, последний раз имел дело с огибающей в модуле самолетного ответчика, да и то только на прием :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 908] Автор : Genadi Zawidowski Дата : 03.10.2020 15:07 После ключа осталось свободных только 100 le. Ради памяти на один знак переходить на 10 циклон не вижу смысла. Да возьми у меня в проекте elkey.c - и помести в процессор... Там простейшая state machine на таймере висит... И формируй в процессоре. Огибающую там, нужные задержки при break-in -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 909] Автор : UR4IOR Дата : 03.10.2020 16:24 их разработчики хорошие конструкторы, но CW не работают. Очень трудно не согласиться. К сожалению факт имеет место быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 910] Автор : UT4UBK Дата : 03.10.2020 17:03 С кодом ключа проблем нет, на любой вкус и цвет. Просто объяснил два небольших недочёта текущего решения на fpga. Тем более перепрошить код в ней нет никаких проблем через бутлоадер во время обновления. С процессором удобнее будет формировать сигнал самоконтроля в буфер для dsp и не извращаться через приёмник. Вернёмся к сути вопроса, об этом уважаемому Паше было и сказано, на следующей неделе будут разосланы комплекты усилителей, потом объёмное руководство пользователя по его сборке и наладке. Потом - доработки TCI и CW. Выкладывание онлайн обновления. Но видно, человек решил пойти другим путем :рупор: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 911] Автор : vadim_d Дата : 03.10.2020 18:57 решил пойти другим путемНу может сейчас увидит столбовую дорогу развития продукта, проникнется, да и передумает продавать аппарат :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 912] Автор : UT4UBK Дата : 03.10.2020 20:23 Дык, колхоз - дело добровольное. Завтра отправляются 16 комплектов PA заказчикам, недовольному коллеге - в первую очередь, чтобы не мучался, скорее собирал и продавал :пиво: Непонятно, зачем был передергивать мои слова? Есть проблемы - всегда скажу и подскажу, как их решить. Мои бока - исправляю. Например, в трансивере около 40 штук реле IMG06GR. С Arrow брать - очень накладно, было согласовано с заказчиками по очень хорошей цене взять в РФ (в 3.5 раза дешевле). Реле хорошие, одно НО - окислившееся контакты для пайки. При ручном монтаже - проблем нет, на SMT - второй контрактор говорит, чтобы больше с ними не приходил :oops: Об этом всем заказчикам сообщается, что настройку плат BPF и PA надо начинать c ручной пропайки всех реле. По FT8 - еще веселее. Вчера - только закончил DDR3 раскладывать (новый DSP и передняя панель, HD TFT, можно будет просто заменить текущую панель). До реального железа еще очень далеко .Оказывается, уже делаю FT8 и забил на CW. Как-то так... 343961 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 913] Автор : RX4HX Дата : 03.10.2020 23:53 По FT8 Мое мнение по декодеру FT8 в трансивере (не только в Вашем, вообще любом): по моему это совсем не нужно, т.к. для глубокого декодирования FT8 нужен очень мощный процессор (а он в трансивере есть?) и сам протокол FT8 думаю еще будет меняться/модернизироваться. зачем был передергивать мои слова Не обращайте внимания - просто делайте то, что делаете! Ошибки и недочеты всегда есть, без них никуда. Главное не останавливаться на достигнутом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 914] Автор : UR0MC Дата : 04.10.2020 08:04 Константин, без обид, пож. Он мне уже год звонил и по часу рассказывал о своих впечатлениях. А тут, видать, возник у него страх, что проект пойдет не по ( его? ) плану развиваться ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 915] Автор : RX3APM Sergey Дата : 04.10.2020 10:27 Паша правильно пишет, надо бы прислушаться. Вот, что он писал мне: Жаль, что аппарат не собирает Рома UR0MC, Сергей UR5MID и другие контестмены.... На Костю, конструктора, влияние оказывают разные ребята, многие - картофелеводы и некоторые ньюансы, возникают. Например, тормоза по CAT - реально убивают, очень долго прыгать по спотам! Костя делает TCI, это хорошо.. но при работе с N1MM, протокол то будет старый, его Тен-тековский, который... чегой-то тормозит. Уговариваю его на протокол Кенвуд перейти, пока что.... безуспешно. Сначала, вроде, он был сам ЗА, теперь... как бы, не на первом месте... А без быстрого CAT, это уже не аппарат для контестинга. При "ключевании" - проблемы, опять же, из-за CAT! Нет в протоколе - управления перехода на передачу, с внешнего лога! Срабатывает Brake in, там... иногда, первую точку режет... Всё решаемо, но надо Просить Конструктора, вот! А по ходу, получается... что я один, прошу ключевание, остальные "собирающие" -ещё не работают телеграфом или им это и не нужно(картофелеводы).. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 916] Автор : UZ5DM Дата : 04.10.2020 10:30 Жаль, что аппарат не собирает Рома UR0MC, Сергей UR5MID и другие контестмены.... На Костю, конструктора, влияние оказывают разные ребята, многие - картофелеводы и некоторые ньюансы, возникают Как хорошо и правильно сказал ! Спасибо большое -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 917] Автор : RX3APM Sergey Дата : 04.10.2020 10:36 Про картофелеводов, думаю, перегиб, картофелеводить можно на любом радио, хоть uw3di. Остальные замечания резонные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 918] Автор : ur5mid Дата : 04.10.2020 10:48 Жаль, что аппарат не собирает Рома UR0MC, Сергей UR5MID и другие контестмены.... Зреет такая мысль. Возможно, как появятся новые свободные kit`s. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 919] Автор : RX3APM Sergey Дата : 04.10.2020 11:01 Зреет такая мысль. Возможно, как появятся новые свободные kit`s. Тоже жду. Успел уже раза по два захотеть и передумать)) В мае про новые киты было отвечено, «если все хорошо пойдёт, то к концу лета». Уже октябрь, наверное, «не всё хорошо». Ну и выплывающие один за другим нюансы, тоже напрягают. Тем не менее, искренне желаю автору и бетатестерам всё осилить ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 920] Автор : UT4UBK Дата : 04.10.2020 12:01 По CW не совсем согласен. Как раз по этой теме очень сильно копали с теми, кто много работает на ключе. Иногда - даже на немного повышенных тонах, :evil: Ярослав и Саша не дадут соврать. В отпуске в сентябре тестировали ключевание с болгарскими коллегами. Там ещё более жёсткие требования, 23 мс задержки уже слышат. Что бы кардинально бороться с такой задержкой надо ставить отдельный аудио кодек на фронтенд. Чтобы приемлимо уменьшить делать ключ на процессоре и выдавать на фронтенд сформированные посылки. Сейчас подумываю, как это красиво сделать. Проблема в том, что обновление DMA данных в буфере кодека по 512 сэмплов, надо понимать в какой момент времени начинать генерить сигнал самоконтроля. По задержка переключения в TX - там все очень просто, поднимается приоритет нитки в основном процессоре, отвечающей за обработку прерывания, плюс настраивается задержка в ключе на выдачу посылок в эфир. По CAT, не хочется делать этакого монстра и несколько протоколов. Если честно. Да и хотелось бы выпилить костыль через vspe. Поработав с TCI на другие протоколы возвращаться нет никакого желания. Более того, планирую встроить его поддержку в Mchv, тк он написан на qt, а я его неплохо знаю. Добавлено через 23 минут(ы): По второму cat потоколу есть более правильное решение. Я тестировал драйвер usb-uart конвертера, в dev появляется штатный uart, у кого есть трансивер, могут проверить, вроде бы его ещё не выпилил из сборки. Те делается копеечный кабель из двух usb uart модулей, можно даже с опторазвязкой. Тогда имеет смысл поднять службу для второго протокола. Тем более ipc слой уже написан и проверен на TCI и tentec протоколах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 921] Автор : RX3APM Sergey Дата : 04.10.2020 13:08 Протокол САТ для kenwood, точно нужен. Большинство популярных лог-программ не поддерживают TCI, и на просьбы включить в свой состав TCI, отвечают отказом. Я по этой причине не пользуюсь TCI для своего сансдр. Кстати, и по обычному САТ всё отлично работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 922] Автор : UR0MC Дата : 04.10.2020 13:25 Два самых популярных контест логгера в мире, на которых работают 95% контестменов винтест и н1мм не имеют TCI. В популярных ДХ логгерах тоже его нет. Если проект рассчитан только на тех 30 обладателей китов, то остальные мнения можно и не учитывать ) Можно тогда и тему перенести в вайбер группу ) чтоб у нас слюни не текли ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 923] Автор : UT4UBK Дата : 04.10.2020 14:29 Кенвуд или другие CAT протоколы. Обладатели сан-сдр похоже смирились с этой участью, и работают на TCI. Как это просто решить - я уже описал. В ближайшее обновление будет снова включен драйвер USB-UART. Потом владельцы трансиверов смогут подключать переходники и проверить командой ls /dev. Как раз и определимся, какая модель переходника подходит лучше всего, голосуем за ее добавление . По результатам голосования, включаю эту фичу в роадмап и выкатываю прошивку. Положительный опыт голосования и и включения фиксов есть здесь https://sdr-ermak.com/display/SDW/Software+roadmap+and+release+notes Добавлено через 46 минут(ы): Роман, я только за чтобы добавить как можно больше фич. Но есть два но: 1. На Icom работает over 300 инженеров, я один 2. Из этого следует, что надо крайне тщательно относиться к фичам, что в первую очередь что - во вторую. Поэтому и приходится учитывать мнение всех причастных. На данный момент - есть два основных направления, они распиcаны в роадмапе. CW+ удаленка. По CAT кенвуда - будет добавлен, но в следующих релизах. Как это будет реализовано - я расписал. В моем представлении идеальный трансивер - это: 1. Все современные фичи в нем присутствуют и он может работать автономно 2. При подключении к компу - минимум телодвижений, без установки различных костылей из дополнительных программ, драйверов итд. Поэтому - сделал упор на web, необходимый драйвер для low latency UDP аудио можно скачать с трансивера. 3. Для поддержки legasy решений (cat протоколы , заточенные на UART) - самый простой и надежный способ из конвертеров USB-UART + опторазвязка. Поэтому - эмуляция CAT over TCP/IP и костыль vspe - не развивалась. Оставлена как есть , потом будет выпилена. 4. Использование online обновлений и упрощение жизни пользователей, без возни с флешками итд. 5. Максимальное использование online ресурсов - логгеры, lotw, споты. Именно для этого был добавлены встроенный логгер с adif итд Например, уже сейчас есть заявка на онлайн логгер в том же web окне с удаленкой. Очень здравая идея, и она тоже будет заложена в роадмап. Вторая идея - споты из трансивера (уже поднят telnet клиент) будут передаваться на веб морду удаленки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 924] Автор : UT4UBK Дата : 04.10.2020 19:12 Коллеги, продолжая сегодняшнее обсуждение по дополнительному cat. Выложена версия 1.5.0-k2 Обновляться , как обычно updfw.sh 1.5.0-k2 В нем есть драйвер usb-uart. Если есть возможность, проверить поддержку текущих модулей Войти в консоль Запустить драйвер командой devc-serusb Вставить usb конвертер в USB разъем трансивера (любой) Выполнить команду в консоли ls /dev Если драйвер распознал конвертер, в dev появится новое устройство serusb1 (см скриншот) Прислать фото и модель конвертера Мой FTDI с алика - распознался. 343987 Судя по списку, должны распознаться все популярные конвертеры prolific mcs7720 uc864e ftdi wireless_modules sierra huawei zte generic cdc cp210x qc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 925] Автор : UT4UBK Дата : 27.10.2020 16:14 Много вопросов по новой DSP плате , планирую через неделю-полторы отправить в производство первый сэмпл. Скажу сразу , дело это небыстрое, и ее отладка и перенос софта займет очень большое время. После отправки сэмплов в производство приступлю к допиливанию текущего софта, проблема с лишними точками в телеграфе локализована (tnx UR5LAM) и будет выдана официальная прошивка согласно роадмапу Ниже - примерный вид новой платы DSP, размеры 64х58 мм, на новой front panel плате будет монтироваться дополнительный 204 пиновый разъем для ее подключения. Ее характеристики: Cortex-A15 1ГГц DSP 66x floating point 600МГц 3D +2D видеоускоритель Возможна поддержка двух TFT дисплеев (разъем для подключения одного дисплея расположен на самой плате, второй, если нужно - на frontpanel плате) Возможно подключение HDMI дисплея (micro HDMI расположен на этой плате) Часы с батарейкой на несколько лет WiFi 2.5GHz+5GHz +BT Ethernet 1GBit Возможность подключения mPCIe периферии (например, LTE модем) RAM 1GByte EMMC 4 GByte USB3 USB2 Cortex-M4 200 MHz x 2 PRUSS RISC core 200 MHz x2 Керамический радиатор Поддержка FullHD TFT MIPI дисплеев Железа и мощности этой платы хватит на много лет, FT8 вполне реально декодировать на лету. Мощности DSP хватит для полноценной обработки 4 приемников, плюс планируется делать FFT на 4096 точек для плавного скроллинга. Плата будет использоваться с текущим железом, необходима только заменить переднюю морду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 926] Автор : Потап Дата : 05.11.2020 23:07 Какие у Вас планы.Будут ещё киты или эти 30 штк и на этом все закончилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 927] Автор : UT4UBK Дата : 24.11.2020 19:54 Какие у Вас планы.Будут ещё киты или эти 30 штк и на этом все закончилось. Да, будет следующий заход. О начале - сообщу. Выложено новая бета 1.5.0-k6 Обновляться из консоли updfw.sh 1.5.0-k6 Полностью переработан алгоритм отрисовки водопада, для упрощения - исключен режим настройки усиления водопада. Теперь он полностью независим от спектра. Повысилась контрастность для слабых сигналов Добавлен настройка AUDIO output Теперь можно выбирать три варианта - автоматический, только динамик или только наушники. Удобно при работе с гарнитурой Исправлена проблема регулировки выходной мощности в режиме AM и NFM 346433 346434 Продолжаются работы с CW и TCI, а также подключение CAT UART-USB адаптер -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 928] Автор : R2DRH Дата : 25.11.2020 06:14 Тоже китом заинтересовался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 929] Автор : UA6CT Дата : 25.11.2020 11:31 Тоже китом заинтересовался. +1 :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 930] Автор : Jozef55 Дата : 25.11.2020 15:15 Смотрел на YouTube два видео, как работает ермак на удаленке. Какой программой пользуетесь и работает она на передачу? 73. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 931] Автор : UT4UBK Дата : 25.11.2020 15:39 В трансивере поднят веб сервер. Удалённо подключаешься через обычный веб браузере. На передачу - в будущих версиях -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 932] Автор : UT4UBK Дата : 28.11.2020 15:58 Приехали образцы валкодеров, на фото Два образца - до анодировки и после Две разные обрезинки (разный цвет и мягче -жестче) Детальное фото со фактурой поверхности С понедельника - идут в производство, кому надо - можно оставлять заказ. Диаметр вала - 6мм, ставится на оптические энкодеры. Диаметр ручки 38 мм, может подойти на любые другие радиолюбительские трансиверы. Заказывается больше, чем необходимых 35 штук для Ермаков, кому надо - может обращаться в личку или на почту. 346586 346587 346588 346589 346590 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 933] Автор : RA9W Дата : 28.11.2020 16:49 Добрый день, заказ надо отправлять на вашу почту? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 934] Автор : UT4UBK Дата : 28.11.2020 17:19 Да на почту, по России рассылка будет делаться централизованно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 935] Автор : Genadi Zawidowski Дата : 29.11.2020 17:43 У оптических от bornous один из диаметров 6.35 а не 6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 936] Автор : UT4UBK Дата : 03.12.2020 18:31 Выложено новая бета 1.5.0-k9 Обновляться из консоли updfw.sh 1.5.0-k9 Проверена работа с логом LogHX Руководство по подключению находится здесь https://sdr-ermak.com/x/CQUw Реализован механизм передачи по TCI на лог обновления частоты и рабочих мод (tnx UT4LW). Теперь при вращении валкодера и переключении мод эти данные сразу же обновляются в логе, те нет задержек на опрос, как это было в стандартных CAT Для полноценной удаленной работы теперь необходимо организовать пересылку команд (частота & мода ) из лога в веб панель и обратно. Это будет реализовано в следующей бете 1.5.0-k10 При таком подходе для подключения к удаленному трансиверу необходимо будет пробросить два порта (web + TCI) и работать с локального компа с помощью лога и веб панели. Также необходимо проверить совместимость с другими логами, поддерживающими TCI. В планах - вывод спотов на вебпанель управления. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 937] Автор : UT4UBK Дата : 03.12.2020 22:15 У оптических от bornous один из диаметров 6.35 а не 6 Значит, ручка на такие энкодеры не подойдет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 938] Автор : UT4UBK Дата : 08.12.2020 16:33 Приехала плата для нового DSP c новым hd 6' дисплеем. Начинается долгая работа по их сборке, оживлению и написанию софта... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 939] Автор : SVd2004 Дата : 08.12.2020 16:51 плата для нового DSP c новым hd 6' дисплеем Красиво! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 940] Автор : UT4UBK Дата : 08.12.2020 16:54 А сколько с ней се**а с ней будет :facepalm: Уже в предвкушении, зато по мощности на много лет никаких ограничений Одно успокаивает, остальные платы будут без изменений, ну может когда-нибудь переделаю фронтенд на 2 ADC и 16 разрядный DAC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 941] Автор : eu2av Дата : 12.12.2020 09:15 Константин, если попробуете DAC 16 бит на MAX5891 и сравните с 9744 то желания (14бит) дальше использовать просто пропадет :smile: Однозначно обновляйте железа по передаче, будет действительно один из лучших трансиверов. У меня получилось сразу с первого раза. 347491 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 942] Автор : evgeny_zaryanov Дата : 12.12.2020 12:48 Приветствую всех! Очень интересно.. но понимаю не соберу такое, продает кто платы? И скажите где купить такие кнопочи резиновые,я уже второй год ищу... не могу найти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 943] Автор : UT4UBK Дата : 12.12.2020 14:38 Скоро должны приехать новые сэмплы корпусов, после этого будет новый заход на киты . По механике - через неделю новые ручки валкодеров. На фото - подготовка обрезинки для партии ручек. Осталась последняя операция - анодировка. По телеграфу - вынес работу ключа на отдельный процессор, сейчас дебажу. В планах - в продовской версии 1.5.0 добавить поддержку макросов. Добавлено через 35 минут(ы): AD9783BCPZ кажется подешевле выглядит чем MAX5891. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 944] Автор : zero Дата : 12.12.2020 15:58 Тоже китом заинтересовался. +1:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 945] Автор : UA4HQS Дата : 12.12.2020 17:03 А как на кит записаться? Тоже хочу собрать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 946] Автор : vasyl-001 Дата : 12.12.2020 17:22 Как заиметь ручку валкодера -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 947] Автор : UT4UBK Дата : 13.12.2020 18:13 Как заиметь ручку валкодера Ответил в личку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 948] Автор : evgeny_zaryanov Дата : 13.12.2020 21:16 Ответьте мне в личку как заиметь плату и экран? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 949] Автор : UT4UBK Дата : 13.12.2020 22:09 Коллеги вопрос, в трансивер запилил макросы. Сейчас делаю бридж из логгера. Изучаю документацию на протокол TCI. Интересует передача аббревиатуры (помечено красным прямоугольником). Те этот SK не вставляет интервал в три точки между знаками. Это правильно? А оно вообще нужно? Кто-то этим пользуется? 347647 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 950] Автор : vadim_d Дата : 13.12.2020 23:35 Те этот SK не вставляет интервал в три точки между знакамиНу да, он именно такой, это один знак. Как и SOS - это не три буквы, а одна :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 951] Автор : UT4UBK Дата : 14.12.2020 11:07 Ну значит сделаем, те уже сделал :-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 952] Автор : UA6CT Дата : 14.12.2020 12:02 А оно вообще нужно? Кто-то этим пользуется? Все пользуются. SK AR AS BK KN SN Это то, что я навскидку вспомнить могу, что каждый день почти в каждом QSO слышу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 953] Автор : RX4HX Дата : 14.12.2020 12:15 этот SK не вставляет интервал в три точки между знаками. Это правильно? Да правильно - можно "складыванием" знаков получить любой уникальный знак. И таких достаточно много. AS, KN, SK, SN, KA, AR. Все пользуются. Да про BK забыл))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 954] Автор : UT4UBK Дата : 14.12.2020 12:42 Сейчас делаю выдачу телеграфа с клавиатуры трансивера. Изучаю встроенные макросы в icom7610 Там для таких символов используется ^ , после которого символы идут слитно до следующего пробела. 347688 Какие-нибудь стандарты (из логов ) есть для обозначения аббревиатур? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 955] Автор : vadim_d Дата : 15.12.2020 23:39 Какие-нибудь стандарты (из логов ) есть для обозначения аббревиатур?Упоминание N1MM в теме программных разъемов заставило поглядеть в описание его макросов, а потом и ответить там с мыслями о здешнем вопросе http://www.cqham.ru/forum/showthread.php?34313-Software-Defined-Connectors&p=1787103&viewfull=1#post1787103 :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 956] Автор : UT4UBK Дата : 20.12.2020 15:30 Выложена промежуточная прошивка 1.5.0-rc16 В настройках добавлено закладка настроек для макросов. Необходимо ввести свой позывной, имя, QTH, GRID locator 348031 Для автоматической подстановки в макросы, необходимо использовать следующие макро: %1 - OPERATOR CALLSIGN %2 - OPERATOR NAME %3 - OPERATOR QTH %4 - OPERATOR GRID %5 - RECEIVED CALLSIGH %6 - RECEIVED RCT > +5WPM < -5WPM | - передавать без пробелов ~ - уменьшить интервал до двух точек (пока не реализовано) Шорткаты внешней клавиатуры: F10 - войти/выйти из макросов F1-F6 - передать макрос F7 - сменить группу макросов (общий вызов или работа на поиск) Escapе - остановить передачу текущего макроса Enter - передать набранный макрос из строки Tab - перейти в следующее окно ввода Кнопки трансивера F1-F6 - передать макрос F7 - сменить группу макросов (общий вызов или работа на поиск) F8 - выйти из меню макроса Scope encoder - опертивное изменение скорости 348032 Для редактирования соответсвующего макроса - долгое нажатие F1-F6 кнопок трансивера 348033 Внизу показано окно в процессе передачи. Остановить передачу можно как со внешней клаваитуры, так и нажав кнопку стоп на экране. 348034 Что еще будет доделываться: Функция циклической передачи макроса Занесение/удаление связи во встроенный лог Отправка на внешний лог по сети Реализация уменьшения интервала до двух точек Запуск макросов по TCI Исправление рваных точек при скорости больше, чем 23WPM на выходе Удаление щелчков при TX/RX Просьба протестировать текущий функционал, предложения, замечания -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 957] Автор : Phoenix Дата : 22.12.2020 15:18 UT4UBK, добрый день! Вопрос по выходному трансформатору PA (https://sdr-ermak.com/pages/viewpage.action?pageId=3146945). Ранее использовали 25 Ом'ый гибкий коаксиальный кабель, а сейчас перешли на полужесткий, зачем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 958] Автор : UT4UBK Дата : 22.12.2020 17:58 Чтобы упростить конструкцию трансформатора и его монтаж на плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 959] Автор : Phoenix Дата : 23.12.2020 16:56 UT4UBK, а более низкоомный коаксиальный кабель не получилось найти? Теоретически нужен кабель с волновым сопротивлением ~12,5 Ом, т. к. коэффициент трансформации трансформатора 1:16 по сопротивлению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 960] Автор : UT4UBK Дата : 23.12.2020 18:21 Нет , найти не смог по разумной цене. С другой стороны - зачем? Этого достаточно, потребляемый ток для 100w на некоторых бэндах (driver+output) 14.9-15A, трансформатор не греется, при правильной настройке - 100 ватт на 50 MHz. IMD - фото измерений выкладывал много раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 961] Автор : UT4UBK Дата : 25.12.2020 19:31 Пришли валкодеры с производства и готовы к отправке. Кто оставлял заявки, можно обращаться: Материал - твердый алюминий, диаметр 38 мм Прорезиновое покрытие боковых граней Финишное покрытие - твердый черный анод (Type III) , химия - американская Диаметр вала 6 мм 348327 348329 348328 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 962] Автор : UT4UBK Дата : 27.12.2020 22:35 Выложена промежуточная прошивка 1.5.0-rc27 При обновлении и перезагрузке будьте внимательны - происходит обновление FPGA Добавлена запись QSO в встроенный лог из окна CW макроса (кнопка "+" на экране или F11 клавиатуры) Добавлено удаление последнего QSO из встроенного лога в окне CW макроса (кнопка "-" на экране или F12 клавиатуры) Добавлены новые типы макросов (tnx UA1ATD). {MYCALL} позывной оператора {MYNAME} имя оператора {MYQTH} QTH оператора {MYGRID} GRID оператора {CALL} позывной {RST} RST sent Что осталось по текущей прошивке, чтобы выложить ее в релиз (планируется до НГ): Имплементация уменьшения интервала до 2 точек (символ ~) Циклическая отправка макроса Имплементация PTT задержки отдельно для макросов Уменьшение экрана макроса по нажатию горячей клаваши, чтобы не перекрывать спектроскоп и водопад Фичи, которые будут реализованы в будущих версиях: Отправка QSO во внешний лог по сети Расширенные команды макросов (вставка команд и условные команды) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 963] Автор : UT4UBK Дата : 30.12.2020 21:41 Выложен официальный релиз 1.5.0 Можно обновляться через экранное меню. Основные дополнения в этом релизе: Добавлен TCI протокол. Добавлен WEB доступ на прием. Добавлено управление CW макросами с логгера. Отдельное управления CW макросами с трансивера. CW keyer запущен на отдельном процессоре Переработан водопад. Переработано отображение параметров PA При обновлении, будьте внимательны, происходит апгрейд FPGA. В следующем релизе1.5.1 будут следующие нововведения Добавление VOX Добавление XIT Добавление NB Подпись железа аппаратным ключем. Миграция на новый протокол cat Kenwood WEB доступ на передачу CW. Управление макросами с web Low latency remote аудио -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 964] Автор : serg380 Дата : 30.12.2020 23:00 Отличный трансивер, достойные показатели,автору большая благодарность за проведенную роботу. И сразу вопрос к автору Подпись железа аппаратным ключом, и на первой странице: Коммерчеcкого интереса не преследую, just for fun. Просьба не пинать пианиста, он играет как может. Это первая моя конструкция в КВ. Как будет дальше? Все бесплатно, или уже платим??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 965] Автор : UT4UBK Дата : 30.12.2020 23:25 Лично вы ничего не платите. 146% И подавляющее большинство читателей этого форума. Ну вот захотелось мне железо и софт подписать аппаратным ключом, накатило что-то :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 966] Автор : serg380 Дата : 31.12.2020 00:27 Большое спасибо за ответ, успокоили:smile:. Всех благ, в Новом году, успехов в работе. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 967] Автор : UT4UBK Дата : 31.12.2020 19:23 Дорогие коллеги, Хочу Вас всех искренне поздравить с Новым Годом. Огромное Вам спасибо за терпение, за дружеские (и всегда нужные) советы, за доверие, за веру в то, что все будет сделано в этом непростом проекте. Все мы разные, но в этом особенном году я приобрел большое количество друзей в разных странах и понял (в свои 40++ лет), что такое международное радиолюбительское братство. Я обещаю, что все что было обещано мной – будет сделано, а осталось немного – плата ATU. Плата для прошивки контроллеров ATU уже приехала. :super: https://www.cq-radio.net/forum/index.php?attachments/pc310584-jpg.968/ 348710 Для коллег, которым обещал дать ответы по новым комплектам китов – подождите, пожалуйста, немного, как только получу образцы новых корпусов – сразу же выдам всю информацию. Пассивные компоненты (в катушках) уже закуплены и находятся в пути. Еще раз, Вам всем наилучших пожеланий и оптимизма в Новом 2021 году. До встречи на новых бэндах! 348711 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 968] Автор : UT4UBK Дата : 04.01.2021 21:21 Денис, все выкладывалось с 53 страницы. За два года ровно 5 человек пытались спаять , высылал пустые платы, стенсилы бесплатно :-) я увидел только две платы самостоятельно собранные (на фото), предложил авторам переслать их мне для настройки. До сих пор жду.. Воевода73 - " А Вы, собственно, почему интересуетесь... " Я вам ничего плохого не делал :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 969] Автор : Voevoda73 Дата : 04.01.2021 21:27 А Вы, собственно, почему интересуетесь... Сам я не соберу-не спаяю, ограниченные физические возможности. С Аистом не срослось, ни аппарата ни денег. Но есть Маламут М2. По роликам понравился трансивер Ермак. Вот хочу-ищу возможность приобрести. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 970] Автор : UT4UBK Дата : 05.01.2021 22:31 Выложен официальный релиз 1.5.1 Исправлена проблемы с падением удаленного доступа когда доступ по TCI отключен. Водопад не засвечивается после перехода в меню и встроенный логгер. Диалог CW макросов открывается только в соответствующих рабочих модах. Исправлена проблемы с повторным открытием окна логгера после открытия окна CW макросов. Важное дополнение - в 1.5.0 происходило обновление FPGA. Поэтому, при обновлении с версий, ниже 1.5.0 необходимо обновиться до 1.5.0 (из консоли), перегрузиться, убедиться что все работает, потом из меню - до 1.5.1 При обновлении с 1.5.0 - можно из сразу же из меню. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 971] Автор : UT4UBK Дата : 06.01.2021 23:18 Продолжается планомерная чистка софта от мелких багов. Выложен официальный релиз 1.5.2 Исправлена проблема с обновление web страницы после переключения диапазона в логгере Исправлена проблема с изменением параметров сглаживания спектра после возврата из WEB управления -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 972] Автор : ur7cq Дата : 14.01.2021 16:19 Собрал себе трансивер Ермак, небольшое видео, кому интересно как он работает посмотрите. В описании к видео ссылка на эту страницу форума. https://www.youtube.com/watch?v=CttGaOU8mko&list=PL0oqiADaAH3ktVAYvKkdokqLMMf7w9mXE&index=7 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 973] Автор : ur7cq Дата : 14.01.2021 22:22 Константин по моей просьбе, прислал спаянные платы, где вся мелочёвка уже напаяна, впаял большие детали сам ( это для меня не сложно), намотал на колечках ДПФ, впаял, проверил, работает, подключил прибор, посмотрел АЧХ, то, что написано, так и есть, без танцев с бубном. Корпус собрал за 20минут. Плату компьютера и плату DDC собирать самому нет смысла, всё мелкое и нужно иметь спец паяльное оборудование, по этому, автор прислал мне эти платы собранные и отлаженные. В общем и целом, собрать всё без учета УМ можно за один день, и уже слушать на приём, если есть на это время. Собрать УМ тоже не долго, если есть транзисторы и радиатор в наличии. Из всего, что самое важное, это УМ, уже собранную плату прикрепил к радиатору, нужно было трансы намотать, контура LPF, установить транзисторы драйвера и выходные транзисторы ( транзисторы покупал сам). Сначала нужно настроить LPF по минимуму КСВ, например при помощи nano-vna, или Оса, или другим анализатором, потом из консоли, при помощи команд, трансивер сам установит токи покоя, после этого калибровка мощности, всё просто делается. ИМХО -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 974] Автор : R5ZQ Дата : 14.01.2021 23:06 Надеюсь, появится, когда-нибудь, в продаже в виде готового продукта.Хороший трансивер,грамотно сделан,но у нас видимо ждать не надо.А так то же бы взял готовый,но увы,самостийная Украина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 975] Автор : Alexan Дата : 15.01.2021 02:23 А что самостийная Украина, нет пересылки в РФ,перевозчиков изгнали как класс. Я бы еше бы понял если бы из Уганды или Ефиопии проблема!!! А от Воронежа то емае самому на авто проскочить чай не Сибирь все рядом!!!!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 976] Автор : RU4SS Дата : 15.01.2021 09:30 Несколько вопросов обладателям трансивера... Есть ли вход для FSK (RTTY). Как с мощностью в SSB. Есть ли САТ, чтобы нормально интегрировать аппарат с логгерами. Есть ли сигналы для бэнд-декодера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 977] Автор : RX4HX Дата : 15.01.2021 10:32 Есть ли САТ, чтобы нормально интегрировать аппарат с логгерами. Так на сайте все описано - https://sdr-ermak.com/pages/viewpage.action?pageId=327743 https://sdr-ermak.com/pages/viewpage.action?pageId=3147017 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 978] Автор : RU4SS Дата : 15.01.2021 10:36 Так на сайте все описано - https://sdr-ermak.com/pages/viewpage...?pageId=327743 https://sdr-ermak.com/pages/viewpage...pageId=3147017 Не открывается сайт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 979] Автор : Livas60 Дата : 15.01.2021 10:54 У меня открывается: 349635 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 980] Автор : Serosh Дата : 15.01.2021 11:36 Не открывается сайт. по ссылке в адресной строке, после (дот ком) убери все нафиг и откроется сайт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 981] Автор : ur7cq Дата : 15.01.2021 12:21 По поводу FSK (RTTY) в современном SDR трансивере, ничего не скажу, но думаю, что можно реализовать в последствии формирование RTTY непосредственно в ПО трансивере, или через TCI протокол совместно с программой SDC Юрия UT4LW работать RTTY. Думаю, на сегодня, это проще и эфективнее, чем организовывать вход для подключения внешнего модема. Мощность SSB 100вт, при разговоре стрелка прыгает до 100ВТ на внешнем мощемере CN-801, т.е. не половина мощности, а именно видимых 100Вт, сниму видео по этому поводу. При этом ИМД не хуже -30Дб а то и лучше при 100Вт на всех диапазонах. Есть САТ, есть TCI . Управление логом и наоборот работает даже отдалённо. Есть сигналы бенддекодера. С платами понятно, малые габариты... А как быть с корпусами, доставляют? Корпус мне прислал Константин в разобранном виде, настолько всё просто собирается, что у меня на это пушло 20минут. Продуманная легкая, прочная и механически стабильная конструкция. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 982] Автор : UT4UBK Дата : 15.01.2021 18:35 Коллеги обмеряли параметры трансивера, был запрос точно измерить параметры LNA во всем диапазоне частот. Планируется введение в меню поправок Kус для каждого бэнда -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 983] Автор : UN7RX Дата : 15.01.2021 22:02 Вопросы о цене - автору в ЛС. Увижу в теме - оштрафую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 984] Автор : UN7RX Дата : 16.01.2021 20:08 Я предупреждал о разговоры про цены - не доходит. Два штрафа. За треп о почте и прочую дребедень в технической ветке будет то же самое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 985] Автор : UT4UBK Дата : 19.01.2021 23:23 Начинаем работать над 1.6.0 Выложена промежуточная прошивка1.6.0-rc1 , обновляться из консоли Добавлена иконка стерео/моно звука вместо иконки подключения по CAT Изменены иконки напряжения и тока Добавлена shortcuts на внешней клваиатуре - '~' (под ESCAPE) - RX<->TX TAB - выбор активного приемника и ли переключение в DUAL mode, SHIFT - выбор стерео или моно звучания в DUAL mode. Иногда, работая на двух приемниках (когда они звучат в разные уши), необходимо быстро переключить в моно режим и слушать активный сейчас приемник Исправлена проблема синхронизации бэндов в логгере и удаленке Добавлен расширенный лог загрузки в консоли 349970 По корпусам - отложили отправку из-за ковида, пока не могут сделать анодировку. Ждем первую неделю февраля. Если есть предложения по shortcuts клавиатуры - предлагайте, оказалось - очень удобно работать с ней в эфире, про педаль PTT - можно забыть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 986] Автор : UT4UBK Дата : 24.01.2021 15:38 Выложена промежуточная прошивка 1.6.0-rc3 , обновляться из консоли Добавлено модальное окно прямого ввода частоты Переработаны некоторые элементы UI, решено добавить прозрачности, чтобы не перегружать интерфейс Изменен логотип при загрузке Изменен значек favicon у web морды После ввода пароля теперь можно нажать Enter для его отправки, не надо мышкой нажимать кнопку Начата работа над имплементацией окна управления CW макросами для полноценной удаленной работы и отображения статусов температуры, напряжения и тока. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 987] Автор : UT4UBK Дата : 04.02.2021 21:34 Выложена промежуточная прошивка 1.6.0-rc5 , обновляться из консоли В удаленку добавлено окно отправки макросов Значек "самолетик" - вкл/вкл окна макроса. Окно макросов можно перетягивать по экрану, положение запоминается Макросы можно редактировать прямо в веб морде, все изменения сохраняются на трансивере Добавлена регулировка выходной мощности Немного облегчен интерфейс Добавлена строка статуса В следующей бете будут добавлены новые фичи: Управление внешним усилителем через интерфейс Передача аварийных евентов на удаленку (срабатывание защиты по току , температуре и КСВ) и их сброс Добавление CAT протокола кенвуда -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 988] Автор : r7ll Дата : 05.02.2021 09:33 Доброго времени суток! Подскажите пожалуйста ацп ads6145irhbt так и осталось? Схема fe на первой странице актуальна? Если нет то где можно посмотреть схемы? Автору респект и уважуха, работу проделал огромную! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 989] Автор : UT4UBK Дата : 05.02.2021 10:05 Нет, ADC - LTC2165 Вся дока в треде начиная с 49 страницы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 990] Автор : r7ll Дата : 05.02.2021 10:32 Спасибо, буду изучать :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 991] Автор : us4lbo Дата : 05.02.2021 12:34 Вся дока в треде Можно подробнее - где почитать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 992] Автор : Sysert Дата : 05.02.2021 15:14 Тут http://www.cqham.ru/forum/showthread.php?35581-SDR-DDC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%C5%D0%CC%C0%CA&p=1647026&viewfull=1#post1647026 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 993] Автор : UT4UBK Дата : 07.02.2021 17:34 Не спеша делается. Плата на линии, работы - еще конь не валялся -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 994] Автор : UT4UBK Дата : 07.02.2021 18:46 Для тех кому "посчастливилось" установить удивительные роутеры Mikrotik, сделал небольшой гайд, как пробросить порт наружу и получить доступ к трансиверу снаружи. По аналогии - можно пробросить порт для TCI чтобы удаленно подключаться с логгера Настройка ротуеров MikroTik для удаленного доступа к трансиверу (https://sdr-ermak.com/x/dAUw) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 995] Автор : UT4UBK Дата : 09.02.2021 21:02 Выложена промежуточная прошивка 1.6.0-rc6 , обновляться из консоли Добавлено окно About с информацией о трансивере Цвет значка температуры меняется в зависимости от температуры Уменьшена длина аудио фреймов, что положительно сказывается на аудио задержках При щелчке мышкой по значку динамика в строке статуса сбрасывается кольцевой аудиобуфер и задержки становятся минимальными При переходе в режим передачи - замораживается отображение спектра и водопада. Добавлены новые шаги перестройки 5 и 10Гц Добавлен эффект плавного нарастания яркости по окончании загрузки клиента В режиме передачи частота подсвечивается красным цветом Следующий этап - добавление управления внешним усилителем 351336 351337 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 996] Автор : UT4UBK Дата : 15.02.2021 22:16 Выложена промежуточная прошивка 1.6.0-rc7 , обновляться из консоли Реализована поддержка CAT протокола KENWOOD для работы с логгерами , не поддерживающими TCI протокол Добавлена настройка выбора скорости обмена по CAT протоколу Исправлена ошибка при передаче макросов На вики - добавлены новый страницы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 997] Автор : UT4UBK Дата : 12.03.2021 13:45 Запущен оптоизолированный аудио-бридж over USB, сделана поддержка в софте, теперь можно аудио потоки побрасывать напрямую для работы в FT8. Также он выполняет роль USB хаба (можно воткнуть флешку для записи)+ встроенный кодек для подключения наушников и моно усилитель 2W для подключения внешних колонок. Драйвер бриджа будет включен в официальное обновление софта 1.6 Его стоимость очень низкая, желающим - могу отдать 3 платы из первой версии, собирается за вечер, весь монтаж - односторонний Через пару недель будет запущен процесс оптовой закупки и изготовления для желающих. Из плюсов - бридж можно использовать как внешнюю USB аудио карту для наушников на PC, там стоит кодек с очень неплохими параметрами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 998] Автор : ur7cq Дата : 02.05.2021 12:18 Прошил свой трансивер новой прошивкой, появилась возможность работать отдалённо через интернет браузер с любого устройства ( комп, планшет, телефон), со звуком в обе стороны, ранее даже мечтать о таком не приходилось, а сейчас пожалуйста! https://youtu.be/cM3BQZmTA48 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 999] Автор : SP3OSJ Дата : 10.05.2021 12:49 Доброе утро. Ну, почему здесь больше никто не пишет. Проект уже умер? 73 Artur -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1000] Автор : UN7RX Дата : 10.05.2021 22:05 Недоразумения с автором темы выяснены. Напомню всем - разрешены ссылки только на сайт автора и файлохранилища где он может разместить материалы. За любые другие ссылкии авторы таковых будут наказываться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1001] Автор : UT4UBK Дата : 11.05.2021 13:49 Роберт, спасибо большое за разумный подход и что приняли к сведению мои аргументы. Поехали дальше. Выложен очередной release candidate 1.6.0-rc14 Как обычно, обновляться из консоли. ВАЖНО - после обновления необходимо откалибровать выходную мощность по бэндам заново RIT/XIT изменены пределы расстроек +-30КГц Обновлен NB Добавлен VOX Добавлен фильтр 40 мс, котороый плавно увеличивает громкость при переключении бэндов и мод, снижает звуковой "хлопок" переходных процессов Добавлена страница - Настройка VOX (https://sdr-ermak.com/x/gwYw) Добавлена страница - описание настройки широкополосного подавителя импульсных помех Wideband Noise Blanker (https://sdr-ermak.com/x/ewYw) Скажу прямо, вещь достаточно спорная, в одних случаях - помогает, в других - нет. Интересно побродить по форумам флексоводов и почитать отзывы Добавлено руководство https://sdr-ermak.com/x/UgYw Работа в удаленном режиме - SSB Добавлено руководство https://sdr-ermak.com/x/VQYw Работа в удаленном режиме - CW Интересное применение VOX при подключении бриджа, ft8 может переходить на передачу без cat команд Произведен очередной раунд оптимизации софта, в dsp выкроил порядка 8 кб, поэтому - можно что-нибудь реализовать еще, добавить фильтра (APF) , может - ручной нотч. Предложения приветствуются Можно добавить визуализацию спектра микрофона при передаче или увеличенное окно приемного спектра - при приеме. Можно добавить ревербератор в спич компрессор. До выкатки финального релиза осталось добавить работу с с двумя VFO в веб удаленку и подпись железа. Большая просьба, будущий релиз 1.7.0 - т.н. "санитарный" Абсолютно все замеченные, недостатки и недоработки - сразу же сообщить. Это особенно касается работы со внешними логгерами В 1.7.0 будет введена консольная инженерная утилита кастомизации интерфейса, настроек s метра, калибровки. Будет добавлена долгожданная опция выдачи IQ потока с любого приемника (24К), те можно будет цеплять скиммер Будущий релиз 1.8.0 - значительное расширение функционала web удаленки + новый генератор самоконтроля в cw + специальный dx режим, когда второй приемник работает на частоте второго VFO или XIT Работа с внешним PA Вопрос- нужны ли нам абсолютно бесполезные (на мой взгляд) фичи RTTY & CW декодер? Опробовали работу с мобильника (ниже - скриншот с окна мобильника). Вполне комфортно, подключился удалённо к трансиверу UR7CQ. Лежу на диване в блютуз гарнитуре (супруга довольна SIC! ), провожу QSO :-P в будущем, надо будет сделать веб морду, адаптированную к мобильным устройствам -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1002] Автор : Alexan Дата : 11.05.2021 17:09 Прошил свой трансивер новой прошивкой, появилась возможность работать отдалённо через интернет браузер с любого устройства ( комп, планшет, телефон), со звуком в обе стороны, ранее даже мечтать о таком не приходилось, а сейчас пожалуйста! https://youtu.be/cM3BQZmTA48 Для бла-ссб супер,а как с CW?А мы их макросами....душили,душили!Или мона и ключиком! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1003] Автор : UT4UBK Дата : 11.05.2021 17:19 По TCP IP большой разброс времени доставки пакетов, у них нет понятия гарантированно го времпни доставки. Опять будет "пьяный матрос", особенно на больших скоростях В принципе, можно кейер организовать на стороне клиента, и посыл ть пакеты - точки и тире, но чем это отличается от макросов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1004] Автор : UT4UBK Дата : 22.05.2021 20:50 Выложен очередной release candidate 1.6.0-rc15 Как обычно, обновляться из консоли. Большая просьба, обновиться, тк это предпоследний релиз кандидат перед официальным выходом версии 1.6.0. Жду Ваших комментариев, по дизайну "морды лица" удаленки. Если OK, то добавляются обработчики команда для второго VFO в удаленку, выкладываем 1.6.0 прошивку в прод и начинаем "санитарный" релиз 1.7.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1005] Автор : UN7RX Дата : 22.05.2021 23:31 Народ, комментируйте, а то потом будете жаловаться что "не услышали". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1006] Автор : ur7cq Дата : 23.05.2021 10:25 Для бла-ссб супер,а как с CW?А мы их макросами....душили, душили!Или мона и ключиком! Макросы в Ермаке сделаны так, что можно редактировать передаваемый текст практически находу, во всяком случае мне это удаётся делать, т.е. заменить ключ клавиатурой можно в принципе. По поводу ключа, в будущем, думаю коммутировать через сом порт можно сделать, при желании автора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1007] Автор : Эфендэм Дата : 23.05.2021 10:57 RTTY/CW декодеры ни к чему, разве только показать возможности, на практике совершенно не нужны Ручной НОТЧ как бы больше актуален на классических трансиверах, в SDR ни разу не просился применить, но если будет, то не помешает APF - обязателен! Так же как возможность работать CW как с манипулятора, так и с клавиатуры -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1008] Автор : ur7cq Дата : 23.05.2021 13:10 С трансивера ключиком как и везде пожалуйста, в удалённом режиме пока, на сейчас, только макросами. Что будет далее, посмотрим. То, сколько всего один человек, Константин, сделал в ПО за этот год, у меня вызывает уважение. :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1009] Автор : RX3APM Sergey Дата : 23.05.2021 18:07 Планируется ли, всё-таки, выпуск Ермака хотя бы в виде готовых наборов для сборки, как у Элекрафта к-2, а может даже и как к-3 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1010] Автор : UT4UBK Дата : 23.05.2021 18:19 Да, планируется. На следующей неделе заказчикам уходят корпуса, полные комплекты для сборки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1011] Автор : RX3APM Sergey Дата : 23.05.2021 19:25 Сборка отверточная, или паять-настраивать тоже надо ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1012] Автор : UT4UBK Дата : 23.05.2021 20:34 Сборка отверточная -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1013] Автор : Эфендэм Дата : 24.05.2021 06:15 Константин, вопрос по тюнеру (надоели наверно уже с этим вопросом)), трансивер собран, но нет пока тюнера, когда ожидать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1014] Автор : UT4UBK Дата : 24.05.2021 08:52 Как и обещал середина лета. Сейчас просто перегружен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1015] Автор : UT4UBK Дата : 28.05.2021 20:27 Выложен очередной release candidate 1.6.0-rc17 Как обычно, обновляться из консоли. Добавлены все заявленные фичи для данного релиза по удаленке (VFO A B , базовые опции для работы с ними). Пару дней тестов - и выкладывается официальное обновление 1.6, можно будет обновляться через GUI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1016] Автор : ur7cq Дата : 30.05.2021 11:05 Записал работу трансивера Ермак в режиме CW во время WPX CW https://www.youtube.com/watch?v=58z2y32I5_U -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1017] Автор : UT4UBK Дата : 30.05.2021 22:23 Коллеги, по ссылке Проблемы и предложения (https://sdr-ermak.com/pages/viewpage.action?pageId=3147676) можно заполнить форму с предложениями, проблемами итд Логин и пароль были отправлены всем пользователям на почту Большая просьба, все предложения проблемы заносить онлайн по форме. По ссылке Список предложений и проблем для исправления (https://sdr-ermak.com/pages/viewpage.action?pageId=3147681) можно смотреть и оперативно отслеживать статус всех запросов. Также, здесь есть возможность оставлять свои комментарии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1018] Автор : SP3OSJ Дата : 05.06.2021 14:48 Можете ли вы убить цвета? TRX в сепии выглядит очень серьезно 358951 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1019] Автор : UT4UBK Дата : 05.06.2021 18:29 Смотрится здорово,спасибо, запишу в todo добавить тему оформления -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1020] Автор : UT4UBK Дата : 15.07.2021 20:36 Выложена официальная версия 1.6.0 Можно обновляться через окно About (долгое нажатие "1"). Основные изменения, вошедшие в это обновление: Добавлен VOX. Добавлен XIT. Добавлен NB. Подпись железа аппаратным ключем. Запущен сервер лицензий. Интеграция USB audio bridge. Добавлен протокол CAT Kenwood. WEB доступ на передачу CW. Управление макросами с WEB. WEB доступ-SSB mode. WEB-добавлен второй VFO. WEB-добавлена строка состояний. Изменено отображение спектра. Исправлены ошибки DSP. Снижена нагрузка на ARM CPU. 360637 Как всегда - роадмап на следующие версии тут: https://sdr-ermak.com/display/SDW/Software+roadmap+and+release+notes Плата ATU завершена. В течении пары дней будет выслана калькуляция и доки для сборки. Начинается очень интересная работа (давно хотел этим заняться) - adaptive predistortion. Цель - снизить imd до уровня -40-45dBm в автомате, без предварительного этапа калибровки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1021] Автор : UT4NJ Дата : 17.08.2021 21:06 Эта информация уже устарела или еще актуальна? "Сейчас продумывается вариант как сделать QRP 20-30W версию на четырех платах без ATU с более простым корпусом (что-то вроде монки) с тем же базовым софтом" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1022] Автор : UT4UBK Дата : 27.08.2021 16:51 Сегодня умер наш коллега Вил из Саратова R4DZ от ковида. Мы его все хорошо знали. Вил, спасибо тебе за все. Мы с тобой так и не встретились вживую. Ты прожил отличную жизнь, ты был настоящий мужик слова. Спи спокойно. Хорошего полета в эфире. RIP -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1023] Автор : R6DAN Дата : 27.08.2021 19:24 Да. Вил всегда был на острее новинок радио, и помогал в тестировании прошивок. Царство небесное, пухом земля ему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1024] Автор : Эфендэм Дата : 27.08.2021 21:47 Познвкомился с Вилом, когда он жил в нашем городе несколько лет. Все время что-то паял, собирал, экспериментировал. Помогал мне в техническом плане. Помог приобрести VisAir, вместе осваивали, готовили предложения автору, испытывали новые прошивки. Хотя он уже переехал в Саратовскую область, постоянно были на связи. Мой Ермак стоит у него на столе, готовил к отправке.. Светлая память.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1025] Автор : Home Amator Дата : 19.11.2021 20:34 Доброго времени суток! Может быть плохо смотрел, но не нашел инфы по покупке. Где узнать подробности? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1026] Автор : ua3nfi Дата : 22.11.2021 12:46 Где узнать подробности? напишите автору темы - UT4UBK. Всё через него -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1027] Автор : Home Amator Дата : 23.11.2021 22:26 напишите автору темы - UT4UBK. Всё через него Написал ему в почту. Три дня нет ответа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1028] Автор : UT4UBK Дата : 23.11.2021 23:47 Какая у вас почта? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1029] Автор : Home Amator Дата : 24.11.2021 14:07 В субботу списывались в личке, написал в указанную вами почту и подтвердил в личке. Сегодня еще раз в личку уточнил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1030] Автор : EU7BZ Дата : 24.11.2021 15:03 Доброго дня всем! Подскажите, почему все конструкции автономных SDR трансиверов делают на разных процессорах, усложняя схему и монтаж? Есть же готовые платы на SoC, типа вот такой! 366911 Подобное сделано в https://github.com/mdubinko/gsoc-contrib/wiki/GSOC-teardown Так не проще? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1031] Автор : UT4UBK Дата : 24.11.2021 15:51 Потому что, эта плата сегодня есть ,а завтра ее нет. Пример с народной STM32 - цены выроcли в несколько раз. Касательно ЕРМАКа - я сторонник DSP код запускать на специализированном DSP, который имеет адаптированные либы под процессинг. Второе - в линуксе не очень удобно выполнять реалтаймовские задачи. Плюс нужна обработка энкодеров итд. Поэтому , я использовал решения от TI- где DSP занимается только его задачами, встронные мелкие MCU (2 штуки) - задачами обработки периферии (энкодер, управление фронтендом, телеграфный ключ итд), центральный CPU - координирует работу и UX/UI. Теоретически можно в многоядерном homogeneous SoC выделить одно ядро только под решение DSP задач и не загружать другими, но это уже отдельные "приседания" Второе - переносимость кода. TI решения хорошо скалируется при переезде с кристалла на кристалл. Например, пр переезде на Sitara 5- DSP код переносится практически без изменений. Код для встроенных MCU - также. Ну и третье - плохое документирование китайских SoC. Четвертое - я пытался купить такие платы, когда начинал разрабатывать решение на Sitara. Цена такой платы выходила в два раза больше, чем самому сделать. Плюс - многое пришлось бы добавлять на материнку. Еще одна проблема - latency. Если делать на чистом линуксе, то придется очень сильно буферизировать сэмплы, это скажется на задержках. Общеизвестная проблема ключевания, где задержки на слух больше 10 ms неприемлимы, и решаются только хардварными подходами. На отдельном DSP можно обрабатывать по 256 сэмплов и меньше , поэтому можно приблизиться к такому значению latency. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1032] Автор : Genadi Zawidowski Дата : 24.11.2021 20:40 Истины ради влезу я со своим... Плата MYC YA157C (http://www.myirtech.com/list.asp?id=624) как альтернатива моим самодельным модулям на процессоре STM32MP157. Bare metal, SDR без линукса. 366929 По цене модуль в два раза дешевле чем делать самодельный (да с ним гарантия что всё про него знаешь и он не пропадёт). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1033] Автор : UT4UBK Дата : 24.11.2021 22:14 Баре метал это не интересно, много возможностей теряется. Тогда уже stm32. На ситаре 5 со всеми допами (контролёр питания, разъёмы, контроллер сети, часы, кодек, WiFi, hdmi итд) выходило 60-70. Если покупать готовую пустую от китайцев - 110. Фото готовой где-то выкладывал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1034] Автор : donkon Дата : 30.11.2021 08:24 Можно ли в настоящее время приобрести набор для изготовления трансивера или готовый? Если набор, что из себя он представляет и цена вопроса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1035] Автор : UR5EPD Дата : 11.12.2021 23:20 А где можно купить готовый трансивер? Или заказать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1036] Автор : RX3APM Sergey Дата : 12.12.2021 00:41 А где можно купить готовый трансивер? Или заказать? Нигде. Разве что кто-то, кто уже спаял и собрал, вам свой продаст. Даже сразу полностью готовый набор для сборки сразу не заказать, а надо собирать его (набор) по крупицам, детали, платы, корпус, и прочее. И только на это уйдёт несколько месяцев минимум. Ну, а потом из этой кучи деталей надо суметь собрать правильно работающее изделие, что под силу далеко не каждому, и тоже займёт время немалое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1037] Автор : ua3nfi Дата : 12.12.2021 15:13 где можно купить готовый трансивер? Или заказать?на предыдущей странице напишите автору темы - UT4UBK. Всё через него насколько я знаю, есть вариант заказа готового изделия. Но лучше, чем UT4UBK, вам никто не расскажет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1038] Автор : RX3APM Sergey Дата : 12.12.2021 19:05 на предыдущей странице насколько я знаю, есть вариант заказа готового изделия. Но лучше, чем UT4UBK, вам никто не расскажет Внимательно посмотрел предыдущую страницу, и ещё одну перед ней. Хотя и без этого помнил содержание этих страниц, и что ничего конкретного по заказу, да ещё и готового трансивера, там нет. Так что не вводите людей в заблуждение, все пока, к сожалению, как я описал чуть выше. Это не упрёк кому-либо, а скорее сожаление, что дела обстоят так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1039] Автор : ua3nfi Дата : 12.12.2021 19:20 ВнимательноБудьте внимательны, я отвечал не вам. Процесс длится дольше, чем хотелось бы. Но никто не обещал, что будет быстро, никто не обещал готовых аппаратов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1040] Автор : RX3APM Sergey Дата : 13.12.2021 03:11 Будьте внимательны, я отвечал не вам. Процесс длится дольше, чем хотелось бы. Но никто не обещал, что будет быстро, никто не обещал готовых аппаратов. Да, я заметил, что вы отвечали не мне, но первый раз вы ответили невпопад и неправильно, а сейчас исправились и написали в точности то же, что и я ответил вопрошавшему ещё до вас. Первый и второй ваши ответы противоречат один другому) Извините за занудство, просто люблю точность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1041] Автор : ua3nfi Дата : 13.12.2021 12:12 Да, я заметил, что вы отвечали не мне, но первый раз вы ответили невпопад и неправильноКак раз в первый раз, я ответил, по существу вопроса. Я процитировал самого себя, потому как десять сообщений назад ответил, на точно такой же вопрос. И повторил ещё раз - точный ответ может дать только UT4UBK. Мы же с вами пишем, только домыслы и предположения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1042] Автор : UR5ZVU Дата : 06.01.2022 17:08 Вем привет. кто геде покупает транзисторы RD100? ссылку можно в личние сообщения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1043] Автор : Genadi Zawidowski Дата : 06.01.2022 18:03 Много раз отсюда брали RD100HHF1C https://aliexpress.ru/item/32535988882.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1044] Автор : UT4UBK Дата : 06.01.2022 20:02 Что то цена не очень адекватная 40usd. Брали у многих продаванов - разницы не заметили. Можно и за 21 найти -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1045] Автор : RA1AGB Дата : 06.01.2022 20:13 https://aliexpress.ru/item/4000598423679.html Заказывал 8 месяцев назад, проблем не было. Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1046] Автор : UT4UBK Дата : 07.01.2022 11:31 Сергей, по поводу длительного времени сбора комлектующих. Сейчас в электронной промышленности shortage, и очень серьёзный. Ситуация продолжает ухудшаться, на ходу приходится переделывать некоторые платы, тк некоторые компоненты вообще исчезли с рынка. Поставки даже китайских комплектующих растягиваются на пару месяцев. Цены на все подскочили. Корпуса заказывают я в Европе, логистика итд. Плюс из-за ковида была задержка на месяц, завод не работал. На текущий момент самое сложное позади, остались платы PA и ATU. Бриджи скомплектованы, в январе идут на монтаж. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1047] Автор : UR6QM Дата : 07.01.2022 22:09 Доброго времени суток. А как можно сделать заказ набора для изготовления трансивера и какие сроки ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1048] Автор : ur7cq Дата : 31.01.2022 21:38 Работаю в эфире на Ермаке удалённо, часто спрашивают как организована удалёнка в трансивере Ермак, вот снял небольшое видео, проще один раз увидеть, по какому принципу всё организовано. https://www.youtube.com/watch?v=CrOGeDztBeA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1049] Автор : UT4UBK Дата : 03.02.2022 17:36 Выложено краткое руководство по элементам управления https://sdr-ermak.com/pages/viewpage.action?pageId=27295751 Новичкам теперь будет намного легче ориентироваться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1050] Автор : RA6MT Дата : 03.02.2022 18:42 У многих спрашивал, но так и не получил ответа. Как будет работать трансивер с Р-140. Наводки на монитор, процессор есть? Трансивер при мощностях "глючит" ? Кто использует трансивер с РА пожалуйста ответьте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1051] Автор : UT4UBK Дата : 04.02.2022 13:58 Коллега работа с элекрафтовским усилителем, проблем не замечал. Кстати , управление внешним PA сделано на оптронах и полностью гальванически развязано от основной платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1052] Автор : RA6MT Дата : 04.02.2022 19:29 Насколько я понял, Трансивер хороший, но мощностью более 20 ватт будет работать проблематично. Тем более в "поле" при работе на всякие верёвки. И это не сильно будет зависить от оптронных развязок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1053] Автор : UT4UBK Дата : 04.02.2022 20:00 А почему вы так решили, что на веревки будет плохо работать? Прямо сейчас могу на веревку с КСВ >5 на 80м провести ближнюю связь, даже на 100 ватт )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1054] Автор : RA6MT Дата : 04.02.2022 20:27 веревку с КСВ >5 на 80м провести ближнюю связь, даже на 100 ватт )) Не хочу спорить, но у меня какие то сомнения. Для меня СДР, новая "штукенция" Почитал, посмотрел схемы "Ермака" вроде всё ясно, но непонятно :) С одной стороны- все хвалят. С другой ругают. Вот и не понятно. Кому верить "умным или красивым" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1055] Автор : UT4UBK Дата : 04.02.2022 20:39 Не хочу спорить, но у меня какие то сомнения. Для меня СДР, новая "штукенция" Почитал, посмотрел схемы "Ермака" вроде всё ясно, но непонятно :) С одной стороны- все хвалят. С другой ругают. Вот и не понятно. Кому верить "умным или красивым" А можно узнать, что ругают? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1056] Автор : BA7MHZ Дата : 07.08.2023 03:59 How do I buy a kit now? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1057] Автор : UN7RX Дата : 07.08.2023 12:37 Forum language - Russian. Use the Google translator. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Downloaded from Форум CQHAM.RU (http://www.cqham.ru/forum) at 29.03.2024 00:59.