* * * Форум CQHAM.RU Тема * * * -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Тема : DIY SDR DDC "на коленке", или конструкция выходного дня из модулей с Али Started at 08.12.2016 16:58 by EU1SW Visit at http://www.cqham.ru/forum/showthread.php?t=34589 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1] Автор : EU1SW Дата : 08.12.2016 16:58 Заголовок : DIY SDR DDC "на коленке", или конструкция выходного дня из модулей с Али Наверно многим знакомо чувство, когда хочется заняться чем то полезным, получить новый опыт, но что то мешает, свободного времени немного и проч... ) навеяно статьями https://habrahabr.ru/post/204310/ , https://marsohod.org/projects/marsohod2/331-sdr-radio , проектом HiQSDR и конструкциями rolin В общем получилась такая конструкция начального уровня и может быть это окажется кому нибудь полезно. В основе лежит дешевый демоборд с 4-м Циклоном EP4CE6, так же понадобится "прошивальщик" для FPGA Altera - USB Blaster. Демоборд АЦП, копия оригинальной платы от Аналог Девайсез c AD6645, 14-ти битный, 105 MГц, немного доработана - вместо дифференциального ОУ впаян трансформатор, значительно понизило шумовую дорожку. Это можно относительно недорого приобрести на Али или Ибэй, и этих двух плат достаточно, что бы реализовать непосредственную оцифровку КВ эфира, и передать посредством Ethernet сэмплы в PC, для дальнейшей обработки в программах, поддерживающих ExtIO.dll. Как опция, я использовал имевшуюся в наличии плату полосовых фильтров + УВЧ для трансивера Пилигрим. Из программного обеспечения необходим свободно скачиваемый Quartus 15.0 Web edition (или более новый, неважно, думаю проект откроется), лекарства для него не потребуются, т.к. в проекте не используются проприетарные IP Альтера. Вкратце, алгоритм классический. Абсолютно ничего нового, просто компиляция модулей из проекта HiQSDR для того, что бы уместить проект в самую маленькую ПЛИС и "программное" формирование UDP пакетов с фиксированным целевым IP и MAC адресами. Более полно работа модулей Ethernet описана в статье на Хабре. PLL в ПЛИС формирует все нужные частоты для работы всех составных логических блоков проекта. АЦП тактируется 96 МГц, затем поток данных со скорость 1,344 Гигабита/с поступает на вход алгоритма CORDIC, ему же передается код частоты. После цифрового смесителя поток данных децимируется до семплрейта 96 кГц и фильтруется. ExtIO.DLL принимает пакеты UDP от приемника, передает программе и в свою очередь формирует пакет при смене центральной частоты в программе SDR. Так как в рамках одного поста невозможно охватить весь спектр вопросов, которые могут возникнуть при сборке проекта, прошу задавать вопросы, и в формате вопрос-ответ в течение некоторого времени можно будет описать все возможные "подводные камни". Хотя их не много, на самом деле ) В завершение немного о параметрах, которые получилось измерить: MDS (500 Гц) = -132 дБм при использовании УВЧ, без него, на "голый" АЦП ~ -120 дБм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2] Автор : hOne Дата : 08.12.2016 17:18 Тоже часто задумываюсь о таком DIY)) Архив не открылся - там только файл trx.qar и все. Схема есть? Исходники? Сколько времени ушло на такой DIY? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3] Автор : Alex-31 Дата : 08.12.2016 17:31 trx.qar это файл для Quartus -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4] Автор : VFO Дата : 08.12.2016 17:31 Это Quartus archive. Импортируйте его соответствующим образом, а не открывайте как проект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5] Автор : ra3tes Дата : 09.12.2016 07:33 Поковырялся на Апи, не нашел демоборды с ацп, Есть но интерфейс I2C. Ткните носом :-) зы. похоже тема не интересна .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 6] Автор : RA9YTJ Дата : 09.12.2016 08:39 Действительно, на ебее не нашел подходящую плату... Откройте секрет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 7] Автор : RA4UIR Дата : 09.12.2016 08:54 Спасибо! интересная конструкция. Надо прицениться. Пожалуйста более подробную информацию по используемым платам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 8] Автор : R1AIT Дата : 09.12.2016 09:23 Хорошая идея. Однако к аппарату начального уровня, для понимания именно начинающими, хорошо бы подробное описание реализации проекта. Буквально по шагам, со всеми подробностями. Такой блог создания конструкции. Думаю, из тех, кто в состоянии осмыслить все то, что написано в первом посте, тема будет интересна не многим. А вот те, для кого аппарат действительно может представлять интерес, врядли смогут его повторить на основе этих сведений. Их, сведений, явно мало. Очень много моментов IMHO очевидных автору, и совершенно не понятных человеку, который с ПЛИС никогда не работал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 9] Автор : Serga Дата : 09.12.2016 09:34 Плохо искали https://ru.aliexpress.com/item/FPGA-development-board-ALTERA-Cyclone-IV-EP4CE-four-generations-SOPC-NIOSII-send-send-remote-control-to/32691369830.html?ws_ab_test=searchweb0_0,searchweb201602_4_10065_10068_10084_10083_10080_10082_10081_10060_10061_10062_10056_10055_10037_10054_10059_10032_10099_10078_10079_10077_10093_426_10103_10073_10102_10096_10052_10050_10051,searchweb201603_2&btsid=bb2d74b2-c5ca-4a73-ae30-2c36fc98f989 https://ru.aliexpress.com/item/xilinx-fpga-development-board-spartan6-xilinx-spartan-6-XC6SLX45-xilinx-board-xilinx-spartan-6/967529392.html?ws_ab_test=searchweb0_0,searchweb201602_4_10065_10068_10084_10083_10080_10082_10081_10060_10061_10062_10056_10055_10037_10054_10059_10032_10099_10078_10079_10077_10093_426_10103_10073_10102_10096_10052_10050_10051,searchweb201603_2&btsid=bb2d74b2-c5ca-4a73-ae30-2c36fc98f989 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 10] Автор : Genadi Zawidowski Дата : 09.12.2016 09:44 Под какой квартус делалось? Я пытался на 13.1.4 build 182 При распаковке ругается, при попытке компиляции ругается аналогично: Error (125091): Tcl error: ERROR: Illegal assignment: IP_GENERATED_DEVICE_FAMILY. Specify a legal assignment name. while executing "set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}"" (file "addtx.qip" line 3) Info (125063): set_global_assignment -name IP_TOOL_VERSION 15.0 -qip addtx.qip упс, увидел чтто у Вас 15-й... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 11] Автор : EU1SW Дата : 09.12.2016 10:00 Хорошая идея. .... Их, сведений, явно мало. Очень много моментов IMHO очевидных автору, и совершенно не понятных человеку, который с ПЛИС никогда не работал. Да, я прекрасно понимаю о чем Вы говорите. Но вот сходу взять и написать все - это написать "Войну и Мир" ) Поэтому и приглашаю к диалогу тех, кому это интересно. Мое видение финального варианта - Циклон4 с интерконнектом по I2S коммутируется на STM32F407 Discovery борд + дисплей, на дискавери запустить напиример проект M0NKA из соседней темы З.Ы. в прикреплении ExtIO, в архиве бинарник, на домашнем компе исходники есть, на выходных добавлю. Из демобордов на текущий момент, за те же деньги можно взять вот это, даже побольше емкостью https://ru.aliexpress.com/item/EP4CE10-altera-fpga-board-fpga-development-board-fpga-altera-board-fpga-development-board/32637947021.html?spm=2114.13010608.0.0.eaouaT&detailNewVersion=&categoryId=200003315 у этого же продавца и USB Blaster недорого АЦП - https://ru.aliexpress.com/item/1PC-14-105M-high-speed-ADC-module-data-acquisition-module/32730197994.html?spm=2114.13010608.0.0.FxMvaZ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 12] Автор : SVd2004 Дата : 09.12.2016 10:07 Вот ещё одна плата. https://ru.aliexpress.com/item/ALTERA-FPGA-development-board-core-board-CYCLONE-IV-EP4CE-TFT-video-card/32535007308.html?spm=2114.10010208.1000014.6.DY02HL&scm=1007.13338.46806.0&pvid=f99d0c31-e65e-4377-b759-e5b2d8a70bde&tpp=1&detailNewVersion=&categoryId=400103 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 13] Автор : EU1SW Дата : 09.12.2016 10:54 Да, в исходнике проекта есть модуль DUC, просто не выведен на внешние пины, и поэтому при компиляции Квартус его "оптимизирует" в ноль ) и на фото можно видеть на маленькой платке в правом нижнем углу платы ПЛИС распаяный на макетке DAC904, его просто трансформатор закрывает. Над передачей я работаю, только скорее всего это уже будет не Ethernet, а SPDIF In/Out, либо I2S к STM32F4 из проекта M0NKA Добавлено через 15 минут(ы): На этих выходных планирую допилить полосовой 120-150 МГц, добавится двухметровый диапазон. Проверял генератором насколько проседает чувствительность в третьей зоне Найквиста - оказалось совсем немного, всего на 1 дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 14] Автор : Serg Дата : 09.12.2016 14:26 EU1SW, как насчет возможности выпустить более широкую полосу в Ethernet или же несколько нарезок (3-4-6шт) полосой по 96-192кГц со всей обрабатываемой полосы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 15] Автор : EU1SW Дата : 09.12.2016 14:38 Serg, в текущей конфигурации без использования аппаратного PHY передача потока 2х16 бит 96 кГц занимает 32% полосы 10BASE-T, т.е формально еще можно всунуть один поток, или передать 192 кГц. Загвоздка в другом - в мелкую ПЛИС втолкнуть еще один CORDIC и дециматор для выдачи второго потока не получится, места нет. Вот в 10 тысяч ячеек влезет. Но у меня сейчас такой в наличие нет, что бы опробовать, где то в пути, между Шэндженем и Минском. Перекомпилить проект под выдачу 192 кГц - сегодня вечером смогу сделать, не проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 16] Автор : Serg Дата : 09.12.2016 15:26 Но у меня сейчас такой в наличие нет, что бы опробовать, где то в пути, между Шэндженем и Минском. Ок, сообщите, пожалуйста, потом, что получится и на какой FPGA. Думаю не только мне, но и другим интересны несколько фрагментов, хотя бы 2-3. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 17] Автор : EU1SW Дата : 09.12.2016 15:30 Вот на этой https://ru.aliexpress.com/item/EP4CE10-altera-fpga-board-fpga-development-board-fpga-altera-board-fpga-development-board/32637947021.html?spm=2114.13010608.0.0.eaouaT&detailNewVersion=&categoryId=200003315 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 18] Автор : VFO Дата : 09.12.2016 16:36 Ой сейчас начнётся. Давай восемь каналов приёмников, передачу, предыскажения и т.д. Проект интересен своей простотой, даже без физики эзернета. Для всего остального есть тот же Гермес и ответвления, там всё давно реализовано. Да и тут его куски использованы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 19] Автор : ra3tes Дата : 09.12.2016 18:32 Я дико извиняюсь. Объясните идиоту зачем полоса обзора в несколько мегагерц ? 50-100 кГц, больше то куда ? Чтоб как анализатор спектра его использовать ? НО !!! Это же приемник, а не измерительный прибор. Зачем все усложнять. (удорожать) ps прошу прощения за оффтоп -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 20] Автор : romanetz Дата : 09.12.2016 20:33 Вы не поверите, короткие волны существуют и ВНЕ любительских диапазонов... Я вот сколько не искал гигабитных модулей phy на али - не нашел, хотя сами микрухи совсем недорогие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 21] Автор : Модест Петрович Дата : 09.12.2016 21:03 romanetz, Да неужели :)? На каких же размерах табло, Вы будете смотреть эти несколько мегагерц, которые вне любительских диапазонов? Из своего опыта: лично мне 14 дюймов ноутбука едва хватает, чтобы более/менее контролировать CW участок на 14 МГц. И это при условии не сильно загруженного диапазона. 10 дюймов нетбука уже мало. Проэкт интересен своей простотой (?). А может только кажущейся простотой. Для эстэтов-есть другие изделия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 22] Автор : Serg Дата : 09.12.2016 21:09 Для всего остального есть тот же Гермес и ответвления, там всё давно реализовано Настоящий стоит дорого, а из модулей только 12битный был, из готового, т.е. то, что может купить модулями любой обычный радиолюбитель без паяльных станций и микроскопов, собрать-зашить, а не погружаться в изучение ЦОС ради этого. А применение многоканальному или многодиапазонному приему всегда, при желании, может найти современный радиолюбитель. Давайте не будет разводить флуд на эту тему. Сделает что-то такое ТС - будет ему благодарность наверно от многих, нет - так нет, тут же никто никого не заставляет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 23] Автор : labuda51 Дата : 09.12.2016 21:33 Какую версию Quartus надо ставить на W7-32?Поставил 16 Lite-не запускается требует 64 версию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 24] Автор : SVd2004 Дата : 09.12.2016 21:37 Сделает что-то такое ТС У ТС за 7 лет 19 сообщений, может прорвало... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 25] Автор : Genadi Zawidowski Дата : 09.12.2016 21:50 Там в bin случайно нет 32-х битной версии рядом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 26] Автор : labuda51 Дата : 09.12.2016 22:03 Поставил вот эту.Бум разбираться дальше.При установке 16 Lite выскакивало что-то 64/32.Не могу ничего сказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 27] Автор : Genadi Zawidowski Дата : 09.12.2016 22:07 Да даже в 13.1 есть и 32 и 64 бита версия -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 28] Автор : SVd2004 Дата : 09.12.2016 22:18 При установке 16 Lite До версии 15.0 Quartus II... а с версии 15.1 и по 16 Quartus Prime. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 29] Автор : Genadi Zawidowski Дата : 09.12.2016 22:19 У автора использовалось 15.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 30] Автор : labuda51 Дата : 09.12.2016 22:34 Сам рисунок-схема с каким расширением если есть в проекте.Взял для пробы файл с хабрабара.Да с версиями попробую разобраться.Открылся файл ТС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 31] Автор : labuda51 Дата : 10.12.2016 00:38 Не поддерживает 15.0 W7-32. Добавлено через 47 минут(ы): А CIC фильтры-что пока увидел да и для понимания структуры программы- автор CW Skimmer писал?Упоминается VE3NEA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 32] Автор : EU1SW Дата : 10.12.2016 06:06 Да, автор CIC, FIR, CORDIC - VE3NEA У ТС за 7 лет 19 сообщений, может прорвало... Желаете поговорить об этом? В прищепке распиновка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 33] Автор : labuda51 Дата : 10.12.2016 06:47 В проекте это вот этот файл? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 34] Автор : EU1SW Дата : 10.12.2016 06:51 Да, не совсем этот, но смысл тот же добавлю пару скриншотов, без антенны и участок 80 метрового -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 35] Автор : labuda51 Дата : 10.12.2016 07:37 EU1SW.Для уточнения-у Вас 64-бит комп?В 12 версии trx.gar преобразуется в trx.restore и потом уже открывается.Так же есть предупреждение о нежелательности модификации.То есть вопрос сводится к тому что нужна 64-бит машина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 36] Автор : EU1SW Дата : 10.12.2016 08:19 да, у меня 64 битная ОС на другом компе есть у меня Квартус 11 32 бита, попробую там открыть Добавлено через 14 минут(ы): с обзором 192 кГц пока не получилось, Ethernet заработал, а вот с децимацией вопросы, некрасиво выглядит, выкладывать не буду, надо еще подумать ) да, у меня 64 битная ОС на другом компе есть у меня Квартус 11 32 бита, попробую там открыть Добавлено через 14 минут(ы): с обзором 192 кГц пока не получилось, Ethernet заработал, а вот с децимацией вопросы, некрасиво выглядит, выкладывать не буду, надо еще подумать ) Добавлено через 15 минут(ы): Немного забегая вперед, после того как проект скомпилирован, прошит, подключен к компьютеру, нужно открыть файл TENBASET_TxD.v и исправить в нем значения IP и MAC на те, которые нужны именно Вам, значения можно посмотреть командой ipconfig /all на скринах наглядно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 37] Автор : EU1SW Дата : 10.12.2016 09:08 и после этого опять перекомпилировать и прошить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 38] Автор : RA4UIR Дата : 10.12.2016 10:56 извините за оффтоп, но может быть кто в курсе, данный приемник работает через ExtIO, его можно использовать с существующим софтом Голландца как вебсдр ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 39] Автор : EU1SW Дата : 10.12.2016 14:33 RA4UIR, софт этот можно где нибудь скачать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 40] Автор : rolin Дата : 10.12.2016 14:55 данный приемник работает через ExtIO, его можно использовать с существующим софтом Голландца как вебсдр ? Годандский работает только со звуковыми устройствами и он на линуксе. ExtIO не канает http://www.cqham.ru/forum/showthread.php?28648-%C4%E5%EB%E0%E5%EC-%F1%E2%EE%E9-Websdr-%ED%E0-%EF%F0%E8%EC%E5%F0%E5-Debian-Afedri -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 41] Автор : RA4UIR Дата : 10.12.2016 15:47 RA4UIR, софт этот можно где нибудь скачать? скачать в открытую нельзя к сожалению, можно у автора запросить, он рассылает радиолюбителям. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 42] Автор : Дмитрий75 Дата : 10.12.2016 18:46 самый простой вопрос к автору . подскажите какие платы купить и как их соединить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 43] Автор : romanetz Дата : 10.12.2016 19:40 249723 в линуксе netcat в loopback звуковое устройство на винде аналогично - из сети принять и в звуковуху перекинуть Добавлено через 6 минут(ы): websdr работает или с эмулятором rtl-tcp или с эмулятором звуковухи. При этом использует стандартные системные вызовы, т.е. если вы объявите в системе звуковуху с частотой дискретизации 10 МГц, он спокойно запустится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 44] Автор : EU1SW Дата : 10.12.2016 19:52 самый простой вопрос к автору . подскажите какие платы купить и как их соединить. Соединить согласно распиновке вашей платы, номера пинов я приводил тут http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1335754&viewfull=1#post1335754 Какие купить, приводилось в посте #11 http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1335410&viewfull=1#post1335410 (http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1335410&viewfull=1#post1335410) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 45] Автор : romanetz Дата : 10.12.2016 20:20 хмм.. а этот ацп нельзя с чем-то вроде orange pi скрестить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 46] Автор : EU1SW Дата : 10.12.2016 22:10 После FPGA можно цеплять что угодно, хоть распберри, хоть оранж -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 47] Автор : SVd2004 Дата : 11.12.2016 07:39 А зачем FPGA, если есть компьютер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 48] Автор : labuda51 Дата : 11.12.2016 08:12 Не у всех такой компьютер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 49] Автор : UR5ZQV Дата : 11.12.2016 09:25 SVd2004, А зачем FPGA, если есть компьютер? Чтоб удобоваримо передать ему данные от скоростного АЦП к его стандартной периферии (а при передаче наоборот), при этом выполнив предварительную IQ обработку. Есть и другие решения, но не менее дорогостоящие и трудоемкие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 50] Автор : EU1SW Дата : 11.12.2016 13:45 А зачем FPGA, если есть компьютер? Это базовые понятия, цитата из стартового топика АЦП тактируется 96 МГц, затем поток данных со скорость 1,344 Гигабита/с 96 миллионов сэмплов в секунду * 14 бит/сэмпл = 1344000000 бит/сек если у вас есть компьютер, который может обработать в режиме реального времени такой поток, и у этого компьютера есть интерфейс, который сможет принять поток данных с такой скоростью - мои поздравления, FPGA вам не нужен Но в основном в среде радиолюбителей подобные вычислительные мощности встречаются крайне редко, поэтому, от безысходности, так как принимать все таки что то хочется, прибегают к методам снижения битрейта, т.н. децимации, с помощью двадцатидолларовой FPGA можно съэкономить пару десятков тысяч долларов, которые в противном случае пришлось бы потратить на суперкомпьютер и интерфейсные платы. Добавлено через 40 минут(ы): Почистил и перепаковал на 11-м Квартусе, компилируется без ошибок -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 51] Автор : SVd2004 Дата : 11.12.2016 14:05 прибегают к методам снижения битрейта, т.н. децимации, с помощью двадцатидолларовой FPGA А по картинке, это можно объяснить? 249774 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 52] Автор : romanetz Дата : 11.12.2016 14:44 Децимирующий фильтр на этой картинке просто прямоугольником изображен. Нужно раскрыть его структуру в RTL netlist viewer. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 53] Автор : EU1SW Дата : 11.12.2016 15:36 А по картинке, это можно объяснить? На картинке вы видите конкретную реализацию, вот еще, к примеру, одна реализация, только в виде текста //------------------------------------------------------------------------------ // register-based CIC decimator //------------------------------------------------------------------------------ //I channel cic #(.STAGES(3), .DECIMATION(50), .IN_WIDTH(22), .ACC_WIDTH(39), .OUT_WIDTH(24)) cic_inst_I1( .clock(clock), .in_strobe(1'b1), .out_strobe(cic_outstrobe_1), .in_data(cordic_outdata_I), .out_data(cic_outdata_I1) ); //Q channel cic #(.STAGES(3), .DECIMATION(50), .IN_WIDTH(22), .ACC_WIDTH(39), .OUT_WIDTH(24)) cic_inst_Q1( .clock(clock), .in_strobe(1'b1), .out_strobe(), .in_data(cordic_outdata_Q), .out_data(cic_outdata_Q1) ); Это все по сути одно и тоже, описание для программного обеспечения, в частности в данном случае для Квартуса, какую структуру он должен синтезировать лучше сперва понять смысл процесса, ибо непонятно, на каком уровне абстракций и что именно нужно объяснить по картинке https://ru.wikipedia.org/wiki/%D0%94%D0%B5%D1%86%D0%B8%D0%BC%D0%B0%D1%86%D0%B8%D1%8F_(%D0%BE%D0%B1%D1%80%D0%B0%D0%B1%D0%BE%D1%82%D0%BA%D0%B0_%D1%81%D0%B8%D0%B3%D0%BD%D0%B0%D0%BB%D0%BE%D0%B2) http://www.dsplib.ru/content/cicid/cicid.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 54] Автор : SVd2004 Дата : 11.12.2016 19:13 Установил Quartus 13, запустил проект, 194 предупреждения. 249810 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 55] Автор : EU1SW Дата : 11.12.2016 19:33 Все нормально, у вас все успешно собралось -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 56] Автор : VFO Дата : 11.12.2016 21:07 EU1SW, подскажите, как устроен вход приёмника эзернета, с выходом понятно, два противофазных сигнала, на которые можно напрямую нагружать трансформатор. А как физически устроен вход? Внешний формирователь, преобразующий дифф. сигнал в TTL/CMOS? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 57] Автор : EU1SW Дата : 11.12.2016 21:08 записал немного 80-ки, KO33OK, RF https://yadi.sk/d/hckTsQV_336Sgh -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 58] Автор : EW4RF Дата : 11.12.2016 21:21 а можно нормальное QSO послушать??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 59] Автор : EU1SW Дата : 11.12.2016 21:29 EU1SW, подскажите, как устроен вход приёмника эзернета, с выходом понятно, два противофазных сигнала, на которые можно напрямую нагружать трансформатор. А как физически устроен вход? Внешний формирователь, преобразующий дифф. сигнал в TTL/CMOS? Пардон, что на словах, без схемы, формирователь оказался не нужен, от 3,3 вольта резистивный делитель до 1,25 вольта, в эту точку средний вывод обмотки Езернет трансформатора, и на 52-й пин FPGA один из концов обмотки, при правильной фазировке светодиод, заведенный на 10 пин будет моргать при приеме пакета от ExtIO. 52 пин сконфирурирован стандартно как вход "2,5 V I/O", никаких LVDS и прочих страстей не спонадобилось. Собственно трансформатор я уже потом добавил, для эстетики, и чтоб правильно ) Начиналось все с куска патчкорда, с разьемом с одной стороны, пары шли прямо на пины. Тоже работает, но в таком виде я бы не решился выкладывать, попалят еще платы ) Добавлено через 5 минут(ы): а можно нормальное QSO послушать??? а с той записью что не так??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 60] Автор : labuda51 Дата : 11.12.2016 21:30 Дорожка -100,полоса узкая после свистка уже не привыкнуть.А так звук хороший и палок как в свистке нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 61] Автор : EU1SW Дата : 11.12.2016 21:37 радиообмен корреспондентов https://yadi.sk/d/c3NwK_u3336jD9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 62] Автор : RA4UIR Дата : 11.12.2016 21:38 Хорошо звучит и выглядит прием, с со свистком не сравнить. Полоска имхо самый раз, сам больше 96 не когда не ставлю, тк тупо сложно настраиваться на станцию и полезность водопада для меня уменьшается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 63] Автор : EU1SW Дата : 11.12.2016 21:40 Дорожка -100,. на самом деле у меня дорожка на панораме - 128 дбм, при RBW 1,5 Гц Это вопрос калибровки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 64] Автор : VFO Дата : 11.12.2016 22:13 EU1SW, спасибо, понятно. Ещё вопрос, кто является источником для ext_res? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 65] Автор : EU1SW Дата : 11.12.2016 22:21 Это кнопка на плате, просто присвоен пин, согласно даташиту демоборда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 66] Автор : VFO Дата : 11.12.2016 22:30 Вот Вы пишите, что АЦП работает на частоте 96МГц, у него свой отдельный генератор? А то я смотрю вся FPGA работает от источника 50МГц, или я что-то не доглядел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 67] Автор : EU1SW Дата : 11.12.2016 22:56 Задействован PLL FPGA, выдает 96 МГц для АЦП (выведен на внешний пин 87), cordic, cic и fir (cic и fir конвейерные), потом 48 МГц для модуля приемника Ethernet, и 20 МГц и 384 кГц для модуля передатчика Ethernet. PLL еще использована не полностью, можно вывести на пины опоры для конвертеров двойки и семидесятисантиметрового. У меня на макете текущая погрешность установки частоты, без какой либо коррекции, ~15 Гц. Да и то скорее всего часть этой погрешности вызвана тем, что ExtIO выдает 24 бита фазы для счетчика кордик, я ее просто дополняю нулями до 32 бит. Это поправимая беда, просто не дошли руки переписать 2 строчки, потому что если уже начинать, то сразу и возможность коррекции частоты надо добавлять, а для этого нужно GUI дописать для ExtIO. Потом как нибудь... :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 68] Автор : VFO Дата : 11.12.2016 23:03 Ага, увидел, clk1 от pll выведен на 87 пин. Зачем GUI для dll, ведь в большинстве "взрослых" SDR программ есть встроенные средства коррекции частоты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 69] Автор : EU1SW Дата : 11.12.2016 23:22 Значит еще проще, переписать 2 строчки на выдачу в пакет 32-битного значения, и убрать дополнение нулями в приемнике, сделаю на днях ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 70] Автор : hOne Дата : 12.12.2016 00:11 Автор. Вы бы не могли попросить админов вам сделать возможность редактировать первое сообщение? Что бы кидать туда всю актуальную информацию касательно конструкции, а то по страницам размажется. И еще, стоило бы наверное как то обозвать конструкцию, а то ExtIO_Example96.dll как то не очень) 249857 Загрузил dll - ку в сервер.Тип данных int16 - не маловато ли? И еще, какой размер блоков данных (количество семплов)? Хочу повторить вашу конструкцию) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 71] Автор : EU1SW Дата : 12.12.2016 01:15 Да, я уже думал над тем, что б попросить права на редактирование, но чуть позже. А по поводу количества бит тут дело такое, процессинг гейн децимации в 1000 раз дает нам +5 значащих бит вниз. Т.е. 14 бит АЦП + 5 от децимации дает 19 значащих бит, все что ниже - мусор. Это в идеале, в реале и того меньше. При выводе в 16 бит я сдвигаю данные на 3 бита влево. Т.е. снизу, от шумов динамику я не теряю. А сверху 3 бита... Если вдруг и случится, что мне кто то в полосе после децимации сделает переполнение, то я отключу УВЧ :) , а если дунут за полосой, то тоже надо отключать УВЧ, потому что выше АЦП все равно не прыгнешь. Подавал -47 дБм со включенным УВЧ, было еще 23 дб запаса до выхода за пределы разрядной сетки 16 бит. Так что тут мне кажется разумный компромисс между красивыми цифрами для лучших продаж и реальностью :) Приемник отсылает за раз UDP пакет c полезной нагрузкой в 1 килобайт, дллка склеивает 2 пакета и отдает в HDSDR 512 16-битных signed i/q сэмплов. Добавлено через 30 минут(ы): В принципе, думаю, что ничего не помешает переделать вывод под 24 битные семплы. Поставим в лист :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 72] Автор : SVd2004 Дата : 12.12.2016 08:18 EU1SW, Вопросы. Что означают цифры 3, 50, 22, 39, 24 в коде дециматора register-based CIC decimator ? Как выставляется частота PLL? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 73] Автор : romanetz Дата : 12.12.2016 09:32 PLL - В редакторе мегафункции в квартусе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 74] Автор : SVd2004 Дата : 12.12.2016 10:00 Вот тут, да? 249885 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 75] Автор : Genadi Zawidowski Дата : 12.12.2016 10:16 Оно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 76] Автор : EU1SW Дата : 12.12.2016 12:01 EU1SW, Вопросы. Что означают цифры 3, 50, 22, 39, 24 в коде дециматора register-based CIC decimator ? Эти значения параметров передаются модулю описания с указанием какой именно каскадно-интегрирующий фильтр мы хотим получить в результате синтеза. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 77] Автор : SVd2004 Дата : 12.12.2016 15:19 Купил все платы + плату STM32F746 с дисплеем 480х282. Жду когда придут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 78] Автор : labuda51 Дата : 12.12.2016 16:46 EU1SW.Можно ли увеличить полосу?От чего это зависит.Буду начинать с AD9283.Есть продаже и такой как у Вас АЦП но под него не нашёл переходника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 79] Автор : EU1SW Дата : 12.12.2016 16:55 С восьмибитным АЦП это будет работать ничем не лучше свистка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 80] Автор : labuda51 Дата : 12.12.2016 17:03 Что ответить?ОСУ люди хвалят.Думаю дело не в битах а в комплектующих.Это о RTL2832.Если про динамический диапазон-будет поменьше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 81] Автор : EU1SW Дата : 12.12.2016 17:14 Да, я и имел ввиду ДД. Думаю конечно "палок" таки поменьше будет. Как прибудет Ваше - дайте знать, под этот Ваш АЦП надо будет немного поправить в проекте, просмотрел даташит по диагонали и виделось мне у него немного другой формат выходных данных, там несложно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 82] Автор : romanetz Дата : 12.12.2016 17:22 Плата на EP4CE10 стоит на 300 рублей дороже. https://ru.aliexpress.com/item/Free-shipping-EP4CE6-altera-fpga-board-fpga-development-board-fpga-altera-board-fpga-development-board-cyclone/32710251387.html?spm=2114.14010208.99999999.269.wTG37G Полоса в этой конструкции ограничена 10-мегабитным эзернетом. С другой стороны, если вытаскивать с АЦП в комп ВСЮ полосу, то квадратуры - удвоение потока по сети - уже не нужны (перемножить на синусоиду, децимировать и фильтровать комп может не хуже). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 83] Автор : labuda51 Дата : 12.12.2016 17:39 EU1SW.Завтра поеду покупать AD9283 вроде 100.Платки переходники обещают в течении недели.Всё в ЧиД как ни странно.Рядом там надо ещё купить паяльник к 936А-подойдёт ли.Пока надо сделать радиоканал,следить за форумом,решать с FPGA.Но зацепило. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 84] Автор : romanetz Дата : 12.12.2016 17:42 в ЧиД есть старые запасы AD9957 подойдет для передатчика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 85] Автор : EU1SW Дата : 12.12.2016 17:45 Плата на EP4CE10 стоит на 300 рублей дороже. Я уже неоднократно давал в этой теме ссылку на EP4CE10 ) С другой стороны, если вытаскивать с АЦП в комп ВСЮ полосу, то квадратуры - удвоение потока по сети - уже не нужны (перемножить на синусоиду, децимировать и фильтровать комп может не хуже). Обязательно дайте знать когда у Вас это получится -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 86] Автор : romanetz Дата : 12.12.2016 18:24 Окей. Счас ExtIO.dll подпилю и выложу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 87] Автор : SVd2004 Дата : 13.12.2016 00:16 Очень недорого STM32F7 Discovery http://www.ebay.de/itm/Entwicklungsboard-STMicroelectronics-STM32-F7-Discovery-4-3-Touchscreen-216MHz-/232169087050?hash=item360e5b204a:g:TUMAAOSw2xRYSnZV -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 88] Автор : UT0UM Дата : 13.12.2016 00:31 Очень недорого STM32F7 Discovery текущая ставка 17,5 евро хз за сколько она продастся в итоге -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 89] Автор : SVd2004 Дата : 13.12.2016 00:35 Извиняюсь, точно ошибся. Сам купил в Маузере за 60, а тут увидел и купился... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 90] Автор : SVd2004 Дата : 13.12.2016 08:00 В Маузере очень быстрая доставка. Плату STM32F7 Discovery получил. На борту WM8994 Audio Codec. 250010250011 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 91] Автор : sgk Дата : 13.12.2016 08:09 С восьмибитным АЦП это будет работать ничем не лучше свистка Пример реализации с 8 бит АЦП, где параметры много лучше чем у "свистков" http://www.cqham.ru/forum/showthread.php?34078-%C8%E7%EC%E5%F0%E8%F2%E5%EB%FC%ED%FB%E9-%EF%F0%E8%E1%EE%F0-OSA103-Mini&p=1322766&viewfull=1#post1322766 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 92] Автор : romanetz Дата : 13.12.2016 08:44 А что дискавери должна делать? Автономный трансивер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 93] Автор : Genadi Zawidowski Дата : 13.12.2016 08:45 Плату STM32F7 Discovery получил Две прошивки (пока без мыслей про текущий проект): tc1_stm32f746zg_rom.zip - демонстрация работы этой платы как трансивера с CAT и звуковым интерфейсом (на USB FS). На экране ничего, на Kenwood TS-590S CAT преключаются диапазоны. STM32746G-DISCO_Demo_V1.0.1 - оригниальная прошивка, с которой поставляется. Storch_HF_TRX_CAT.zip - Файл inf для инсталяции под windows старше чем десятый. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 94] Автор : romanetz Дата : 13.12.2016 09:41 ExtIO сами собирали? У меня есть разные версии (от red pitaya, из статьи с хабра, еще под какое-то железо, там с libusb), в том числе с гуём. Собираю в VS 2015. Исходников ExtIO в теме не пробегало, а без нее доработка ПО ПЛИС невозможна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 95] Автор : EU1SW Дата : 13.12.2016 10:07 Исходников ExtIO в теме не пробегало, а без нее доработка ПО ПЛИС невозможна. пожалуйста, дорабатывайте https://yadi.sk/d/ZRZ40JtV33LDne Пример реализации с 8 бит АЦП, где параметры много лучше чем у "свистков" http://www.cqham.ru/forum/showthread.php?34078-%C8%E7%EC%E5%F0%E8%F2%E5%EB%FC%ED%FB%E9-%EF%F0%E8%E1%EE%F0-OSA103-Mini&p=1322766&viewfull=1#post1322766 Доброго дня. Получается что свистки настолько плохи??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 96] Автор : labuda51 Дата : 13.12.2016 10:59 В свистке столько же Достоинств сколько и Недостатков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 97] Автор : sgk Дата : 13.12.2016 11:53 Получается что свистки настолько плохи??? Вы сравните результаты измерений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 98] Автор : EU1SW Дата : 13.12.2016 12:57 Оно тут нужно? Я Вам на слово поверю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 99] Автор : sgk Дата : 13.12.2016 13:01 Вы подняли вопрос о 8 битных АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 100] Автор : EU1SW Дата : 13.12.2016 13:14 В смысле "поднял"? нечего "поднимать", все кристально ясно, разница в шуме между 14 и 8 битами составляет до 30 дБ. MDS(500) в -98 дБм соответствует - 90 для полосы 3000 Гц, что в свою очередь соответствует чувствительности 22,5 мкВ при С/Ш=10 дБ, а из этого, опять же, явно очевидно, что для того что бы что то принимать, потребуется предусиление на, как минимум, 20, а лучше до 30 дБ, что б еще хватило и выше 14-ти МГц что нибудь услышать. Сколько будет стоить малошумящий драйвер с минимумом искажений с полосой за 30 МГц? ИМНО доплатить разницу и можно купить 14 битный АЦП. ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 101] Автор : VFO Дата : 13.12.2016 14:34 Ну тут же вопрос не только и даже не столько в получении чувствительности. А ещё и в динамическом диапазоне, т.е. способности одновременно принимать как мощные сигналы, так и слабые. Тут самым золотым драйвером не отделаешься. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 102] Автор : labuda51 Дата : 13.12.2016 15:47 AD9283-100 стоит 550р.AD6645-80 стоит 1200р.Но её некуда запаивать.Эва боард 4500р.Не было бы в RTL2832U палок и фантомов всё это было-бы ни к чему-чисто спортивный интерес. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 103] Автор : EU1SW Дата : 13.12.2016 15:47 Приехала FPGAшка на 10К, будем передачу прикручивать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 104] Автор : labuda51 Дата : 13.12.2016 16:08 Хотелось бы полосу пошире.Что-бы на 40м на панораме были видны и радиолюбители и радиовещание.Тогда бы никаких вопросов с ДД не возникало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 105] Автор : romanetz Дата : 13.12.2016 17:38 Что то около 500 кГц можно выжать максимум при существующем железе (за счет квадратур, эффективная частота дискретизации удваивается) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 106] Автор : labuda51 Дата : 13.12.2016 18:05 В том то и вопрос.Если что-то получится на коленке то из фирменных эвабоардов сделать хороший приёмник дешевле покупного.Ну или трансивер-кому что надо.Последнее что запаял AD9951.Теперь только фильтры из 1мм меди.Как бы возникает вопрос какую отладочную плату FPGA покупать -дорогую и стоит ли?Или строго как у TC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 107] Автор : romanetz Дата : 13.12.2016 18:54 Китайцы нормальных плат с плисками не продают на али. Вот например: https://www.aliexpress.com/item/Development-Board-DE0-Nano-SoC-Kit-for-Hardware-Development-Cyclone-V-SE-5CSEMA4U23C6N-800MHz-Dual-core/32632971061.html?spm=2114.01010208.3.1.bxT9Cg&ws_ab_test=searchweb0_0,searchweb201602_1_116_10065_117_10068_114_115_113_10084_10083_10080_10082_10081_10060_10061_10062_10056_10055_10037_10054_10033_10059_10032_10099_10078_10079_10077_10103_10073_10102_10096_10052_10050_10051,searchweb201603_9&btsid=8d47347a-8b9b-40df-ab60-692051d564f0 У терасика - разработчика этой платы - она стоит $99 + $60 доставка экспресс-почтой. И что получим? Те же $150-160, только месяц-полтора ждать Этот SoC, кстати, незаслуженно обошли. Хотя в red pitaya подобная же архитектура "взлетела" на ура. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 108] Автор : labuda51 Дата : 13.12.2016 19:33 Причём тут китайцы?Или все отладочные платы что предлагают фирмы-поставщики-китайские?Может кто в курсе уточнит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 109] Автор : EU1SW Дата : 13.12.2016 19:52 Что то около 500 кГц можно выжать максимум при существующем железе (за счет квадратур, эффективная частота дискретизации удваивается) если при 96 кГц сэмплирования 10BASE-T загружен на 32%, то как может получится 500? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 110] Автор : romanetz Дата : 13.12.2016 20:16 96 кГц сэмплирования - это 192 кГц полоса обзора. А далее, 192*3 Почему квадратуры сигналы несут вдвое больше информации, чем один объясняется http://www.ieee.li/pdf/essay/quadrature_signals.pdf здесь Фазовые соотношения между сигналами несут также информацию. И, строго говоря, количество информации не зависит, один это реальный сигнал или два квадратуры, с квадратурными проще работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 111] Автор : Genadi Zawidowski Дата : 13.12.2016 20:18 96 кГц сэмплирования - это 192 кГц полоса обзора. А далее, 192*3 96 кГц сэмплирования - это 96кГц полоса обзора (+48..-48) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 112] Автор : romanetz Дата : 13.12.2016 20:23 Да, затупил, крыша едет уже. Сорри. Про квадратуры все правильно написано, а посчитал криво. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 113] Автор : EU1SW Дата : 13.12.2016 20:23 Что б крыша не ехала - не спешите писать все свои мысли, подождите, может быть обойдется ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 114] Автор : M0TLN Дата : 14.12.2016 00:11 Приехала FPGAшка на 10К, будем передачу прикручивать. https://www.aliexpress.com/item/Altera-Cyclone-Board-CoreEP4CE10-EP4CE10-EP4CE10-ALTERA-Cyclone-IV-CPLD-FPGA-Development-Core-Board-Full-IOs/32615416994.html - эта? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 115] Автор : rolin Дата : 14.12.2016 00:55 Приехала FPGAшка на 10К, будем передачу прикручивать. Тоже через ExtIO будет работать? Это весьма и весьма было бы круто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 116] Автор : EU1SW Дата : 14.12.2016 07:26 https://www.aliexpress.com/item/Altera-Cyclone-Board-CoreEP4CE10-EP4CE10-EP4CE10-ALTERA-Cyclone-IV-CPLD-FPGA-Development-Core-Board-Full-IOs/32615416994.html - эта? Не, вот эта https://m.ru.aliexpress.com/item/32637947021.html?trace=wwwdetail2mobilesitedetail&productId=32637947021&productSubject=EP4CE10-altera-fpga-board-fpga-development-board-fpga-altera-board-fpga-development-board&spm=2114.13010608.0.0.eaouaT&detailNewVersion=&categoryId=200003315 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 117] Автор : romanetz Дата : 14.12.2016 08:52 а плиску туда можно EP4CE22E22 впаять -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 118] Автор : RA4UIR Дата : 14.12.2016 09:45 что то странные дела, заказал комплектующие, но чувствую пока оно приедет тут уже все поменяется и будут они у меня бесполезно лежать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 119] Автор : EU1SW Дата : 14.12.2016 10:08 исходный вариант останется, и никуда не денется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 120] Автор : Genadi Zawidowski Дата : 14.12.2016 10:48 Единственный sai2 на discovery и то занят кодеком... Sai1 под индикатором. Не очень получается к duc/DDC приделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 121] Автор : PA8MM Дата : 14.12.2016 11:20 А какое энергопотребление у этой конструкции? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 122] Автор : EU1SW Дата : 14.12.2016 11:25 Не замерялось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 123] Автор : SVd2004 Дата : 14.12.2016 13:59 Sai1 под индикатором. Не очень получается к duc/DDC приделать. Дисплей снимали? Нет никаких вариантов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 124] Автор : Genadi Zawidowski Дата : 14.12.2016 14:14 Нет, не снимал. Я про то, что они разведены на него, доступность не контролировал. Так интересен вариант пр данному топику - на готовых платах. Лишний раз убеждаюсь, что идеально подходящего не получится. Я пару дней раскидывал по 144ногому stm32f746 все нужные сигналы. Вряд ли китайцы сделают, разве что просто макетка под процессор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 125] Автор : romanetz Дата : 14.12.2016 16:03 Сколько слоев в китайской плате и есть ли защелки между АЦП и плис? В оригинале 4 слоя и защелки есть. Насколько это критично? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 126] Автор : UT0UM Дата : 14.12.2016 17:19 Единственный sai2 на discovery и то занят кодеком... Sai1 под индикатором. Не очень получается к duc/DDC приделать. а как тут (http://sp-hm.pl/thread-2745.html) прикрутили? или в не ДДЦ по другому? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 127] Автор : romanetz Дата : 14.12.2016 18:53 АЦП жрет как не в себя, чуть больше 1 Вт. Плисина с эзернетом хз, но примерно столько же. Проводной эзернет в лучших реализациях 300 мВт ест. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 128] Автор : M0TLN Дата : 14.12.2016 19:27 а плиску туда можно EP4CE22E22 впаять А вам зачем? Вы же собирались весь поток на PC обрабатывать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 129] Автор : SVd2004 Дата : 14.12.2016 19:36 Я про то, что они разведены на него, доступность не контролировал. Задействован только один, второй видимо вообще не выведен. 250169 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 130] Автор : romanetz Дата : 14.12.2016 19:38 Для автономной работы. Наличие эзернета и возможности отдать почти весь поток с АЦП в сеть этого не исключает. Совсем без плисины все равно не получится, а разница между простейшей CPLD и этой плисиной на 22K LE - тысяча рублей. При общем бюджете девайса в десятку, совсем незаметно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 131] Автор : Genadi Zawidowski Дата : 14.12.2016 21:23 Вот в таком виде получилось распределение для 144-выводного процессора. Многое в процессоре "прибито гвоздями", не обойтись. Чипселекты формирубтся с выходов порта PG, индикатор занимает почти весь порт PF, валкдоеры на одном порту должны располагаться. PC9 задействован под SD CARD, поэтому все SAI/I2S работают в SLAVE MODE - тактовая формируется FPGA. Загрузка прошивки в FPGA производится процессорм в ACTIVE SERIAL режиме. Мое мнение - проще сделать плату процессор+кодек + разъемы и не париться. Я так вообще сторонник моноплат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 132] Автор : VFO Дата : 14.12.2016 22:22 Не в плане критики, почему ACTIVE SERIAL? Ведь это значит сама FPGA формирует "читающий" клок. Когда мне приходилось делать загрузку FPGA, я делал PASSIVE SERIAL, мне показалось вполне естественным, что процессор в качестве мастера выпихивает по SPI файл прошивки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 133] Автор : Genadi Zawidowski Дата : 14.12.2016 22:42 MSEL[2..0]: 0: PS, POR=STANDARD, 3.3, 3.0, 2.5 4: PS, POR=FAST, 3.3, 3.0, 2.5 2: AS, POR=STANDARD, 3.3 5: AS, POR=FAST, 3.3 (default) Note: The fast POR time is 3 ms < TPOR < 9 ms The standard POR time is 50 ms < TPOR < 200 ms Вы правы, я опечатался. Разумется Passive Serial, у меня все MSELx на змлю посажены. Грузится на скорости в 12..24 мегабита в секунду незаметно (около 400 килобайт образ). Сбило меня то, что когда я с помощью Altera USB BLASTER программирeю SPI память на одном устройстве, этот режим называется Active Serial - скорее всего про программатор речь. Такое построение системы мне показалось удобным тем, что не требуется иного оборудования, кроме программатора процессора и тем что рассогласования прошивок не происходит. А при подключении по процессора к компьтеру USB так и вообще всё делается штатными средствами от ST (утилитой DfuSe). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 134] Автор : VFO Дата : 14.12.2016 23:05 Я четвёртый циклон грузил на скорости 50 Мбит, процессор был LPC175x, есть там такой быстрый порт SSP. А для быстрой смены прошивок - режим USB съёмного диска, правда это дело заняло больше времени на разработку чем непосредственно загрузка FPGA. А так-то вообще, имея процессор в системе, неэффективно городить ещё загрузочную флешку с разъёмом для программирования. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 135] Автор : M0TLN Дата : 15.12.2016 00:11 как-то последние посты совсем не в тему - автор же (ну и все заинтересованные заодно) пользуется готовыми модулями, нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 136] Автор : Genadi Zawidowski Дата : 15.12.2016 00:21 ... А я со свойственным мне оптимизмом подсказываю, что из готовых модулей CPU не очень получается... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 137] Автор : romanetz Дата : 15.12.2016 06:54 Я пока не понял назначение платы на STM32 в этой связке. Может кто-нибудь объяснит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 138] Автор : SVd2004 Дата : 15.12.2016 08:07 ... А я со свойственным мне оптимизмом подсказываю, что из готовых модулей CPU не очень получается... Как в жизни, есть две новости, одна плохая, а вторая хорошая... Плохая, что получится не очень, а хорошая, что получится... Вы не сказали, что не получится... Помогите найти решение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 139] Автор : rolin Дата : 15.12.2016 11:14 Такое построение системы мне показалось удобным тем, что не требуется иного оборудования, кроме программатора процессора и тем что рассогласования прошивок не происходит. А где хранится прошивка для плисины ? Обьединяется с прошивкой процессора ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 140] Автор : Genadi Zawidowski Дата : 15.12.2016 11:18 Да, как массив... /* $Id: rbfimage_v6_2ch.h 4475 2016-11-29 00:46:21Z gena $ */ /* GENERATED FILE - DO NOT EDIT */ /* Source file '..\tc1\fpga_q13\output_files\t1_v6_2ch.rbf' */ static const FLASHMEMINIT uint16_t rbfimage [] = { 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0x56EF, 0xEFEF, 0xEFEF, 0xEFCF, 0xDFCF, 0x9FDF, 0x9F8F, 0x9F9F, 0xBFBF, 0xBFFF, 0xFFFF, 0x9F9F, 0xFFFF, 0xDFDF, 0x9FBF, 0x9FBF, 0x9FBF, 0x9F9F, 0xBFBF, 0x9FDF, 0xDF3F, 0x2CFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, 0xFFFF, ... }; -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 141] Автор : Сергей1971 Дата : 17.12.2016 11:26 Всем доброго дня. Скажите можно ли в этом проекте использовать эту плату https://ru.aliexpress.com/item/free-shipping-EP4CE10-Altera-Cyclone-FPGA-USB-development-board-Y7c68013-high-speed-USB2-0/32500364545.html? и все делать через USB (то есть отказаться от интернет)? С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 142] Автор : EU1SW Дата : 17.12.2016 12:45 Все можно, только у меня ее нет, а за 72 доллара есть и другие варианты. Например использовать serial2usb модуль, за пару долларов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 143] Автор : UA4WAQ Дата : 18.12.2016 19:16 А можно Вас попросить, переделать тактирование adc на 20Мгц ? Я понимаю, что это повлечет за собой переделку cic и fir, но это даст доступ к запуску на более дешевых АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 144] Автор : M0TLN Дата : 18.12.2016 20:12 тактирование adc на 20Мгц ? Я понимаю, что это повлечет за собой переделку cic и fir, но это даст доступ к запуску на более дешевых АЦП. На плате http://www.cqham.ru/forum/showthread.php?5787-%CF%EE%EB%ED%EE%F1%F2%FC%FE-%F6%E8%F4%F0%EE%E2%EE%E9-%EF%F0%E8%E5%EC%ED%E8%EA&p=109357&viewfull=1#post109357 2007 года уже AD9236 80Мгц. Куда уже меньше, смысл? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 145] Автор : romanetz Дата : 18.12.2016 20:41 ... а потом еще и управление ДПФ прописать внезапно :-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 146] Автор : Oleg 9 Дата : 18.12.2016 20:52 а можно нормальное QSO послушать???Файл, который выложил EU1SW в #57 (http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%D0%BD%D0%B0-%D0%BA%D0%BE%D0%BB%D0%B5%D0%BD%D0%BA%D0%B5-quot-%D0%B8%D0%BB%D0%B8-%D0%BA%D0%BE%D0%BD%D1%81%D1%82%D1%80%D1%83%D0%BA%D1%86%D0%B8%D1%8F-%D0%B2%D1%8B%D1%85%D0%BE%D0%B4%D0%BD%D0%BE%D0%B3%D0%BE-%D0%B4%D0%BD%D1%8F-%D0%B8%D0%B7-%D0%BC%D0%BE%D0%B4%D1%83%D0%BB%D0%B5%D0%B9-%D1%81-%D0%90%D0%BB%D0%B8&p=1336506&viewfull=1#post1336506) нужно открывать в HDSDR, а не в каком-нибудь медиаплеере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 147] Автор : UA4WAQ Дата : 18.12.2016 21:28 Меньше надо. у меня нет сейчас ad6645, а есть ad9280 и отладочная плата на cyclon ep4ce6f. Я собрал проект для своего камня - запустил еthernet на 10Мбит, nco, cic,fir, но мне не хватает умножителей для fir фильтров. я использую мегафункции, видимо они не оптимально генерируют. Конечно, я закажу нормальный ацп, но время доставки очень велико, если автор поможет, будет очень замечательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 148] Автор : EU1SW Дата : 19.12.2016 09:33 ... а потом еще и управление ДПФ прописать внезапно :-) управление ДПФ как бЭ присутствует изначально и выведено на пины 49-51 но время доставки очень велико, если автор поможет, будет очень замечательно. Доброго! Перепилить под 20 МГц - не вопрос, вот только проверить на железе я не смогу до выходных -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 149] Автор : UA6BJU Дата : 19.12.2016 11:27 А почему именно stm32 ? Orange Pi Zero (https://www.zapals.com/orange-pi-zero-single-board-computer-512mb-development-board.html) $ 9.99 Неплохие параметры.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 150] Автор : romanetz Дата : 19.12.2016 16:23 Предлагаю Вам попробовать запустить на этой плате функциональный эквивалент ПО трансивера при 105 мегасэмплах в секунду выбранного ацп :-))) Рискну предположить что при тактовой этого проца в районе гигагерца входной CIC придется чуть ли не на ассемблере писать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 151] Автор : DerBear Дата : 19.12.2016 17:10 мимо... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 152] Автор : EU1SW Дата : 19.12.2016 20:41 как один из возможных вариантов реализации "автономного" трансивера с расширенным функционалом - распберри Пи 2 имеет i2s интерфейсы для интерфейса с FPGA и имеет достаточную производительность для того чтоб крутить GUI и модемы, к ней предлагаются недорогие жки с тачем, и имеет последовательный интерфейс для загрузки значений NCO. надо пробовать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 153] Автор : romanetz Дата : 19.12.2016 21:06 Какой софт будет в малинке крутиться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 154] Автор : EU1SW Дата : 19.12.2016 21:17 да хоть бы и QUISK для начала, только у него интерфейс изначально не влазит в разрешение жки, но и это решаемо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 155] Автор : UA4WAQ Дата : 19.12.2016 22:51 https://github.com/pa3gsb/RadioBerry -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 156] Автор : EU1SW Дата : 19.12.2016 23:30 а вообще, мне главное чтоб при таких раскладах железо распберри состыковалось с фпга без принципиальных проблем, но вот тут товарищ пишет что у него получилось, это внушает оптимизм http://www.peteronion.org.uk/I2S/ з.ы. Я потому и задавал вопрос в теме Геннадия Завидовского, можно ли реализовать интерфейс i2s + serial на бюджетном STM32, потому как у меня все началось пару лет назад с софтины для работы через usb звуковую для любимого планшета с Атом на Виндовс, что б и был весь желаемый функционал (прием/передача, эмуляция ВЧ компрессора, регулируемая полоса фильтров, и ресурсов хватало, и целиться в интерфейс не надо было, управление синтезатором через библиотеку hamlib, т.е. почти всем, чем можно вообще управлять ) в основе исходники CuteSdr, Qt4, portaudiо и оптимизированная библиотека примитивов от Интел, и шикарный цикл статей SDR for the masses от создателя SDR-1000 ) работает оно себе на планшетике в паре со слегка модифицированным SoftRock Ensemble, добавлена коммутация микрофонника и наушников, и "жрать" ресурсов планшетика особо не просит, хватает еще и jt65hf запустить ) код ессно опенсорсный , но это ессно тема другого раздела поэтому либо портировать код под дебиан, оно там будет работать, но неизвестно сколько это займет у меня времени, либо найти возможность передать сэмплы в комп, а из компа в фпга + частоту есть еще один вариант - тащить и пилить исходники от hermes-lite, там как раз в одной версии используется готовый модуль Ethernet 100Mbit -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 157] Автор : hOne Дата : 19.12.2016 23:38 О, знакомая ссылка) Подключал PCM1808 к распберри, и еще CS53.. не помню уже какой на 192 кГц где то пол года - год назад. Могу подтвердить - работает. Даже где то рабочий образ для распберри валяется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 158] Автор : Genadi Zawidowski Дата : 20.12.2016 03:21 можно ли реализовать интерфейс i2s + serial на бюджетном STM32 Да можно... только из готовых плат только совсем не интересная discovery на STM32F429 - у которой один I2S свободен и выведен на разъём, нет кодека и так далее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 159] Автор : EU1SW Дата : 20.12.2016 10:31 Да, я понял, спасибо за информацию и сэкономленное время! будем пробовать работать с чем нибудь наподобие ft232 + extdll, как советовал rolin. И второе направление с распберри И третье - hermes-lite Что раньше выстрелит ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 160] Автор : EU1SW Дата : 20.12.2016 14:14 Геннадий, т.е. получается что основной затык в i2s, а допустим если использовать интерфейс в сторону ПЛИС вместо i2s допустим SPI, или там вообще 8 бит параллельнного, это позволит обойти ограничения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 161] Автор : Genadi Zawidowski Дата : 20.12.2016 14:37 Транспорт вообше без разницы, с протоколом I2S просто меньше переделок (если его еще на SAIx формировать/получать). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 162] Автор : romanetz Дата : 20.12.2016 15:54 STM32 широкие панорамы просто не осилит, отсюда бесполезность быстрой передачи данных это ведь всего 168 (218) мгц а интерфейсы есть у стмки, можно и RMII на плисине сэмулировать и подключиться по сети RMII - совсем простой интерфейс, дибиты на 50 МГц. mdc и mdio можно даже не трогать, тот же dp83848 прекрасно работает с настройками по умолчанию. а между плиской и стм32 вообще их не подключать можно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 163] Автор : EU1SW Дата : 20.12.2016 15:56 Я не совсем понимаю кому вы аппелируете, и причем в данном контексте реализация "широких панорам" от STM32... Позволю себе уточнить, что сейчас беседа идет о том, что возможно ли реализовать на дешевом STM32 демоборде интерфейс между ПЛИС и ПК, ответ получен, данный аспект темы закрыт. а RMII и dp83848 чудесно реализованы в Hermes Lite и там ничего изобретать не надо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 164] Автор : romanetz Дата : 20.12.2016 16:42 Я имел в виду автономный трансивер. Иначе stm32 просто для преобразования интерфейса использовать несколько мммм.... Избыточно :-)) К тому же, стм32 для связи с компом предлагает тот же эзернет или юсб. Если иметь в виду юсб - ядро полноскоростного устройства компилируется в 1,5 тысячи ячеек и точно так же не требует никакого дополнительного железа для работы. Гермес компилируется в 13 тысяч ячеек на цыклоне 4-м -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 165] Автор : EU1SW Дата : 20.12.2016 17:08 Я все это знаю, спасибо. У "нас" есть только 6-10 тыс ячеек для приема/передачи, интерфейсов "мы"с этим работаем. Те у кого есть 15 и больше - делают Гермес, Higsdr и множество других проектов, с полосой и приемниками, непосредственной передачей АЦП в комп и любые другие вещи, тут нет преград творчеству и совершенству. Я бы хотел в дальнейшем в рамках этой темы общаться по сути темы, если вы не против... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 166] Автор : Genadi Zawidowski Дата : 20.12.2016 18:16 Так вариант с стм32f4disco возможен... Возьмите spi управление похожее на мой вариант... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 167] Автор : SVd2004 Дата : 20.12.2016 20:55 Для примера 2013 год. https://www.youtube.com/watch?v=8EPFWKVx2Dk&feature -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 168] Автор : romanetz Дата : 20.12.2016 21:01 По теме: не находили ли вы что то вроде txDAC на плате на али? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 169] Автор : RA4UKL Дата : 20.12.2016 21:21 EU1SW, правильно ли я понял, что в качестве ПЛИС Вы пока остановились на EP4CE10? romanetz, попадалась вот такая штука. (https://ru.aliexpress.com/item/High-Speed-AD-DA-Module-Matching-FPGA-Black-Gold-Development-Board/2053961415.html?spm=2114.30010708.0.0.6D6T8J)8 бит, думаю вряд ли будет интересна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 170] Автор : EU1SW Дата : 20.12.2016 21:33 да, остановился на 10к элементов, все что выше - уже негуманно по ценнику DAC на плате не попадались, да и не искал, но попались как то на глаза две штуки DAC904E одним лотом, soic 28 на переходник в dip посадил для удобства макетирования, и экспериментировал с ними, используя ту же самую ПЛИС и spdif выход на материнской плате, как источник цифрового сигнала, пока adc не появился, тогда и переключился на реализацию приемника. По тем экспериментам о чистоте выходного спектра трудно что сказать, потому что тогда я еще игрался с реализациями Альтеровских ip из Квартуса, и приходилось крайне урезать порядок интерполирующего cic, что бы уместить в ресурсы кристалла. Но если просто сгенерировать NCO и вывести на цап - на спектроанализаторе выглядело нормально. Буду продолжать с ними. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 171] Автор : Genadi Zawidowski Дата : 20.12.2016 21:47 Но если просто сгенерировать NCO и вывести на цап - на спектроанализаторе выглядело нормально. Буду продолжать с ними. Вот интерполятор на 2560 - двухтоновый тест. Аттенюатор 30 dB на входе спектроанализатора не учтен. 14-битный ЦАП. float - в STM32 обработка во float. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 172] Автор : EU1SW Дата : 20.12.2016 21:56 вот еще один борд, который может быть интересен с точки зрения реализации корелляционного приема https://ru.aliexpress.com/item/FREE-SHIPPING-High-speed-12-bit-dual-channel-AD-module-supporting-industrial-grade-FPGA-black-gold/32563131271.html?spm=2114.10010208.1000015.1.NZtJHk&s=p -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 173] Автор : romanetz Дата : 21.12.2016 05:35 Геннадий, в этом тесте Fs=122,88M=0,048*2560? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 174] Автор : Genadi Zawidowski Дата : 21.12.2016 05:57 Да, частоты именно такие. Вообще то, паразитные сигналы тоже через 48 кГц в выходном спектре надо смотреть, но при таких параметрах интерполятора там подавление под сотню децибел математика обещает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 175] Автор : EU1SW Дата : 21.12.2016 08:06 Если мне не изменяет склероз, то что бы математика обещала подавление изображений под сотню нужен как минимум 8-й порядок. У шестого порядка будет не хуже -66 дБ, что тоже в принципе вполне себе удовлетворяет при разумных мощностях. Доброго утра! ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 176] Автор : Genadi Zawidowski Дата : 21.12.2016 09:21 Я помню что исходя из мощности допустимых побочек выбирал... На приеме сейчас стоит 7/1... должно было быть 8 (забыл когда уменьшил). При всём этом корректирующего АЧХ фильтра не предусмотрено, исхожу из того, что в пределах интересующих максимум +/- 6 кГц при 48 кГц sample rate меньше децибела завал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 177] Автор : EU1SW Дата : 21.12.2016 09:32 так там простая формулка, минимальное подавление (дБ) = (11..13)*N, где N - порядок, правда почему в формуле принимается разброс от 11 до 13 дБ на ступень не скажу, надо перечитывать первоисточники ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 178] Автор : UA4WAQ Дата : 21.12.2016 15:06 управление ДПФ как бЭ присутствует изначально и выведено на пины 49-51 Доброго! Перепилить под 20 МГц - не вопрос, вот только проверить на железе я не смогу до выходных В железе сам проверю,лишь бы проект собирался. Нашел еще ad9057, но на 96Мгц,она не запустилась.Так что можно и на 80Мгц перепилить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 179] Автор : EU1SW Дата : 21.12.2016 15:11 Соберется он в любом случае, я понял задачу, сделаю на днях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 180] Автор : UA4WAQ Дата : 21.12.2016 15:44 Соберется он в любом случае, я понял задачу, сделаю на днях. спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 181] Автор : SVd2004 Дата : 21.12.2016 20:08 Транспорт вообше без разницы, с протоколом I2S просто меньше переделок (если его еще на SAIx формировать/получать). Покопался в схемах и даташитах 746 дискавери, как мне кажется, там можно программно вывести на разъёмы Ардуино интерфейсы I2S и SAI. 250777 Не уверен, прав я или нет... Проверьте пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 182] Автор : Genadi Zawidowski Дата : 21.12.2016 20:58 Один из SAI висящий на on-board кодеке это почти хорошо (надо бы подать на него тактовую извне). А от второго SAI нужна двунаправленность - SD_A и SD_B (если хотим трансивер). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 183] Автор : SVd2004 Дата : 21.12.2016 21:49 А от второго SAI нужна двунаправленность - SD_A и SD_B (если хотим трансивер). Нашёлся и SD_A (даже два). 250791 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 184] Автор : Genadi Zawidowski Дата : 21.12.2016 22:58 Ошибся... SAI2 на кодеке, двунаправленный. Подать извне SAI2+SCKA, SAI2_FSA и SAI2_MCLKA получится? Если отказаться от видео, то SAI1 для FPGA получается... Надо ли тогда вообще эту плату применять, без дисплея? ps: пробовали тестовую прошивку с USB интерфейсом к трансиверу загружать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 185] Автор : SVd2004 Дата : 21.12.2016 23:10 Надо ли тогда вообще эту плату применять, без дисплея? ps: пробовали тестовую прошивку с USB интерфейсом к трансиверу загружать? На ней, всё на месте, и дисплей и кодек. Нет не пробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 186] Автор : Genadi Zawidowski Дата : 21.12.2016 23:21 Я про то, что в случае задействования SAI1 использование дисплея на этой плате невозможно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 187] Автор : SVd2004 Дата : 21.12.2016 23:27 Я про то, что в случае задействования SAI1 использование дисплея на этой плате невозможно. Почему? Он как то связан с дисплеем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 188] Автор : Genadi Zawidowski Дата : 21.12.2016 23:35 Почему? Он как то связан с дисплеем? Я про выводы процессора B2 и B11 в Вашей таблице... Один на AUDIO_INT, другой на DCMI разъеме... Я с поиском способа применить эту замечательную плату как контроллера автономного DUC/DDC трансивера завязываю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 189] Автор : EU1SW Дата : 21.12.2016 23:38 Я вас понимаю, диву порой даесся от вопросов... ну уже чисто по инерции ) есть еще такое https://ru.aliexpress.com/item/Free-shipping-STM32F407VET6-development-board-Cortex-M4-STM32-minimum-system-learning-board-ARM-core-board/32618222721.html?spm=2114.10010208.1000014.7.c0bqb6&scm=1007.13338.60375.000000000000000&pvid=5318a162-e422-4463-a86a-93a44f101730&tpp=1 такое https://ru.aliexpress.com/item/STM32-Core-Board-Core407V-STM32F407VET6-STM32F407-ARM-Cortex-M4-STM32-Development-Board-with-Full-IOs/32613914840.html?spm=2114.14010208.99999999.262.D0kmcl&detailNewVersion=&categoryId=200004373 и еще где то 429 находил безо всяких лишних цацок... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 190] Автор : Genadi Zawidowski Дата : 21.12.2016 23:43 429 находил безо всяких лишних цацок 746/767 найти бы... Да вот - STM32F767ZI NUCLEO в большом количестве... http://www.st.com/content/st_com/en/products/evaluation-tools/product-evaluation-tools/mcu-eval-tools/stm32-mcu-eval-tools/stm32-mcu-nucleo/nucleo-f767zi.html Беглый осмотр схемы (http://www.st.com/content/ccc/resource/technical/document/user_manual/group0/26/49/90/2e/33/0d/4a/da/DM00244518/files/DM00244518.pdf/jcr:content/translations/en.DM00244518.pdf)показал что оба SAI выведены на разъемы. Нет, только один... но весь. Для кодека нужен будет или еще один SAI или два SPI в режиме I2S. SPI для управления всем можно и программный поднять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 191] Автор : US8IDZ Дата : 22.12.2016 00:06 Я с поиском способа применить эту замечательную плату как контроллера автономного DUC/DDC трансивера завязываю... может продолжить поиски но с другой вроде и цена гуманная https://ru.aliexpress.com/wholesale?catId=0&initiative_id=SB_20161221130001&SearchText=STM32F767ZI+ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 192] Автор : UR3IQO Дата : 22.12.2016 00:18 746/767 найти бы... Была такая штука http://www.waveshare.com/core746i.htm , китайцы довольно активно торговали ею. Я себе на 429м проце одно время присматривал такую... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 193] Автор : SVd2004 Дата : 22.12.2016 00:38 другой на DCMI разъеме... Рядом на плату приклеить одиночный (или больше) разъём и соединить тонким проводом, задействовав один контакт... Покупать отдельно плату с процессором, дисплей и ещё... А потом соединять всё это кучей проводов... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 194] Автор : US8IDZ Дата : 22.12.2016 00:47 Была такая штука... так она еще есть и они вроде продолжают торговать https://ru.aliexpress.com/wholesale?catId=0&initiative_id=SB_20161221134412&SearchText=Core746I -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 195] Автор : SVd2004 Дата : 22.12.2016 08:35 Я про выводы процессора B2 и B11 в Вашей таблице... Один на AUDIO_INT, другой на DCMI разъеме... Из двух, нужен только один, В2 устраивает? (Видеокамера_DCMI нам не нужна) Подать извне SAI2+SCKA, SAI2_FSA и SAI2_MCLKA получится? Можно подробнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 196] Автор : UR3IQO Дата : 22.12.2016 08:45 так она еще есть наверное и будет, но мне на определенном этапе раздумий о путях развития проекта захотелось больше, быстрее в итоге я не особенно интересовался нынешним состоянием дел (тем более с почтой у нас засада - как покупать "там" не понятно, а "здесь" их не продают), поэтому написал в прошедшем времени. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 197] Автор : Genadi Zawidowski Дата : 22.12.2016 09:06 Можно подробнее. Чтобы внутри устройства не заниматься выравниванием скоростей работы разных аудиоблоков, хотелось бы тактировать от одного источника. Самый простой способ (с учетом того, что сигнал PC9 занят под SD карту) подать WS и BCLK извне. к BGA корпусам не припаяться... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 198] Автор : SVd2004 Дата : 22.12.2016 10:33 Самый простой способ (с учетом того, что сигнал PC9 занят под SD карту) подать WS и BCLK извне. Если можно скоммутировать в процессоре, то подать можно через разъём DCMI. (или через разъём Arduino?) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 199] Автор : EU1SW Дата : 22.12.2016 11:11 Главное вовремя остановиться, и не перейти ту грань, за которой смешиваются понятия DIY и "колхозничество". В данном случае можно и уместно взять демоплату без экрана и прочих цацок, подобрать подходящую по вчерашним вечерним ссылкам. А то, что вы будете делать у себя дома, с более дорогой платой, превращая ее в более дешевую - Ваше личное дело, и не есть предмет прилюдного обсуждения, мое мнение такое -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 200] Автор : Genadi Zawidowski Дата : 22.12.2016 11:12 В процессоре не получится... Или как-то как я не знаю. Или сложно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 201] Автор : SVd2004 Дата : 22.12.2016 11:38 Главное вовремя остановиться, и не перейти ту грань, за которой смешиваются понятия DIY и "колхозничество" . Сделать самому, не значит, делать рассыпуху на столе... Если есть более интегрированная вещь, в которой, как бы всё на месте и лишних цацок не видно... Ещё вопрос, что будет дороже... Другое дело, если есть аргументы, что её использовать по таким то причинам нельзя, так и скажите... Я могу ошибаться... если бы мог делал бы всё сам, и не спрашивал, но к сожалению... А колхоз, конечно дело добровольное. Добавлено через 14 минут(ы): В процессоре не получится... Или как-то как я не знаю. Или сложно. Кодек---STM SAI2_MCK_A---C3 SAI2_SCK_A---D3 SAI2_FS_A---D4 Можно вывести? C3---DCMI_D5 D3---DCMI_VSYNC D4---DCMI_D7 Или возможно сделать коммутацию на самом разъёме... Я не знаю, что конкретно нужно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 202] Автор : EU1SW Дата : 22.12.2016 12:05 выбор платы для для дальнейшего развития этого проекта, это конструктивное обсуждение. Ковыряние платы не относящейся к этому проекту уже есть оффтоп. Позадавайте Ваши вопросы в личке. Спасибо за понимание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 203] Автор : labuda51 Дата : 22.12.2016 12:55 EU1SW.Плату АЦП на AD9283 спаял.Как проверить пока не придумал.Теперь выбор FPGA-нужна широкая полоса обзора панорамы до 2 MHz +/- и приём WFM.Какая справится?Если по цене пока не осилю попробую пока прикрутить к FT245BM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 204] Автор : EU1SW Дата : 22.12.2016 13:27 Теперь выбор FPGA-нужна широкая полоса обзора панорамы до 2 MHz +/- и приём WFM.Какая справится? Это Вам нужна такая, в которую поместится трансивер Гермес, тысяч на 15 элементов, а лучше сразу на 25, и к ней аппаратный PHY 100 МБит, ну в общем получается HIQSDR, или Гермес, или Одиссей... А прием WFM - это вопросы программы, а не ПЛИС. Если по цене пока не осилю попробую пока прикрутить к FT245BM. Цену вопроса осветили в предыдущем пункте. Насколько я помню даташит 245-й = 1 миллион 8 битных семплов вы передадите в компьютер без проблем. Осталось найти софт, который будет реализовывать функционал ПЛИС и демодуляцию. Ну и озаботится содержанием развлекательных программ возможных к приему в Вашей местности на частотах 0-500 кГц. Все это выходит за рамки данного проекта. Я четко озвучил все в самом начале возможности реализации - полоса до 200 кГц максимум -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 205] Автор : UR3IQO Дата : 22.12.2016 13:32 выбор платы для для дальнейшего развития этого проекта А что планируется в итоге? Самостоятельный аппарат, или СДР-приставка к ПК? Ну и если самостоятельный аппарат, то какие пожелания к плате? А то я недавно тоже этим вопросом страдал, но пока еще так и не пришел к какому-либо решению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 206] Автор : labuda51 Дата : 22.12.2016 13:46 Понял.То есть нужен с крыльями.Будем искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 207] Автор : EU1SW Дата : 22.12.2016 13:54 А что планируется в итоге? Оба варианта будут востребованы и имеют право на жизнь. Радиоконструктор для HAM-ов "Электронные кубики": 1. DUC/DDC FPGA с интерфейсами I2S+serial (в качестве субмодулей используется то, что можно приобрести на Али, бюджетные, до 10К ячеек ПЛИС, а не за 200 баксов платы), возможно создание модов для 8-12-14 бит и различных частот дискретизации 2. Интерфейсная плата для соединения с ПК, I2S+serial <=> USB на основе STM32, со стороны компа это будет выглядеть как звуковая + компорт, поддержка ExtIO, соответственно любой софт с поддержкой данного функционала. 3. блок модуляторов/демодуляторов с панорамой, на основе STM32, для самостоятельной работы, без компа, M0NKA - в принципе оно уже есть, осталось только подобрать подходящий модуль с STM-кой для переноса в него и дисплей, и дооснастить внешним кодеком для микрофона/наушника. Т.е. каждый возьмет отсюда исходники того, чего ему нужно, купит демоборд на Али, соберет и будет рад. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 208] Автор : UA4WAQ Дата : 22.12.2016 21:08 Здравствуйте Сергей! Пытаюсь запустить ваш проект, с ad9832. С ацп данные приходят, но в езернет пакетах, одни нули. Подскажите, что можно ещё подебажить ? 250884250885250886 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 209] Автор : EU1SW Дата : 22.12.2016 21:41 Здравствуйте! прикрепите к посту архив измененного проекта, ну или в личку закиньте Добавлено через 21 минут(ы): на вход adc_data должны приходить данные в дополнительном коде, adc_data[13] - MSB, т.е знаковый, данные защелкиваются по фронту сигнала ready И укажите точно какой ацп используете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 210] Автор : labuda51 Дата : 23.12.2016 07:45 EU1SW.Увидел редакцию.200кгц-если сделаете- нормапьно. P.S.Ещё дело в том что никогда не покупал на АЛИ и тд.Если бы кто-то сделал пошаговую инструкцию-дело пошло быстрей.В этой теме это было бы уместно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 211] Автор : UA4WAQ Дата : 23.12.2016 08:08 Здравствуйте! прикрепите к посту архив измененного проекта, ну или в личку закиньте Добавлено через 21 минут(ы): на вход adc_data должны приходить данные в дополнительном коде, adc_data[13] - MSB, т.е знаковый, данные защелкиваются по фронту сигнала ready И укажите точно какой ацп используете. Ацп ad9283. опечатался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 212] Автор : EU1SW Дата : 23.12.2016 11:56 P.S.Ещё дело в том что никогда не покупал на АЛИ и тд.Если бы кто-то сделал пошаговую инструкцию-дело пошло быстрей.В этой теме это было бы уместно. Уже есть подходящая тема для этого http://www.cqham.ru/forum/showthread.php?32232-M%EE%E8-%EF%EE%EA%F3%EF%EA%E8-%F1-%C0%EB%E8%FD%EA%F1%EF%F0%E5%F1%F1-2 Добавлено через 54 минут(ы): UA4WAQ, попробуйте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 213] Автор : EU1SW Дата : 23.12.2016 12:38 UA4WAQ, еще раз переделал, глядя на даташит АЦП, предыдущий пост уже не могу редактировать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 214] Автор : UA4WAQ Дата : 23.12.2016 13:54 UA4WAQ, еще раз переделал, глядя на даташит АЦП, предыдущий пост уже не могу редактировать Спасибо,вечером проверю.Нашел тут в одной умной книжке схему, где операционник в качестве увч. Как считает, шум в 7дБ - это много ? 250940 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 215] Автор : EU1SW Дата : 23.12.2016 14:29 Немного, для 8 бит их можно и не заметить вообще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 216] Автор : UA4WAQ Дата : 23.12.2016 15:48 Немного, для 8 бит их можно и не заметить вообще. >вместо дифференциального ОУ впаян трансформатор, значительно понизило шумовую дорожку. какой ОУ был на вашей плате ? в оригинальном демо борде стоит AD8138ARM, но плата ,судя по фото, не оригинальная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 217] Автор : EU1SW Дата : 23.12.2016 15:56 да, стоял AD8138, но он получается слишком шумный для 14 битного АЦП, для 8-ми битного все эти рассуждения не имеют никакого смысла, по причине того, что 8-ми битный на 30 дБ более шумный по сравнению с 14-ти бит преобразователем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 218] Автор : UA4WAQ Дата : 23.12.2016 16:40 да, стоял AD8138, но он получается слишком шумный для 14 битного АЦП, для 8-ми битного все эти рассуждения не имеют никакого смысла, по причине того, что 8-ми битный на 30 дБ более шумный по сравнению с 14-ти бит преобразователем. . Я планирую завтра ad6645 заказать на али, так что 8бит - это временно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 219] Автор : romanetz Дата : 23.12.2016 18:04 Если плату будете свою делать, готов поучаствовать в заказе на производстве. В идеале еще на этой плате разместить ad9957 для передачи: она интерполирует квадратуры автономно, без ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 220] Автор : EU1SW Дата : 23.12.2016 18:06 В любом случае, перед АЦП стоит ОУ, который по сути выполняет функцию симметрирующего трансформатора, плюс он шумит, т.е. вполне выполняет функцию источника шума, т.н. дизеринг, что может быть полезно для измерений. Для приемника внесение добавочного шума вообще не нужно, потому как к нему подключена антенна, принимающая эфирный шум в широкой полосе. В этом случае функцию симметрирования вполне может выполнить трансформатор, который не будет вносить дополнительный шум, согласует импедансы и усилит. Т.е. либо 1:2 с резистором 200 Ом параллельно вторичке, либо 1:4 с резистором 800 Ом. На 1:4 будет сильнее сказываться входная емкость АЦП, возможен завал на ВЧ диапазонах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 221] Автор : UA4WAQ Дата : 23.12.2016 19:54 UA4WAQ, еще раз переделал, глядя на даташит АЦП, предыдущий пост уже не могу редактировать Проверил, данные пошли, но звук заикается, с периодом ~1 сек. пробовал в hdsdr и sdrsharper, на разных системах - windows 8, 10. компьютеры core i3-i5. Хотя в записи звук нормально идет. На какой системе вы запускали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 222] Автор : EU1SW Дата : 23.12.2016 21:03 Проверьте Wireshark-ом интервал между пакетами, и попробуйте убрать инвертор, который вы добавили в линию reset судя по скрину с прошлой страницы пакеты у вас идут очень редко, вот должно быть чаще -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 223] Автор : UA4WAQ Дата : 23.12.2016 22:27 Проверьте Wireshark-ом интервал между пакетами, и попробуйте убрать инвертор, который вы добавили в линию reset судя по скрину с прошлой страницы пакеты у вас идут очень редко, вот должно быть чаще Спасибо Сергей! Дело было в ресете. Вещалки на полноразмерную антенну и голый АЦП(9283), чувствительности конечно мало, попробую сделать увч и фильтра подключить. 250980 250981 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 224] Автор : EU1SW Дата : 23.12.2016 22:39 Ну все! Поздравляю! Можно добавить в первый пост, версию 8 бит от UA4WAQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 225] Автор : RA4UIR Дата : 23.12.2016 22:45 Вещалки на полноразмерную антенну и голый АЦП(9283), чувствительности конечно мало, попробую сделать увч и фильтра подключить. поздравляю) Запишите потом IQ любительского диапазона пожалуйста -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 226] Автор : EU1SW Дата : 23.12.2016 22:49 Вот эту еще опробуйте, убрал инвертор, и сдвиг убрал, не нужен он на 8 бит, дорожка шумовая должна на 18 дБ вниз сдвинуться Запишите потом IQ любительского диапазона пожалуйста присоединяюсь, самому интересно покрутить ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 227] Автор : UA4WAQ Дата : 23.12.2016 23:29 Вот эту еще опробуйте, убрал инвертор, и сдвиг убрал, не нужен он на 8 бит, дорожка шумовая должна на 18 дБ вниз сдвинуться присоединяюсь, самому интересно покрутить ) Подтверждаю, дорожка вниз ушла. Записи рл диапазона сделаю, в воскресенье или понедельник, как фильтра 250985250986 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 228] Автор : UA4WAQ Дата : 24.12.2016 14:35 Если плату будете свою делать, готов поучаствовать в заказе на производстве. В идеале еще на этой плате разместить ad9957 для передачи: она интерполирует квадратуры автономно, без ПЛИС. Тогда и phy ставить для ethernet, и увч, и fpga, и вообще все на одной плате делать. Думаю, надо определится, что хотим видеть на плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 229] Автор : rolin Дата : 24.12.2016 14:50 Тогда и phy ставить для ethernet, и увч, и fpga, и вообще все на одной плате делать. Еще чуть-чуть, и изобретете Hiqsdr-mini заново:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 230] Автор : ra3tes Дата : 24.12.2016 14:51 Тогда и phy ставить для ethernet, и увч, и fpga, и вообще все на одной плате делать. Думаю, надо определится, что хотим видеть на плате. Что-то не в ту сторону пошло.... Изначально, из готовых модулей с Апи собирался проект, а сейчас все на одну плату, Афедри , только в профиль получится. ps пока писал опередили :-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 231] Автор : EU1SW Дата : 24.12.2016 15:44 Все идет, туда, куда шло, я продолжаю делать то, что собирался... ) Ну мало ли люди договариваются о совместной работе, почему нет ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 232] Автор : rolin Дата : 24.12.2016 18:20 EU1SW, все это путь в никуда. На али нету готовых плат с нормальными АЦП а также плат с быстрыми интерфейсами. А в это время, изготовление платы для SDR-Minor или HiqSDR-mini обойдется около 10 долл, если с умом подойти и на выходе будет нормальный одноплатный аппарат а не груда разнородных плат с характеристиками свистка. Исходники открыты, можно улучшать и экспериментировать как угодно. Это будет эволюционный путь развития, а то, что вы задумали - путь назад в пещеру. пс. Насчет сложностей пайки, я паяю любые микросхемы паяльником с ножевым жалом самого большого размера и могу это делать с закрытыми глазами. Надо будет видео снять и показать, а то народ думает, что 240-ногие микрухи паяются жалом-иголкой попиново :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 233] Автор : EU1SW Дата : 24.12.2016 18:32 Спасибо за Ваше мнение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 234] Автор : SVd2004 Дата : 24.12.2016 19:09 Насчет сложностей пайки, я паяю любые микросхемы паяльником с ножевым жалом самого большого размера и могу это делать с закрытыми глазами. Расскажите как, догадываюсь, что канифоль и оплётка экранированного провода, но хотелось бы чётко понимать технологию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 235] Автор : EU1SW Дата : 24.12.2016 19:37 собственно с распберри, как оказалось, вопрос решен, спасибо коллегам, в теме подбросили ссылку на radioberry, https://github.com/pa3gsb/RadioBerry осталось ее телепортировать на 6,200 ячеек, надо попробовать, и видится вполне осуществимым Испольуется SPI, и протоколы реализованы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 236] Автор : rolin Дата : 24.12.2016 19:52 SVd2004, это тот случай, когда лучше один раз показать. Жаль, сейчас нет возможности. Попробую рассказать. Канифольный флюс следует забыть, RMA-218 давно использую для всего. Намазываем обильно флюс на ноги и контакты платы, проводим ножевым жалом с припоем по всему ряду ног, упираясь в торцы ног. После нескольких проходов, когда все пропаялось, остается убрать излишки припоя, затекшего между ног. Это будет 3-5 ног с какого-то края. Добавляем флюса по необходимости, вытираем жало салфеткой насухо и снимаем лишнее в несколько приемов. Использовать оплетку для этого плохая идея, так как в этом случае придется задрать температуру паяльника и оплетка может убрать весь припой создав непропай. Паяльник, естественно, только с термостабилизацией, температура 340 градусов. 240-ногие плисины имеют одну особенность - длинные и из-за этого механически непрочные ноги, во время прохода жалом, если слишком сильно надавить, нога может сдвинуться и застрять между контактами платы. Вернуть ее на место будет очень сложно. Чтобы этого не произошло, паять нужно аккуратно, проводя жалом вдоль а не поперек. Чем больше флюса, тем меньше проблем. Обычные микры, с короткими ногами паяются за минуты без проблем вообще, количество выводов значения не имеет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 237] Автор : SVd2004 Дата : 24.12.2016 20:44 А в это время, изготовление платы для HiqSDR-mini обойдется около 10 долл А как Вы микросхемы BGA (HiqSDR) запаиваете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 238] Автор : rolin Дата : 24.12.2016 21:11 SVd2004, в HiqSDR-mini используется обычная планарная плис на 240 ног. BGA уже в Одиссее, описание процесса пайки здесь (http://www.cqham.ru/forum/showthread.php?31020-Odyssey-TRX&p=1253126&viewfull=1#post1253126) :!: пишите в личку или в соответствующую тему, не будем засорять тему автору. Добавлено через 16 минут(ы): Кстати, насчет отсутствия быстрых интерфейсов на Али - это я погорячился. Есть они, ...вот... (https://ru.aliexpress.com/item/Hot-New-FX2LP-CY7C68013A-USB-Core-Development-Mini-Board-Logic-Analyzer-EEPROM-Blue-Wholesale/32524304438.html?spm=2114.03010208.3.1.OEdvSu&ws_ab_test=searchweb0_0,searchweb201602_6_10065_10068_10000009_10084_10083_10080_10082_10081_10060_10061_10062_10056_10055_10037_10054_10059_10032_10099_10078_10079_10077_427_10093_10103_10073_10102_10101_10096_10052_10050_10051,searchweb201603_1,afswitch_2&btsid=20e4a67f-75c1-4179-ab1a-dc757296bb1e) А ...здесь... (http://svn.tapr.org/dl.php?repname=OpenHPSDR+Main&path=%2Ftrunk%2FAE6VK%2FOzyFX2%2F&isdir=1&rev=3582&peg=3582) можно потянуть прошивку и сходники с протоколом OpenHPSDR для него. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 239] Автор : RA4UKL Дата : 24.12.2016 21:15 Делается это так (https://www.youtube.com/watch?v=OpkP5Vb5EcY&feature=youtu.be). Или так (https://youtu.be/J3BjWK9ymRE) :-) Задача сильно облегчается, если плата выполнена паяльной маской, иначе будете помимо выводов чистить дорожки тоже. rolin, а что не так с примененным АЦП в этой схеме? Вроде указано, что начальный уровень. Как следствие, соответствующая цена для данного типа SDR. Огромный плюс темы - сслылки, архивы прикреплены в шапку. Все разъяснено, что откуда и куда. Лично у меня автор разжег интерес к DDC, изучаю и параллельно пилю проект под свои цап/ацп. Полагаю, свою нишу он займет. Недавно присматривался к hiqsdr, в т.ч. и к mini. Инфу нужно собирать по кускам, иногда буквально через 33 руки, чего-то не нашел вообще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 240] Автор : EU1SW Дата : 24.12.2016 21:23 Без проблем, спросите, что именно Вы не нашли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 241] Автор : rolin Дата : 24.12.2016 21:55 RA4UKL, Я высказал недовольство, что данный проект не предлагает эволюционного развития той малобюджетной DDC техники, которая уже существует в данный момент. Это как если бы вы сделали 3 шага назад, а потом бы начали движение вперед. Это просто мое мнение. Я также считаю, что стоимость всех компонентов может превысить стоимость компонентов для упомянутых выше малобюджетных существующих проектов. Наверное зря я влез со своими поучениями. Если вам нравится этот проект, то конечно делайте его, мешать и в мыслях не было. Я только за. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 242] Автор : EU1SW Дата : 24.12.2016 22:04 Немного изумляет и обескураживает подобный дискурс, ну да ладно... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 243] Автор : labuda51 Дата : 24.12.2016 22:29 А такой подойдёт-есть в чипе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 244] Автор : Sergey RK4PH Дата : 24.12.2016 22:43 Немного изумляет и обескураживает подобный дискурс, ну да ладно... ) Серёжа,а вы не обращайте внимание.Просто у всех понятие бюджета разное.Ваш оценивается в 200 рублей,а что настойчиво навязывают минимум 500 зеленых. А проект очень интересный). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 245] Автор : RA4UIR Дата : 24.12.2016 23:25 Серёжа,а вы не обращайте внимание.Просто у всех понятие бюджета разное.Ваш оценивается в 200 рублей,а что настойчиво навязывают минимум 500 зеленых. А проект очень интересный). Вот это точно. Заказал борд с плисиной, + ацп, вышло 3тр, за такую цену в открытых источниках не попадалось ddc приемников... Лично для меня цена в самый раз. Так что автору уважуха что донес до общества. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 246] Автор : rolin Дата : 24.12.2016 23:49 У одного 200 рублей, у другого 3 тысячи, нормальный такой разброс. Плата с 14 бит АЦП по ссылке автора плюс самая простецкая демоборда с плисиной обойдется в 5124 рубля по текущему курсу. На плате АЦП кстати генератора не наблюдается, хороший генератор это еще 600 рублей как минимум. Демоборды с АЦП на Али присутствуют только у одного продавца и они могут закончиться в любой момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 247] Автор : Sergey RK4PH Дата : 25.12.2016 00:02 У одного 200 рублей, у другого 3 тысячи, нормальный такой разброс. Плата с 14 бит АЦП по ссылке автора плюс самая простецкая демоборда с плисиной обойдется в 5124 рубля по текущему курсу. На плате АЦП кстати генератора не наблюдается, хороший генератор это еще 600 рублей как минимум. Демоборды с АЦП на Али присутствуют только у одного продавца и они могут закончиться в любой момент. это оценка в белорусских рублях и перевод в российские простой))). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 248] Автор : RA4UIR Дата : 25.12.2016 00:18 У одного 200 рублей, у другого 3 тысячи, нормальный такой разброс. Плата с 14 бит АЦП по ссылке автора плюс самая простецкая демоборда с плисиной обойдется в 5124 рубля по текущему курсу. я отдельно ацп взял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 249] Автор : UA4WAQ Дата : 25.12.2016 14:09 Нашел готовые модули в Китае, может кому пригодится: https://world.taobao.com/item/13456289862.htm?fromSite=main&spm=a312a.7700824.w4004-8336323778.5.AssFP2 - ADC/DAC в одном флаконе https://world.taobao.com/item/13456289862.htm?fromSite=main&spm=a312a.7700824.w4004-8336323778.5.AssFP2 ADC 2*12bit 50Msps(65Msps) Без проблем, спросите, что именно Вы не нашли. Сергей, можете прикрепить в шапку схему УВЧ, и декодера для ДПФ ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 250] Автор : romanetz Дата : 25.12.2016 14:13 Подойдет. Берите лучше сразу платку от waveshare с али. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 251] Автор : EU1SW Дата : 25.12.2016 14:26 Плата ДПФ от ТПП "Пилигрим", в соседнем разделе инфа имеется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 252] Автор : UA4WAQ Дата : 25.12.2016 14:29 Подойдет. Берите лучше сразу платку от waveshare с али. ссылку можно ? Плата ДПФ от ТПП "Пилигрим", в соседнем разделе инфа имеется искал тут, ничего не нашел, криворукий видимо.. . http://www.cqham.ru/forum/showthread.php?4635-%CF%C8%CB%C8%C3%D0%C8%CC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%EF%F0%FF%EC%EE%E3%EE-%EF%F0%E5%EE%E1%F0%E0%E7%EE%E2%E0%ED%E8%FF&highlight=%D2%CF%CF+%CF%E8%EB%E8%E3%F0%E8%EC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 253] Автор : EU1SW Дата : 25.12.2016 14:34 Собрал из исходников RadioBerry hermeslite. Презабавная штука, по сети малина видится как Гермес 2.9 и исправно передает и принимает сэмплы в/из CuSDR. з.ы. так надо было у гугеля спросить ) _http://ur5yfv.com.ua/index.php/magazin/product/view/25/54.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 254] Автор : vfc Дата : 25.12.2016 14:53 Попытался оттранслровать проект для МАХ 10 (10М08SCE144C8G) на Qufrtus 16.0 LE. Выдает ошибку: Error (16031): Current Internal Configuration mode does not support memory initialization or ROM. Select Internal Configuration mode with ERAM. Порылся в Инете, рекомендации не помогают... Может кто-то что-нибудь посоветует. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 255] Автор : EU1SW Дата : 25.12.2016 15:33 Так же опробовал в работе RaspberryPI 2 с pihpsdr, standalone code for HPSDR (new Ethernet protocol). Работает, загрузка ЦПУ Малины порядка 28-38%. vfc, к сожалению совершенно не имею представления о МАХ10 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 256] Автор : romanetz Дата : 25.12.2016 16:26 https://ru.aliexpress.com/item/USB3300-USB-HS-Board-Host-OTG-PHY-Low-Pin-ULPI-Evaluation-Development-Module-Kit/32680966842.html?spm=2114.10010208.1000014.2.mxqOAs&scm=1007.13338.46806.0&pvid=8dd758d6-8501-4c95-b953-01faf84c532b&tpp=1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 257] Автор : Oleg 9 Дата : 25.12.2016 18:27 Плата ДПФ от ТПП "Пилигрим", в соседнем разделе инфа имеется искал тут, ничего не нашел, криворукий видимо.. .Если нужны готовые ПФ, то есть ещё и такие от ТПП "ПИЛИГРИМ-PRO": Полосовые фильтры с УВЧ (http://psmdpro.meximas.com/pf08-30/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 258] Автор : labuda51 Дата : 28.12.2016 01:17 EU1SW.Добрый вечер.Купил плату с EP4CE6E22C8N(в местной конторе).Подкинул ещё винчестер.Установил W7-64 и Quartus 2 15.0.Ваш проект открывается.АЦП AD9283.Если можно сделайте проект.Хотелось бы только RX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 259] Автор : EU1SW Дата : 28.12.2016 01:29 сколько MSPS хотелось бы от этого АЦП? З.Ы. Я сбрасывал копию проекта и для 32 бит версии 11-го Квартуса, одинаково работает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 260] Автор : labuda51 Дата : 28.12.2016 01:48 Да так же 96 МГц на АЦП.На AD9283 стоит 100.Уже поставил W7-64 и Quartus-64 на всякий случай.Поставил с 3 попытки-надо скачивать комбинированную версию что-бы была с BAT. файлом.На второй установки появилось сообщение о нехватке места на диске и установка зациклилась.Есть тут подводные камни.По сети также ничего кроме интернета не подключал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 261] Автор : EU1SW Дата : 28.12.2016 09:35 Ну вот же, не далее прошлой страницы, проверенный уже ) http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1342291&viewfull=1#post1342291 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 262] Автор : labuda51 Дата : 28.12.2016 10:56 Там другая плата и другая микросхема.Что нужно сделать?P.S.Обновления-комп живёт своей жизнью. Добавлено через 49 минут(ы): EU1SW.У меня плата как из поста 17,только altera CE6.Вы собирались на этой плате с altera CE10 сделать продолжение проекта.Если будет проект только приёмника на этой плате с altera CE6 под имеющийся AD9283 было бы замечательно.А так нужно пройти весь Ваш путь по освоению темы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 263] Автор : EU1SW Дата : 28.12.2016 11:46 Путь не нужен, прочтите хотя бы тему... Я дал вам ссылку на уже проверенный в работе вариант проекта для восьмибитного АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 264] Автор : labuda51 Дата : 28.12.2016 16:17 EU1SW.Да это я нажал в выборе девайса и вылетела другая мс.Ваш проект скомпилировался и появился файл trx.sof.Но ноги на плате не так разведены.Например clc out 87 нога сидит на кнопке и тд.Нет всё правильно мс другая.Оба проекта не подходят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 265] Автор : VFO Дата : 28.12.2016 16:47 Ну так жмите Device -> Assignment и перетягивайте ноги как Вам удобно и компилируйте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 266] Автор : EU1SW Дата : 28.12.2016 16:48 Отлично, осталось дело за малым: открыть в Квартусе "pin planner", вызывается через меню либо ctrl+shift+N и назначить пины, которые хотите... Откомпилировать и прошить. З.ы. О, уже опередили :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 267] Автор : labuda51 Дата : 28.12.2016 17:46 Спасибо.Попробую.Хотелось бы расшифровать -как удобно и как хотите-от балды или есть какой-то оптиум.Данные с АЦП в одну линейку-это понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 268] Автор : SVd2004 Дата : 28.12.2016 19:55 Правильно я понимаю, что в фильтре устанавливаем "assignable pins" и выбираем свободные пины? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 269] Автор : labuda51 Дата : 28.12.2016 20:51 EU1SW.В pin planner есть строчки ext_res,res_led.Это к плате относится?Вопрос-что нужно убрать из проекта если разводка другая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 270] Автор : EU1SW Дата : 28.12.2016 21:01 Я уже писал, кнопка ресет, и светодиод. Ничего не нужно убирать или добавлять , просто назначьте выводы, это не сложно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 271] Автор : labuda51 Дата : 28.12.2016 21:13 EU1SW.Я редактирую файл trx.qsf-в pin planner неудобно-пины меняются.Это правильно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 272] Автор : labuda51 Дата : 28.12.2016 22:28 EU1SW.Последний на сегодня вопрос.С кнопками и светодиодами как раз и будет засада.Если у Вас есть конкретно плата с маркировкой C4E6-K то как Вы сделали назначения.Мне пока не понятно назначение кнопок хотя они и подписаны.Так же после компиляции не нашёл файла trx.pof-об нём пару слов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 273] Автор : EU1SW Дата : 29.12.2016 10:30 С кнопками и светодиодами как раз и будет засада. Если у Вас есть конкретно плата с маркировкой C4E6-K то как Вы сделали назначения есть такая у меня, еще не приступал ничего делать на ней, переназначить выводы в готовом проекте имея на руках плату - что может быть проще, но если нет, я сделаю это, как будет время. не нашёл файла trx.pof-об нём пару слов. он не нужен -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 274] Автор : labuda51 Дата : 29.12.2016 11:07 EU1SW.Спасибо.Некоторые пины уже назначил-АЦП,clc АЦП,фильтры.Сейчас поищу инфо про остальные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 275] Автор : US8IDZ Дата : 30.12.2016 10:41 Некоторые пины уже назначил... Почему-то при открытии вылазит корпус EP4CE10E17C8N с 256 пинами в корпусе BGA вместо EP4CE10E22C8N в корпусе TQFP с 144 пинами -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 276] Автор : EU1SW Дата : 30.12.2016 10:51 естественно надо устанавливать у себя такой, какой имеется в наличии -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 277] Автор : US8IDZ Дата : 30.12.2016 13:10 запутался в проектах - не тот проект открыл:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 278] Автор : labuda51 Дата : 30.12.2016 13:23 Сейчас проверил-EP4CE6.Снимок в этой системе потерялся,поищу.Увидел.Мне надо сделать.Trx.gar-проект на AD9283-C4E6-K на AD9283.То есть заменить альтеру в проекте ua4waq.gar и назначить ноги на плате C4E6-K. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 279] Автор : labuda51 Дата : 31.12.2016 00:27 В оконцовке заменил без всяких переназначений в проекте ua4waq.gar альтеру на EP4CE6E22C8 и проект скомпилировался.С этого надо было начинать.При прошивке надо оставлять auto-restart configuration after error или ставить свободные пины в третье состояние-вторая строчка?А смутили меня прямоугольники на схеме -E16 и G15 вроде по памяти.Просто обозначение выводов.Пока разглядел,пока дошло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 280] Автор : labuda51 Дата : 31.12.2016 17:03 С Новым годом.А как её прошить?При включении питания платы бегают 8 светодиодов.Прошиваю-горит один-tx_led.Выключаю/включаю-опять 8.Прошивается мгновенно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 281] Автор : Genadi Zawidowski Дата : 31.12.2016 19:38 То, что вы делаете - это загрузка прямо в FPGA. Рядом с ней стоит микросхема памяти с SPI интерфейсом. чтобы залить в нее, есть два варианта - или перевести программатор в режим ACTIVE SERIAL и прошить эту микросхему (если соответствующие провода выведены на разъем программатора) или создать JIC файл и прошить её сквозь FPGA. Сама FPGA не содержит в себе энергонезависимой памяти. ГУгль в помощь. ps: начиная с середины седьмой страницы тут: https://www.altera.com/support/support-resources/knowledge-base/solutions/rd10132010_126.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 282] Автор : labuda51 Дата : 31.12.2016 20:10 Геннадий.С Новым годом.Спасибо.В проекте нет строчки data_ready.Сейчас найду DS на EPCS16 и попробую вписать в проект.Файл .pof создаётся но программатор USB BLASTER отказывается шить в данной конфигурации.ACTIVE SERIAL пока не пробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 283] Автор : EU1SW Дата : 31.12.2016 20:17 при чем здесь дата реди, мне интересно? и зачем Вам даташит на ПЗУ? ну просто для информации, что именно собрались вписывать? Вам же уже подсказывали, pof не нужен, конвертируйте в jic и шейте а лучше дождитесь готовой прошивки под вашу плату, потому что мне что то подсказывает, что на каком то из поворотов процесс творчества свернул не туда. С наступающим! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 284] Автор : Genadi Zawidowski Дата : 31.12.2016 20:21 labuda51, присоединяюсь к вопросам... Не усложняйте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 285] Автор : labuda51 Дата : 31.12.2016 20:48 EU1SW.С Новым годом.Времени мало.SOF в JIC чем и как? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 286] Автор : Genadi Zawidowski Дата : 31.12.2016 21:03 Исправляюсь... ссылка на документ где с середины седьмой страницы это описано: https://www.altera.com/en_US/pdfs/literature/an/an370.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 287] Автор : EU1SW Дата : 31.12.2016 21:12 labuda51, так какая у вас ПЛИС, на 6 тыс ячеек или на 10 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 288] Автор : Genadi Zawidowski Дата : 31.12.2016 21:14 У меня плата как из поста 17,только altera CE6 Шеститысячная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 289] Автор : EU1SW Дата : 31.12.2016 21:33 С Новым годом! Добавлено через 9 минут(ы): ну и заодно http://g.zeos.in/?q=SOF%20%D0%B2%20JIC%20%D1%87%D0%B5%D0%BC%20%D0%B8%20%D0%BA%D0%B0%D0%BA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 290] Автор : labuda51 Дата : 31.12.2016 21:37 Файл .cof а не .sof конвертер просит.EU1SW сейчас попробую.Так 87 сидит на кнопке.Нет в qsf на 11.Попробую зашить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 291] Автор : EU1SW Дата : 31.12.2016 21:49 да что ж опять не так то??? сформулируйте доступно для моего понимания, а не односложными замечаниями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 292] Автор : Genadi Zawidowski Дата : 31.12.2016 22:10 Файл .cof Это описание набора действий при конвертации. Вам по некоторым соображениям не поможет. Не открываете cof, а конвертируйте сами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 293] Автор : labuda51 Дата : 31.12.2016 22:12 Не видит jic.Может потому что стоит 25 микросхема.Может я запутался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 294] Автор : EU1SW Дата : 31.12.2016 22:15 а галку поставить в чекбоксе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 295] Автор : labuda51 Дата : 31.12.2016 22:17 Сейчас соображу.Да прошилось.Горит диод с 75 ноги.Спасибо.Будут вопросы по Ehernet. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 296] Автор : EU1SW Дата : 31.12.2016 22:28 все вопросы по езернету уже описаны в теме -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 297] Автор : labuda51 Дата : 31.12.2016 23:22 96 Мгц с 11 ноги есть.Всех с Новым Годом. Добавлено через 16 минут(ы): Залил свою прошивку тоже есть 96 Мгц но у меня с 132 ноги.И диод с 74.Выключил/включил снова с 75 ноги диод и 96 Мгц с 11.Загрузилась конфигурация от EU1SW. Добавлено через 14 минут(ы): С конвертацией уже не успею.Но всё равно надо разобраться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 298] Автор : labuda51 Дата : 01.01.2017 00:35 Свою это я конечно погорячился-конечно в основе проекты от EU1SW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 299] Автор : labuda51 Дата : 02.01.2017 21:07 EU1SW.Добрый вечер.Выводы под АЦП и clc_adc как-то сконфигурированы по напряжению?Имеется ввиду что АЦП будет питаться от 3.3 вольт и подключен напрямую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 300] Автор : EU1SW Дата : 02.01.2017 21:09 Сегодня потестировал вариант РадиоБерри из готовых кубиков... Оно работает, и на передачу тоже, в сети виден трансивер Гермес, но есть косяк, который пока не разрулился, рандомные подтормаживания, и работает почему то только с PowerSDR OpenHPSDR, с CuSDR тоже запускается, пакеты в сторону компа летят, Wireshark безпроблемно ловит, а "розовый слон" тупо морозится. Поглядим, как у коллег пойдет, в соседней ветке, выделившейся в отдельный тред, и "будем искать..." (с) С наступившими всех! и нам завтра на работу ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 301] Автор : UA4WAQ Дата : 03.01.2017 01:28 Сергей, а можно подробней, какой "камень" использовали для РадиоБерри ? Какой Ацп ? Плату делали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 302] Автор : EU1SW Дата : 03.01.2017 06:55 В текущей конфигурации обсуждаемого в этой ветке изменена прошивка и прикручена распбери 2... :) Платы собираются делать в соседней ветке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 303] Автор : SVd2004 Дата : 03.01.2017 07:09 Платы собираются делать в соседней ветке. Интересно, но не увидел ничего подобного... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 304] Автор : UA4WAQ Дата : 04.01.2017 11:26 В текущей конфигурации обсуждаемого в этой ветке изменена прошивка и прикручена распбери 2... :) Смысл перехода на распбери 2, я вижу только в том, что там есть ethernet на 100Мбит/c , соответственно и полосу можно сделать больше. Не копали в этом направлении ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 305] Автор : EU1SW Дата : 04.01.2017 11:33 "свисток" может от 2,4 МГц и более и безо всякого распберри... ) может имеет смысл посмотреть в ту сторону в поисках смысла? 7 долларов - и щасце есть... З.Ы. не получится ли у Вас выложить запись эфира с 8-битного АЦП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 306] Автор : UA4WAQ Дата : 04.01.2017 12:46 Сергей, есть возможность сделать под ad9865 и 6200 элементов ? UA4WAQ, все можно, давайте только в соответствующей ветке вести диалог. Для меня актуально, так как есть 9865 в наличии, она 1:1 как 9866, только на 10 бит. Добавлено через 6 минут(ы): "свисток" может от 2,4 МГц и более и безо всякого распберри... ) может имеет смысл посмотреть в ту сторону в поисках смысла? 7 долларов - и щасце есть... З.Ы. не получится ли у Вас выложить запись эфира с 8-битного АЦП? Пока УВЧ не прикрутил, возникли некоторые затруднения с ним, постараюсь в праздники с ним разобраться. Со свистком счастья нет, ибо мусора много, чутье никакое, на передачу не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 307] Автор : RA4UKL Дата : 04.01.2017 16:49 Счастье к свистку приходит вкупе с регулируемым УВЧ, АТТ, преселектором, экранировкой + еще всякие плюшки по-мелочи. Не 14-16 бит конечно, но уверяю Вас, результат есть. Сергей, вопросы Вам - выше 3-ей зоны опыты по приему проводили? Передачу поднимали на DAC904? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 308] Автор : UA4WAQ Дата : 04.01.2017 19:27 Счастье к свистку приходит вкупе с регулируемым УВЧ, АТТ, преселектором, экранировкой + еще всякие плюшки по-мелочи. Не 14-16 бит конечно, но уверяю Вас, результат есть. Я вам верю, ибо в некоторых связных железках стоит ad9865, а это всего 10бит, перед ним фильтра высокодобротные и увч. Опять таки передачи у свистка нет, в общем приемник есть приемник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 309] Автор : EU1SW Дата : 04.01.2017 20:33 Выше 4й зоны Найквиста сильно падает чутье, даташит не обманывает, в нем заявлено до 200 МГц, так и есть. Передачу поднимал, писал где то ранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 310] Автор : Сергей1971 Дата : 04.01.2017 21:03 Всем доброго вечера.Скажите можно ли использовать вот этот блочек в этом проекте https://ru.aliexpress.com/item/New-Orange-Pi-Zero-H2-Quad-Core-Open-source-512MB-development-board-beyond-Raspberry-Pi/32761500374.html ? Довольно интересные параметры у него и цена не большая. Есть еще более крутая плата https://ru.aliexpress.com/item/Orange-Pi-One-ubuntu-linux-and-android-mini-PC-Beyond-and-Compatible-with-Raspberry-Pi-2/32603308880.html С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 311] Автор : romanetz Дата : 05.01.2017 09:02 Распбери и плиска в проекте радиоягоды общаются по i2s (I/Q) и spi. Впрямую код не соберется на апельсинке, но можно портировать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 312] Автор : EU1SW Дата : 05.01.2017 09:59 Нету там квадратной шины, только spi. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 313] Автор : labuda51 Дата : 05.01.2017 13:25 Опять что-то не так.Программатор другой нужен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 314] Автор : Genadi Zawidowski Дата : 05.01.2017 13:49 Не понятен вопрос, в какой момент выскочило это предупрежление... Но у меня вопрос - разве на Вашей плате стоит EPCQ16? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 315] Автор : EU1SW Дата : 05.01.2017 13:53 Читать нужно. Если хотите освоить квартус - осваивайте, при чем здесь тема SDR? Геннадий, все понятно, и видно на скрине, лоадер тупо не добавлен, потому что не ходят по ссылкам и не читают, а тупо сваливают ВСЕ появляющиеся вопросы в тему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 316] Автор : labuda51 Дата : 05.01.2017 14:00 Нет 25 м/с.Нажал generat и выскочило.Да с Q понял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 317] Автор : labuda51 Дата : 05.01.2017 15:46 За лоадер спасибо-фиг увидишь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 318] Автор : EU1SW Дата : 05.01.2017 15:50 Теперь дело за малым, выбрать правильный загрузчик для своей плис... Это приколы такие что ли, не пойму. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 319] Автор : labuda51 Дата : 05.01.2017 16:05 EU1SW.Это снимок когда разбирался.EP4CE6 конечно.Уже прошил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 320] Автор : US8IDZ Дата : 05.01.2017 16:40 Платы собираются делать в соседней ветке. Интересно, но не увидел ничего подобного... Соседняя это эта: http://www.cqham.ru/forum/showthread.php?34787-RadioBerry-Hermes-Lite-DDC-transcever-%ED%E0-Raspberry-Pi ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 321] Автор : EU1SW Дата : 05.01.2017 17:47 Да, верно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 322] Автор : RA4UIR Дата : 06.01.2017 12:44 Вот на этой https://ru.aliexpress.com/item/EP4CE10-altera-fpga-board-fpga-development-board-fpga-altera-board-fpga-development-board/32637947021.html?spm=2114.13010608.0.0.eaouaT&detailNewVersion=&categoryId=200003315 Будьте осторожны с этим продавцом. Долго не отправлял Плис, спустя неделю написал какую то дич в сообщения что алиэекспресс заглючил и просил дать заново адрес доставки, я естественно дал, после этого вроде как отправил, но дал левый трек номер, другого покупателя -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 323] Автор : M0TLN Дата : 06.01.2017 16:01 Сергей. Или я пропустил или это не обсуждалось - какой именно RJ45 коннектор Вы использовали? С трансформаторами? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 324] Автор : EU1SW Дата : 06.01.2017 16:12 Здравствуйте! Да, выпаял из старой материнской платы. Но работает и без трансформаторов, но естественно в таком случае высока вероятность выжечь ПЛИС http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1336522&viewfull=1#post1336522 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 325] Автор : romanetz Дата : 06.01.2017 20:33 Трансформатор все равно есть - на стороне компа, прямо в разъеме. Но раз они условно-бесплатны - из старых "материнок" вместе с парой USB-A разъемов - и работают до гигабита - отчего бы и не поставить? В сетевом оборудовании (свитчи, роутеры), наоборот, трансы, в основном, внешние ставят. Добавлено через 5 минут(ы): Скоро добавлюсь со своей железкой в ваши ряды, только это сборная солянка будет (5CEFA2F23+AD6645+USB3300+DP83848), АЦП уже подъезжает. Поверх USB поднял CDC ECM + UAC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 326] Автор : EU1SW Дата : 06.01.2017 20:54 Дааа, прямо в разьеме... С емкостью между средними точками выходных обмоток и корпусом компа :) а на корпусе компа середина емкостного делителя в БП Я не могу советовать читателям в паблике делать такое :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 327] Автор : romanetz Дата : 06.01.2017 20:58 Каждый сам себе злобный буратино... По ПУЭ любые нетоковедущие металлические части, могущие оказаться под напряжением, должны быть заземлены - у меня лично так и сделано. Тут большая проблема даже не напряжение на корпусе, а то, что далеко не через всякий свитч эта конструкция работать будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 328] Автор : EU1SW Дата : 06.01.2017 21:47 К чему эта вся риторика? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 329] Автор : M0TLN Дата : 06.01.2017 22:10 Скоро добавлюсь со своей железкой в ваши ряды, только это сборная солянка будет (5CEFA2F23+AD6645+USB3300+DP83848), АЦП уже подъезжает. Поверх USB поднял CDC ECM + UAC. Вам бы свою тему начать, а? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 330] Автор : romanetz Дата : 06.01.2017 22:13 Пока все в рамках этой укладывается (SDR из китайских модулей), просто небольшое развитие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 331] Автор : EU1SW Дата : 06.01.2017 22:23 Гермес-лайт первой версии тоже формально в рамках этой темы Там как раз Альтера пятая на 25 тыщ и выше ячеек и DP83848, несколько приемников, полосы на выбор, работает через любой свич, и зачем ему USB - пока не представляю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 332] Автор : romanetz Дата : 06.01.2017 22:42 UAC - для websdr. Голландец не дает исходники от софта, а через аудиокарту можно туда хоть десять мегагерц полосы скормить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 333] Автор : UA4WAQ Дата : 06.01.2017 23:32 Тут большая проблема даже не напряжение на корпусе, а то, что далеко не через всякий свитч эта конструкция работать будет. подтверждаю, у меня через dlink DES-1005D не работает, так как свитч определяет порт на 100Мбит. Добавлено через 13 минут(ы): Будьте осторожны с этим продавцом. Долго не отправлял Плис, спустя неделю написал какую то дич в сообщения что алиэекспресс заглючил и просил дать заново адрес доставки, я естественно дал, после этого вроде как отправил, но дал левый трек номер, другого покупателя я ацп заказл, неделя прошла, китаец так и не выслал, хотя рейтинг высокий и сделок куча...сори за оффтоп.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 334] Автор : RA3APW Дата : 08.01.2017 20:59 На новой машине под Win 10 (64-бит) установил Quartus Prime 16.1 и получил проблему с USB Blaster Rev.С - программатор не видится в системе при попытке установить прилагаемые драйвера из Quartus 16. То же железо - USB Blaster - нормально работает на другой машине под Win7 и Quartus 14. Кто-нибудь решил подобную задачку? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 335] Автор : RA3APW Дата : 09.01.2017 11:51 Вопрос о работе USB Blastera с Quartus 16.1 под Win 10 (64-бит) решен переустановкой драйверов. Если два моих сообщения не уместны в данной теме, то сообщения можно удалить. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 336] Автор : UA4WAQ Дата : 09.01.2017 19:27 Демоборд АЦП, копия оригинальной платы от Аналог Девайсез c AD6645, 14-ти битный, 105 MГц, немного доработана - вместо дифференциального ОУ впаян трансформатор, значительно понизило шумовую дорожку Сергей, расскажите о трансформаторе. Как намотан, материал сердечника, провод, коэффициент трансформации? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 337] Автор : EU1SW Дата : 09.01.2017 20:52 обычный симметрирующий 1:4 по сопротивлению, со средней точкой, наподобие как для смесителей кольцевых мотают это стандартная реализация, как и в куче других реализаций, даташит на АЦП, страница 21-22 http://www.analog.com/media/en/technical-documentation/data-sheets/AD6645.pdf можете применить любой другой, хоть на кольце, хоть на бинокле, хоть заводской, абсолютно некритично у меня в тумбочке залежь Амидоновских биноклей и минициркулей, ориентироваться на это абсолютно не стоит ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 338] Автор : UA4WAQ Дата : 10.01.2017 08:56 З.Ы. не получится ли у Вас выложить запись эфира с 8-битного АЦП? Прикрутил УВЧ(http://www.qsl.net/yu1aw/LNA/bfg135aeng.htm) на bfg135 и фильтра от трансивера ЭФИР-М. При подключении УВЧ к ацп, шумовая дорожка увеличивается с -83 до -70дб, при этом вход увч закорочен. С таким шумом, в любительском диапазоне записывать нечего...Думаю это из за того, что все висит на соплях. нужно разводить нормальную плату, но не думаю, что надо это делать для 8битного АЦП, уж слишком он шумный... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 339] Автор : EU1SW Дата : 10.01.2017 09:05 Вы калибровали ПО? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 340] Автор : UA4WAQ Дата : 10.01.2017 09:11 Вы калибровали ПО? нет,не калибровал. Не знаю как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 341] Автор : EU1SW Дата : 10.01.2017 09:59 Значит приведенные цифры абсолютных значений не имеют смысла. Хотя, конечно, такая разница, при подключении такого относительно малошумящего УВЧ к 8 битному АЦП действительно свидетельствует о наводках на линии входа АЦП. Сделайте фото. Посмотрим, посоветуем. Хотя совет тут один: укорачивайте провода до минимума, вход АЦП широкополосный, и наводки, попадающие в полосу в любой зоне Найквиста, суммируются. попробуйте еще емкость, параллельно входу АЦП, пик 10 к примеру, для начала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 342] Автор : romanetz Дата : 10.01.2017 10:56 А спектр как выглядит? Сплошная пелена, горбы или отдельные палки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 343] Автор : UA4WAQ Дата : 10.01.2017 10:58 А спектр как выглядит? Сплошная пелена, горбы или отдельные палки? Сплошная пелена. Ни палок нет, ни горбов нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 344] Автор : romanetz Дата : 11.01.2017 10:44 Есть смысл диф. тактирование на АЦП делать? И как лучше распределять клок - от ВЧ генератора на 125 МГц запустить АЦП и подать его же на плисину или умножать генератор на 12,288 фапчем в плиске? Что сетка частот дискретизации будет разная это понятно, учту в ExtIO.dll. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 345] Автор : EU1SW Дата : 11.01.2017 19:32 Есть. От внешних 125. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 346] Автор : romanetz Дата : 11.01.2017 20:53 Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 347] Автор : M0TLN Дата : 11.01.2017 21:57 Не, вот эта https://m.ru.aliexpress.com/item/32637947021.html?trace=wwwdetail2mobilesitedetail&productId=32637947021&productSubject=EP4CE10-altera-fpga-board-fpga-development-board-fpga-altera-board-fpga-development-board&spm=2114.13010608.0.0.eaouaT&detailNewVersion=&categoryId=200003315 Пришла платка, открыл проект (16.1 , W7 64-bit) и залил через Blaster. Ноги, конечно, не те - переназначил. При подаче питания надо заново программировать - это лечится? Заранее спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 348] Автор : romanetz Дата : 11.01.2017 22:54 Надо прошивать не плиску, а конфигурационную память. Страницами двумя раньше это уже обсуждали, про конвертацию .sof в .jic -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 349] Автор : M0TLN Дата : 11.01.2017 23:59 Надо прошивать не плиску, а конфигурационную память...это уже обсуждали, про конвертацию .sof в .jic спасибо! про 125MHz - планируете просто допаять генератор на плату FPGA? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 350] Автор : EU1SW Дата : 12.01.2017 00:14 лучше на плату АЦП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 351] Автор : M0TLN Дата : 12.01.2017 01:00 лучше на плату АЦП так на исходной плате AD6645 с Ali ведь нет места для генератора? Или вы про другую (новую) плату на другом АЦП? к стати, про AD6645 - вы оставили только что надо для 1:4 трансформатора и AD8138 выпаян со всей обязкой по схеме на c.23 из datasheet-a http://www.analog.com/media/en/technical-documentation/data-sheets/AD6645.pdf ? Спрашиваю поскольку моя плата с АЛИ отличается от оригинала - даже 2x25 Ом резисторы запаяны как 0 Ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 352] Автор : EU1SW Дата : 12.01.2017 01:27 Места нет, но используя паяльник установить то можно, было бы желание :) Да, выпаял полностью 8138, у меня тоже 0 Ом было, Тут такое дело... Если начинать делать все правильно, то получится Гермес, или Hiqsdr :) Можно сразу начать движение из той точки, тут уже высказывались по этому поводу. Можно допаять генератор на плату АЦП, чувствительность улучшится, на сколько - не знаю, думаю в пределах одного значащего бита. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 353] Автор : romanetz Дата : 12.01.2017 06:43 Плата своя, АЦП тот же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 354] Автор : RA4UIR Дата : 12.01.2017 09:25 Подскажите пожалуйста где докупить генератор на 125Mhz, на али по 10-20 штук продают ? может кто может конвертом выслать по России? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 355] Автор : EU1SW Дата : 12.01.2017 09:44 на секундочку внимания... Если ацп 6645 то 125 МГц ему многовато будет, если какой то другой, то лучше об этом написать а то сейчас накупят ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 356] Автор : romanetz Дата : 12.01.2017 10:07 У меня AD6645ASVZ-105. 105 МГц для него - штатная частота в диапазоне -10 +70. Т.е., полагаю, что при комнатной температуре и нормальном питании он запустится и на 125 мегах. Повторять никого не призываю. Поскольку на форуме неоднократно описывались опыты разгона AD'шных DDSок и сам разгонял 9951 до >700 МГц. Производитель оставляет хороший запас по параметрам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 357] Автор : EU1SW Дата : 12.01.2017 10:33 хороший повод поэкспериментировать :пиво: охотно верю в запас по тактовой, только видимо придется уже налепить радиаторчик, при 96 МГц палец держит, но горячо но доберусь я до этого не скоро ( напишите пожалуйста по результатам -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 358] Автор : Genadi Zawidowski Дата : 12.01.2017 12:50 где докупить генератор на 125Mhz, В каталоге чипдип есть ABLJO http://www.chipdip.ru/search/?searchtext=ABLJO - под заказ. Параметры похуже, чем у обычно применяемых для тактирования приемников ABLNO - но можно заказать 122.88 МГц например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 359] Автор : RA4UIR Дата : 12.01.2017 12:56 Так стоп, обманулся. Сергей, у вас на плате ацп припаян на 96? правильно ? у меня тоже AD6645ASVZ-105 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 360] Автор : YL3GDP Дата : 12.01.2017 13:06 Добрый день. А не подскажете где можно закупить и во сколько это встанет, плату АЦП с картинки поста #355 ? Что-то на Алиэкспрессе не могу найти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 361] Автор : EU1SW Дата : 12.01.2017 13:24 Есть у другого продавца, попробуйте искать "ADC 14 bit 105" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 362] Автор : UA6BJU Дата : 12.01.2017 14:56 Пока еще есть (https://ru.aliexpress.com/af/105M-high%25252dspeed-ADC-module.html?ltype=wholesale&d=y&origin=n&isViewCP=y&catId=0&initiative_id=SB_20170112035510&SearchText=105M+high-speed+ADC+module&blanktest=0&isAfChannel=y) Кстати для чего на плате еще место под нераспаянный трансформатор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 363] Автор : UT0UM Дата : 12.01.2017 15:45 Пока еще есть (https://ru.aliexpress.com/af/105M-high%25252dspeed-ADC-module.html?ltype=wholesale&d=y&origin=n&isViewCP=y&catId=0&initiative_id=SB_20170112035510&SearchText=105M+high-speed+ADC+module&blanktest=0&isAfChannel=y) Кстати для чего на плате еще место под нераспаянный трансформатор? чтобы входное сопротивление согласовывать с антенной -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 364] Автор : EU1SW Дата : 12.01.2017 16:10 Кстати для чего на плате еще место под нераспаянный трансформатор? Ну я ж писал, в первом же посте... ОУ выпаян, впаян трансформатор. :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 365] Автор : M0TLN Дата : 15.01.2017 19:08 По теме: не находили ли вы что то вроде txDAC на плате на али? http://www.aliexpress.com/item/DAC-DA-module-14-high-speed-DAC-module-DAC904-highest-conversion-speed-165MSPS/32734709530.html?spm=2114.13010208.99999999.262.TKVKTS - оно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 366] Автор : romanetz Дата : 15.01.2017 19:35 Ага, оно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 367] Автор : RK4CI Дата : 17.01.2017 16:38 Интересно, а модуля валкодера, для управления СДР, на Али никому не попадалось? Может в теме уже и было, но что то сходу не нашёл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 368] Автор : UA4WAQ Дата : 17.01.2017 19:11 Плата своя, АЦП тот же. можете плату выложить ? Добавлено через 16 минут(ы): Кто-нибудь успешно уже повторил проект с самодельной платой АЦП ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 369] Автор : labuda51 Дата : 17.01.2017 19:58 Выпаял ethernet транс но нет шнура-Грипп.Добавить бы ещё какой-нибудь блок вывода и забыть об этом.Провода всё портят.Так и не подключил.А плату с AD9283 запитаю через диод от платы FPGA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 370] Автор : UA4WAQ Дата : 17.01.2017 21:38 Выпаял ethernet транс но нет шнура-Грипп.Добавить бы ещё какой-нибудь блок вывода и забыть об этом.Провода всё портят.Так и не подключил.А плату с AD9283 запитаю через диод от платы FPGA. Не забывай, что она питается 3.3,и о том, что нужно ставить дроссели по AVDD и DVDD цепям питания АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 371] Автор : labuda51 Дата : 17.01.2017 22:20 Включил напрямую от 3.3 вольт без ПК вроде ничего не сгорело-а может и уже.Через диод будет 2.7 вольта.Может только DVDD.Дроссели 2.7 мкгн-какие были-в воздухе поменять всегда можно.Но лучше сконфигурировать выводы под 3.3 в.А вывод надо однозначно делать железный.FPGA как бы должна освободится-как не специалист утверждать не могу.Но из трёх плат уже вырисовывается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 372] Автор : EU1SW Дата : 17.01.2017 23:25 А вывод надо однозначно делать железный.FPGA как бы должна освободится-как не специалист утверждать не могу. Утверждать не можете, но вывод однозначный... Сделайте такой, какой Вам лучше, нет преград совершенству! Идея как сделать лучше у Вас уже есть, исходные тексты выложены, творите! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 373] Автор : labuda51 Дата : 17.01.2017 23:49 Сначала с тем что есть надо включится.Плату FPGA уже не поменяешь.Плата выхода сама прирастёт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 374] Автор : EU1SW Дата : 17.01.2017 23:57 Как прирастет, поделитесь пожалуйста результатом. Я думаю это всем будет полезно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 375] Автор : labuda51 Дата : 18.01.2017 00:01 Самому мне её не прикрутить но кто-то пойдёт и этим путём.Наверно поделится результатом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 376] Автор : EU1SW Дата : 18.01.2017 00:08 А Вы несомненно знаете толк в мотивации авторов к модификациям проектов под индивидуальные запросы пользователей... ((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 377] Автор : labuda51 Дата : 18.01.2017 00:14 EU1SW.Ну не спорить же мне или что-то доказывать.Без всяких задних мыслей.Просто говорю что хотелось бы.И потом-где ещё спросить?Таких знакомых нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 378] Автор : EU1SW Дата : 18.01.2017 00:25 да какие уж тут задние мысли могут быть, или что бы тут можно было бы доказывать? Все ж понятно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 379] Автор : romanetz Дата : 18.01.2017 05:42 Плату выложу на выходных -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 380] Автор : UA4WAQ Дата : 18.01.2017 08:07 Плату выложу на выходных Спасибо.Только не понятно, вы их запустили. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 381] Автор : UA4WAQ Дата : 18.01.2017 21:45 Есть смысл диф. тактирование на АЦП делать? И как лучше распределять клок - от ВЧ генератора на 125 МГц запустить АЦП и подать его же на плисину или умножать генератор на 12,288 фапчем в плиске? Что сетка частот дискретизации будет разная это понятно, учту в ExtIO.dll. Интересны -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 382] Автор : UA4WAQ Дата : 18.01.2017 23:00 Есть смысл диф. тактирование на АЦП делать? И как лучше распределять клок - от ВЧ генератора на 125 МГц запустить АЦП и подать его же на плисину или умножать генератор на 12,288 фапчем в плиске? Что сетка частот дискретизации будет разная это понятно, учту в ExtIO.dll Интересный вы вопрос подняли. Почитал датиш на AD6645 и AN-501( между строк, да и схемотехник из меня не очень), много нового для себя узнал. Как я понял, основная проблема в том, что фпга добавляет джиттер в фазу, что отрицательно сказывается на точности аналого-цифрового преобразования, это в конечном счете, отрицательно влияет на шумовую дорожку. Плюс сюда добавляется низкая стабильность по pps генератора, пока он не прогрелся, хотя меня это не сильно волнует. Отсюда возникли вопросы: 1. завелся ли у вас ацп на 125Мгц? 2. Что нужно поправить в ExtIO.dll для того, что бы у меня было тактирование АЦП на 122.88Мгц(хочу применить vcxo c pecl выходом) ? 3. fir и прочее рассчитано на 96Мгц, их надо править или можно только ExtIO.dll поправить ? PS в кто-нибудь может объяснить по картинкам, каково будет влияние плохого джиттера фпга на интересующих нас частотах ? 253494253495 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 383] Автор : UR3IQO Дата : 19.01.2017 14:47 Как я понял, основная проблема в том, что фпга добавляет джиттер в фазу, что отрицательно сказывается на точности аналого-цифрового преобразования, это в конечном счете, отрицательно влияет на шумовую дорожку. В бОльшей степени джиттер тактового сигнала будет влиять на SNR при наличии мощных сигналов на входе - т.е. эффект от тактового сигнала с большим джиттером будет аналогичен эффекту от шумного синтезатора/гетеродина в классическом радио. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 384] Автор : Nicky Дата : 19.01.2017 18:15 1. завелся ли у вас ацп на 125Мгц? 2. Что нужно поправить в ExtIO.dll для того, что бы у меня было тактирование АЦП на 122.88Мгц(хочу применить vcxo c pecl выходом) ? 3. fir и прочее рассчитано на 96Мгц, их надо править или можно только ExtIO.dll поправить ? При частоте 122,88 МГц - децимацию cic фильтра нужно установить 64 вместо 50, при этом ExtIO.dll править не надо. При частоте 125 МГц нужно поменять коэффициент децимации cic фильтра и ExtIO.dll. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 385] Автор : EU1SW Дата : 19.01.2017 22:25 Dll нужно править во всех случаях, иначе будет неправильный код частоты отправляться приемнику. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 386] Автор : Nicky Дата : 19.01.2017 22:48 При частоте 96 МГц после cic фильтра с децимацией 50 получаем: 96000000 / 50 = 1920000. При частоте 122,88 МГц после cic фильтра с децимацией 64 получаем: 122880000 / 64 = 1920000. Соответственно, последующие memcic и fir фильтры будут работать на той же частоте стробов и выходная частота отсчетов не изменится. Только тактовую частоту действительно нужно будет поправить в DLL. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 387] Автор : RA3APW Дата : 20.01.2017 12:04 PS в кто-нибудь может объяснить по картинкам, каково будет влияние плохого джиттера фпга на интересующих нас частотах ? 253494253495 Хороший вопрос. Влияние большого джиттера (фазового шума) однозначно негативно отразится на SNR принимаемого сигнала. При этом преимущество использования 16-ти битного АЦП может быть потеряно. При принятии решения какой тактовый сигнал использовать надо сравнивать уровень фазового шума обоих решений. Например, при выборе из двух вариантов 1) тактовый сигнал от FPGA, полученный PLL умножением и 2) сигнал с выхода CXO (или OCXO) выбор однозначно будет в пользу CXO (OCXO). Так, если обратиться к таблице (сообщение 382), то типичный джиттер с FPGA будет от 33 до 50 пс. Типичный джиттер для не самого лучшего OCXO будет меньше 0.2 пс. Теперь если обратиться к первому графику, то видно, что при входной частоте принимаемого сигнала 30 МГц уровень SNR принимаемого сигнала теоретически может быть более 90 дБ для CXO(OCXO). Для той же входной частоты при использовании FPGA уровень SNR принимаемого сигнала будет существенно менее 60 дБ !!! То есть имея в «железе» 16-ти битный АЦП мы плохим сигналом тактового генератора низводим дорогостоящий АЦП ниже уровня 10-ти битного АЦП. Так как джиттер и фазовый шум величины коррелируемые, то можно оперировать обоими значениями при выборе опорных источников. Однако измерять удобнее фазовый шум источника. Если принимаемый сигнал является узкополосным (например, WSPR с девиацией 1.46 Гц), то встает дополнительное требование к стабильности (а иногда и точности частоты) опорного генератора. Для этих целей желательно использовать вариант внешнего опорного генератора, в том числе с синхронизацией по GPS (GPSDO – GPS disciplined oscillator). Как вариант – использование приемников GPS с внутренним TCXO на частоту 30.72МГц и получение частоты 122.88 МГц умножением PLL в 4 раза с узким фильтром. Испытывал вариант такого приемника uBlox LEA-M8F – впечатления очень положительные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 388] Автор : Genadi Zawidowski Дата : 20.01.2017 12:23 Карен, а как Вы оцениваете такую структуру: 1PPS поступает на FPGA и тактирует что-то вроде частотомера - например с восьмисекундным окном измеряется частота генератора. Полученное значение используется в математике для расчета управляющих слов для NCO в приемнике. Таким образом, раз в десяток секунд (например) корректируем настройку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 389] Автор : RA3APW Дата : 20.01.2017 13:47 Карен, а как Вы оцениваете такую структуру: 1PPS поступает на FPGA и тактирует что-то вроде частотомера - например с восьмисекундным окном измеряется частота генератора. Полученное значение используется в математике для расчета управляющих слов для NCO в приемнике. Таким образом, раз в десяток секунд (например) корректируем настройку. Геннадий, идея понятна, но есть у меня сомнения (или как говорит мой друг - "Мухтар сомневается") в том, что это решит вопрос с уровнем фазовых шумов - именно из-за идеологии построения NCO. Эти сомнения основываются на личном не богатом опыте общения с NCO именно на предмет джиттера/фазового шума. Однако мы имеем мощный инструментарий - возможность проведения измерений ФШ и в моем и в Вашем вариантах. Какой уровень ФШ я могу получить от разных опорников VCXO (OCXO) на 122.88 МГц я знаю. Давайте сравним полученный Вами результат с NCO FPGA и на основе этих данных сделаем однозначный вывод. И еще одна вещь. При гонке по минимизации ФШ опорного/тактового сигнала следует все же разумно определить "а какой реально минимальный уровень ФШ тактового сигнала на самом деле нужен для разных условий эксплуатации". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 390] Автор : Genadi Zawidowski Дата : 20.01.2017 14:01 Так сам TCXO е подстраивается, происходящее похоже на ситуацию, когда раз в десяток секунд (раз в минуту) оператор на доли герца подстраивает частоту настройки трансивера. А от NCO в структуре duc/ddc не убежать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 391] Автор : EU1SW Дата : 20.01.2017 14:40 Уффф... джиттер в 30-50 пс это конечно скверно... быстрое гугление на предмет поиска типичных показателей производительности альтеровских PLL пока не дало ничего путного, я конечно знаю, что фапч добавляет шумов, но не настолько же ( есть у меня синтез на си570, быстро глянул даташит, у него заявлено 0,3-0,5 пс и судя по всему самое время для натурного эксперимента ) предполагаемая разница в 100 раз просто обязана проявиться ну и для чистоты эксперимента попробовать задрать разрядность NCO заведомо в космос, что бы не путался своими шумами -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 392] Автор : romanetz Дата : 20.01.2017 14:57 У самого АЦП джиттер заявляют 0,1 пс. Поэтому есть смысл озадачиться качественным тактовым генератором. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 393] Автор : EU1SW Дата : 20.01.2017 15:18 Это безусловно, единственный не закрытый на текущий момент вопрос, требующий натурного моделирования, вытащит ли 6645 клок в 122,88 МГц... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 394] Автор : UA4WAQ Дата : 20.01.2017 16:11 Демоборд АЦП, копия оригинальной платы от Аналог Девайсез c AD6645, 14-ти битный, 105 MГц, немного доработана - вместо дифференциального ОУ впаян трансформатор, значительно понизило шумовую дорожку. Вот еще один интересный момент, по которому мне удалось найти информацию(КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2008). Так что можно попробовать сделать этот фильтр и не тратить трансформатор : "Рассмотрим для примера типичный усили-тель ADA4937, включенный с коэффициен-том усиления G = 1. Шумы на его выходе навысоких частотах имеют спектральную плотность 6 нВ/√Гц, сравнимую со входной спектральной плотностью шумов 10 нВ/√Гц у 80-мегагерцового АЦП AD9446-80. Проблема здесь заключается в том, что усилитель имеет полосу шумов, эквивалентную полной полосе АЦП (около 500 МГц), в то время как шумы АЦП укладываются в зону Найквиста (40 МГц). Без применения фильтра интегральный шум составляет 155 мкВ rms для усилителя и 90 мкВ rms для АЦП. Теоретически, это ухудшает общее соотношение «сигнал-шум» в системе (SNR) на 6 дБ. Это было подтверждено экспериментально: соотношение «сигнал-шум», которое дают совместно усилитель ADA4397 и АЦП AD9446-80, составляет 76 дБ полной шкалы, а уровень шумовой дорожки равен –118 дБ (рис. 14). При использовании трансформатора SNR равно 82 дБ полной шкалы. Таким образом, согласующий усилитель ухудшает соотношение «сигнал-шум» на 6 дБ.Чтобы улучшить соотношение «сигналшум», между усилителем и АЦП вставляется фильтр. При использовании 100-мегагерцового фильтра второго порядка интегральный шум усилителя доходит до 71 мкВ rms, ухудшая SNR АЦП только на 3 дБ. Использование фильтра второго порядка улучшает характеристику SNR, показанную на рис. 14,до 79 дБ полной шкалы при шумовой дорожке –121 дБ, как показано на рис. 15. Этотфильтр состоит из резисторов с сопротивлением 24 Ом и индуктивностей 30 нГн, соединенных последовательно с каждым выходом усилителя, а также дифференциально подключенного конденсатора емкостью 47 пФ (рис. 16). 253608253609 Добавлено через 35 минут(ы): У самого АЦП джиттер заявляют 0,1 пс. Поэтому есть смысл озадачиться качественным тактовым генератором. я вот такой планирую 253612 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 395] Автор : EU1SW Дата : 20.01.2017 16:16 тут уже каждый сам определяет степень и глубину ) На мой взгляд ОУ имеет смысл при наличие усиления. а при G=1 (а особенно если учесть, что в уме держим возможность использовать 2-3 зоны Найквиста) трансформатору нет равных З.Ы. хочу спросить, полностью автономный приемник АМ на базе текущего железа с однострочным ЖКИ для индикации частоты будет интересен кому нибудь? вывод аудио дельта-сигма, внешний цап не нужен, или сразу Уивера реализовать для SSB? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 396] Автор : Genadi Zawidowski Дата : 20.01.2017 16:21 Сергей, та ару, что легко сделать для Ам, для ssb будет не очень хороша. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 397] Автор : EU1SW Дата : 20.01.2017 16:26 Геннадий, я догадываюсь, но пока только ручная регулировка, как сделать хорошо работающий автомать АРУ на ПЛИС до меня пока не доходит ) примерно так, не особо затейливо ) SQRT(I^2+Q^2) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 398] Автор : Genadi Zawidowski Дата : 20.01.2017 16:32 постоянную составляющую оставляешь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 399] Автор : EU1SW Дата : 20.01.2017 16:35 упс, слона не заметил ) надо доработать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 400] Автор : UA4WAQ Дата : 20.01.2017 16:46 З.Ы. хочу спросить, полностью автономный приемник АМ на базе текущего железа с однострочным ЖКИ для индикации частоты будет интересен кому нибудь? вывод аудио дельта-сигма, внешний цап не нужен, или сразу Уивера реализовать для SSB? лучше и то, и то)) но я бы приоритет отдал ссб, так как АМ есть на многих бытовых радиоприемниках. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 401] Автор : EU1SW Дата : 20.01.2017 17:02 я б даже еще и ЧМ впихнул, если б она безразмерная была ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 402] Автор : RA3APW Дата : 20.01.2017 17:02 Так сам TCXO е подстраивается, происходящее похоже на ситуацию, когда раз в десяток секунд (раз в минуту) оператор на доли герца подстраивает частоту настройки трансивера. А от NCO в структуре duc/ddc не убежать. Все правильно, от NCO в данном варианте DUC/DDC не убежать. Предложенный Вами вариант действительно должен уменьшить ФШ NCO. Однако вопрос был как правильно тактировать АЦП, чтобы не деградировал SNR приемного сигнала. На мой взгляд, все же, тактовый сигнал на АЦП следует брать с отдельного малошумящего опорного генератора, а не с такого модифицированного NCO FPGA именно потому, что разница в ФШ все равно будет большой и не в пользу NCO. Будете готовы - давайте измерим. Всем интересующимся этой темой наверное будет интересно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 403] Автор : EU1SW Дата : 20.01.2017 17:16 Карен, доброго дня! наверное просто путаница немного произошла, поэтому смешались люди и кони NCO - это сущность в недрах ПЛИС, она не относится к ФАПЧ и тактировать ЦАП не может, наоборот, она сама тактируется от того же генератора, что и АЦП, в роли которого может быть либо кварцевый, либо кварцевый+ФАПЧ а Геннадий говорил не за уменьшение шумов, а за частотную компенсацию погрешности опоры по сигналам GPS т.е. измерять реальную частоту опорного, тактируясь по секундному импульсу от GPS, и на ходу корректировать число, записанное в аккумуляторе фазы NCO, выбирая разницу между реально измеренным значением тактовой частоты опорного генератора и той величиной, которая используется в расчете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 404] Автор : UA4WAQ Дата : 20.01.2017 17:16 На мой взгляд, все же, тактовый сигнал на АЦП следует брать с отдельного малошумящего опорного генератора, а не с такого модифицированного NCO FPGA именно потому, что разница в ФШ все равно будет большой и не в пользу NCO. А можно АЦП и фпга тактировать от разных генераторов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 405] Автор : EU1SW Дата : 20.01.2017 17:30 народ, не в ту степь, надо внимательно разобраться с дефинициями а то бредогон уже начался ( А можно АЦП и фпга тактировать от разных генераторов? можно, только в данном случае в этом совершенно нет необходимости -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 406] Автор : RA3APW Дата : 20.01.2017 17:33 Карен, доброго дня! наверное просто путаница немного произошла, поэтому смешались люди и кони NCO - это сущность в недрах ПЛИС, она не относится к ФАПЧ и тактировать ЦАП не может, наоборот, она сама тактируется от того же генератора, что и АЦП, в роли которого может быть либо кварцевый, либо кварцевый+ФАПЧ а Геннадий говорил не за уменьшение шумов, а за частотную компенсацию погрешности опоры по сигналам GPS т.е. измерять реальную частоту опорного, тактируясь по секундному импульсу от GPS, и на ходу корректировать число, записанное в аккумуляторе фазы NCO, выбирая разницу между реально измеренным значением тактовой частоты опорного генератора и той величиной, которая используется в расчете. День добрый, Сергей. Благодарю за разъяснения и эту тему. У меня был опыт с устройством, где NCO заменял TCXO, был внутри ФАПЧ и имел отдельный выход. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 407] Автор : EU1SW Дата : 20.01.2017 18:03 Да, возможно и такое построение в каких то случаях. В SDR немного по другому, АЦП и NCO тактируются синхронно и NCO выступает в роли гетеродина для переноса желаемого участка спектра на нулевую ПЧ. Т.е. снаружи в него загружается код и приходит тактовая, дальше уже фильтры и прочая требуха. Можно конечно вывести наружу генерируемые им функции sin и cos, ведь по сути это просто вычислительное ядро DDS, только это, в данном случае, нам никак не поможет убрать грязь из сигнала опоры... Я, в данной конструкции, за неимением в наличии кварцевого генератора на нужную частоту, использовал ФАПЧ ПЛИС для формирования опоры. И, как видите, "немного" не учел результирующего фазового шума, выдаваемого этой связкой. И в скором времени планирую экспериментально убедиться, заменив ФАПЧ ПЛИС на Si570, затактировав от него всю конструкцию. В любом случае качественный исход эксперимента предсказуем, хочу лишь численно прикинуть каков на самом деле джиттер на выходе ФАПЧ ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 408] Автор : Genadi Zawidowski Дата : 20.01.2017 22:17 В первых версиях своих аппаратов я транзитом пропускал через FPGA тактовый сигнал для АЦП (использовал дифференциальный выход) - не пропуская его ни через какие PLL. Изменение чувствительности ничего катастрофического не выявило. Цифры о джиттере на LVDS в даташите на четвертый циклон даны для работы в составе serdes - когда тактирование от ФАПЯ обязательно. Так мне показалось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 409] Автор : EU1SW Дата : 20.01.2017 22:33 Тут основное проявление бяки будет в том, что полка начнет расти задолго до перегрузки АЦП при наличие мощного сигнала за полосой дециматора, а если сигнал в полосе, то он будет "уширяться" ) Но, блин, 30-50 пс... Откуда эта цифра вообще появилась, вот вопрос. Источник бы увидеть, и в каком контексте. Приемник то работает, а по идее, с таким джиттером, не должен ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 410] Автор : UA4WAQ Дата : 20.01.2017 22:50 Тут основное проявление бяки будет в том, что полка начнет расти задолго до перегрузки АЦП при наличие мощного сигнала за полосой дециматора, а если сигнал в полосе, то он будет "уширяться" ) Но, блин, 30-50 пс... Откуда эта цифра вообще появилась, вот вопрос. Источник бы увидеть, и в каком контексте. Приемник то работает, а по идее, с таким джиттером, не должен ) http://www.analog.com/media/en/technical-documentation/application-notes/AN-501.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 411] Автор : EU1SW Дата : 21.01.2017 06:18 Да, спасибо. Геннадий как раз в точку... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 412] Автор : UA4WAQ Дата : 21.01.2017 07:46 В первых версиях своих аппаратов я транзитом пропускал через FPGA тактовый сигнал для АЦП (использовал дифференциальный выход) - не пропуская его ни через какие PLL. Изменение чувствительности ничего катастрофического не выявило. Цифры о джиттере на LVDS в даташите на четвертый циклон даны для работы в составе serdes - когда тактирование от ФАПЯ обязательно. Так мне показалось. тоже была такая мысль - использовать lvds. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 413] Автор : EU1SW Дата : 21.01.2017 16:26 коллеги, оно так и стоит, или что можно было б брать несколько попроще и подешевле? ) https://ru.aliexpress.com/item/1-pcs-x-CVHD-950-122-880-VCXO-Oscillators-Crystals-and-Oscillators-CVHD-950-122-88/32343454293.html (https://ru.aliexpress.com/item/1-pcs-x-CVHD-950-122-880-VCXO-Oscillators-Crystals-and-Oscillators-CVHD-950-122-88/32343454293.html?spm=2114.03010208.3.1.GVPoWg&ws_ab_test=searchweb0_0,searchweb201602_3_10065_10068_10000032_10000025_10000029_430_10000028_10060_10062_10056_10055_10054_10059_10099_10000022_10000012_10103_10102_10000015_10096_10000018_10000019_10052_10108_10053_10107_10050_10106_10051_10117_10084_10083_10080_10082_10081_10110_10111_10112_10113_10114_10115_10000041_10000044_10078_10079_10000038_10073_429_10000035,searchweb201603_9,afswitch_2,single_sort_2_default&btsid=194b82f1-a1ea-4e7b-b0f6-a11dbd54744a)https://ru.aliexpress.com/item/NV7050SA-122-88MHZ-NV7050-122-88-M-voltage-controlled-crystal-vibration-122-88-MHZ-vcxo-crystals/32740558925.html (https://ru.aliexpress.com/item/NV7050SA-122-88MHZ-NV7050-122-88-M-voltage-controlled-crystal-vibration-122-88-MHZ-vcxo-crystals/32740558925.html?spm=2114.03010208.3.1.RYeWPM&ws_ab_test=searchweb0_0,searchweb201602_3_10065_10068_10000032_10000025_10000029_430_10000028_10060_10062_10056_10055_10054_10059_10099_10000022_10000012_10103_10102_10000015_10096_10000018_10000019_10052_10108_10053_10107_10050_10106_10051_10117_10084_10083_10080_10082_10081_10110_10111_10112_10113_10114_10115_10000041_10000044_10078_10079_10000038_10073_429_10000035,searchweb201603_9,afswitch_2,single_sort_2_default&btsid=4e66ad17-bc7e-4844-a889-f0cc92bdcd2d) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 414] Автор : SVd2004 Дата : 21.01.2017 17:15 или что можно было б брать несколько попроще и подешевле? ) 253746 К сожалению, этот товар теперь недоступен! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 415] Автор : EU1SW Дата : 21.01.2017 17:23 ну что ж тут поделать, быстро раскупают, видимо... дело не в конкретном товаре, а приведено для примера -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 416] Автор : romanetz Дата : 21.01.2017 17:57 На 19й странице даташита AD6645 графики деградации SNR от джиттера тактовой частоты. Для КВ сигналов влияние почти незаметно, а для сигналов во 2-3 зоне Найквиста - крайне критично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 417] Автор : US8IDZ Дата : 21.01.2017 23:32 коллеги, оно так и стоит, или что можно было б брать несколько попроще и подешевле? ) https://ru.aliexpress.com/item/1-pcs-x-CVHD-950-122-880-VCXO-Oscillators-Crystals-and-Oscillators-CVHD-950-122-88/32343454293.html (https://ru.aliexpress.com/item/1-pcs-x-CVHD-950-122-880-VCXO-Oscillators-Crystals-and-Oscillators-CVHD-950-122-88/32343454293.html?spm=2114.03010208.3.1.GVPoWg&ws_ab_test=searchweb0_0,searchweb201602_3_10065_10068_10000032_10000025_10000029_430_10000028_10060_10062_10056_10055_10054_10059_10099_10000022_10000012_10103_10102_10000015_10096_10000018_10000019_10052_10108_10053_10107_10050_10106_10051_10117_10084_10083_10080_10082_10081_10110_10111_10112_10113_10114_10115_10000041_10000044_10078_10079_10000038_10073_429_10000035,searchweb201603_9,afswitch_2,single_sort_2_default&btsid=194b82f1-a1ea-4e7b-b0f6-a11dbd54744a)https://ru.aliexpress.com/item/NV7050SA-122-88MHZ-NV7050-122-88-M-voltage-controlled-crystal-vibration-122-88-MHZ-vcxo-crystals/32740558925.html (https://ru.aliexpress.com/item/NV7050SA-122-88MHZ-NV7050-122-88-M-voltage-controlled-crystal-vibration-122-88-MHZ-vcxo-crystals/32740558925.html?spm=2114.03010208.3.1.RYeWPM&ws_ab_test=searchweb0_0,searchweb201602_3_10065_10068_10000032_10000025_10000029_430_10000028_10060_10062_10056_10055_10054_10059_10099_10000022_10000012_10103_10102_10000015_10096_10000018_10000019_10052_10108_10053_10107_10050_10106_10051_10117_10084_10083_10080_10082_10081_10110_10111_10112_10113_10114_10115_10000041_10000044_10078_10079_10000038_10073_429_10000035,searchweb201603_9,afswitch_2,single_sort_2_default&btsid=4e66ad17-bc7e-4844-a889-f0cc92bdcd2d) китайцы лупят две с лишним цены http://pl.mouser.com/ProductDetail/Crystek/CVHD-950-122880/?qs=%2fha2pyFaduhfa5gicgW36r%2f6G2AdVUt%252bXhthQBoNJnwMsnoto8jGHg== брал на Украине за те же деньги не один а два и это даже с переплатой за "труды", но в упаковке от Маузера и без ожидания месяцами из солнечного Китая http://www.cqham.ru/forum/showthread.php?34155-%D2%F0%E0%ED%F1%E8%E2%E5%F0-_HERMES-_%F1%E2%EE%E8%EC%E8-%F0%F3%EA%E0%EC%E8&p=1310666#post1310666 http://www.cqham.ru/forum/attachment.php?attachmentid=244924&d=1476095994 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 418] Автор : UT0UM Дата : 21.01.2017 23:45 брал на Украине за те же деньги не один а два и это даже с переплатой за "труды", но в упаковке от Маузера и без ожидания месяцами из солнечного Китая а почему же сейчас дороже чем в Китае? (http://evocom.ua/rus/eshop/view/79661?) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 419] Автор : EU1SW Дата : 22.01.2017 10:33 19 ойро нифига не дороже чем 45 баксов... Тут без вариантов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 420] Автор : US8IDZ Дата : 22.01.2017 11:08 а почему же сейчас дороже чем в Китае? (http://evocom.ua/rus/eshop/view/79661?) Обшыбаетесь. Дишэвшэ и теперя http://evocom.ua/rus/eshop/view/375363? , хотя цена подросла. Надо просто правильно искать, а не кидаться на первое попавшееся предложение сетей расставленных для лохов.:crazy: Меньше народу стало заказывать через них у Маузера в связи с "покращенням" жизни, ростом курса доллара и доступностью на свалках "отечественной" элементной базы на китайских материнских платах, вот потому и стоимость доставки раскидывают на меньшее количество людей. Ничего ж не препятствует кооперации и вступлению в сговор с радиолюбительской общественностью, в том числе и в ЕС, которая чтит европейские ценности и Европейскую конвенцию защиты прав человека и основных свобод, для закупки крупной партии у Маузера. Уже при заказе 6-ти генераторов стоимость одного составит 18 баксов, http://s019.radikal.ru/i600/1701/0f/6c519a78a5e1.jpg а при заказе 50-ти - выльется 14 баксов за штуку 253844 В РФ можно через аналогичную фирму http://pmelectronics.ru/kak-kupit.html заказать, но цена почему-то там и в Маузере для РФ дискриминационная - на 10 баксов дороже за штуку. Видать в Маузере не читали ст.14 своей Европейской конвенции о защите прав человека и основных свобод, запрещающую дискриминацию по любым основаниям и под любым соусом, даже под соусом тройных стандартов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 421] Автор : SVd2004 Дата : 22.01.2017 11:29 У Маузера, для бесплатной доставки, нужно набрать товара на 50 евро. Иначе, + дорогая доставка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 422] Автор : romanetz Дата : 22.01.2017 11:55 У ПМ тоже, на 4 тысячи. Или с кем-то из Питера договариваться, чтобы почтой переслали. Плату обещанную сегодня не выложу, в командировку отправился на неделю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 423] Автор : EU1SW Дата : 22.01.2017 12:14 насколько я помню, там ключевое было не сама плата, как самоцель, а работает ли оно в целом на самодельной плате ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 424] Автор : US8IDZ Дата : 22.01.2017 12:53 У Маузера, для бесплатной доставки, нужно набрать товара на 50 евро. Иначе, + дорогая доставка. поэтому меньше шести генераторов и не выгодно брать - иначе за один выйдет те же сто баксов, что и шесть генераторов оптом кооперируется же здесь народ при заказе печатных плат и т.п., и я бы вступил в такой кооператив со своим паем Хотя если покупатель не в ЕС, то по любому за доставку надо платить 30 баксов даже при заказе 50 и более штук. тут надо товарисщ из ЕС чтобы обойти эту дискриминацию с сегрегацией -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 425] Автор : labuda51 Дата : 22.01.2017 13:08 В ЧиД какие-то есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 426] Автор : UA4WAQ Дата : 22.01.2017 15:32 насколько я помню, там ключевое было не сама плата, как самоцель, а работает ли оно в целом на самодельной плате ) Есть подозрение, что на 2 сторонней ПП ничего хорошего не выйдет, ибо зачем ad лепят даже под 8 битные ацп 4 слоя ? Плату обещанную сегодня не выложу, в командировку отправился на неделю. печаль, жду -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 427] Автор : EU1SW Дата : 22.01.2017 16:53 ибо зачем ad лепят даже под 8 битные ацп 4 слоя ? унификация -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 428] Автор : UA4WAQ Дата : 22.01.2017 20:29 Я тут озадачился расчетом согласования входа АЦП и трансформатора, в датише на ad9283, есть только две цифры 10кОм, 2пФ . Но не понятно, на какой частоте они это намеряли ? Как я понимаю, нужны S-параметры(и для ad6645 тоже хотелось бы), но на сайте AD, я их не нашел. Может кто подскажет где достать их, или как померить подручными методами (ну нету и меня векторного анализатора) ? Есть антенный анализатор, но он до 500Ом, активного сопротивления, может как-то можно его согласовать ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 429] Автор : EU1SW Дата : 22.01.2017 20:50 Я тут озадачился все равно в итоге все выродится в резистор 50 ом и трансформатор 1:4 или 1:16 по сопротивлению :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 430] Автор : rz3qs Дата : 22.01.2017 21:06 все равно в итоге все выродится в резистор 50 ом и трансформатор 1:4 или 1:16 по сопротивлению А если еще надежней, теряем не очень, то драйвер перед АЦП, максималисты могут выжимать все, но, есть процесс получения максимума, а есть процесс получения удовольствия от надежно работающей конструкции многие годы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 431] Автор : EU1SW Дата : 22.01.2017 21:17 а я от другого удовольствие получаю, а не от морально устаревших за многие годы железяк ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 432] Автор : rz3qs Дата : 22.01.2017 21:47 а я от другого удовольствие получаю, а не от морально устаревших за многие годы железяк Т.е. железо, с предложенными возможностями, заставить работать на себя и получать от этого жизненный тонус... да, чтоб увеличить удовольствие, нужно с ним поделиться, даже бесплатно. Извиняйте за OFF. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 433] Автор : UA4WAQ Дата : 22.01.2017 23:08 все равно в итоге все выродится в резистор 50 ом и трансформатор 1:4 или 1:16 по сопротивлению :-P Почему только один трансформатор ? И два ставят...Да и график входного сопротивления АЦП - кривулька, причем и у AD6645 тоже, плюс сопротивление транса гуляет в зависимости от частоты. Да и бусинки ставят ( на схеме последовательно с конденсатором) 253935253938253950 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 434] Автор : EU1SW Дата : 23.01.2017 07:31 Ну 10 нанизайте... Почему всех так тянет наоффтопить и порешать свои проблемы в смежных областях, но никто ничего не выкладывает по теме собственно приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 435] Автор : UA4WAQ Дата : 23.01.2017 10:21 Мне пока нечего выкладывать, микруха ацп AD6645 в пути. Как правильно согласовать имеющуюся ad9283, с имеющимся трансом ADT4-6WT я не знаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 436] Автор : EU1SW Дата : 23.01.2017 10:54 Вы не подумайте , что я Вас поучаю, но правильно б было учиться схемотехнике в отведенных разделах, там и публика соответствующая. Я вам рассказал как я сделал. Вас что то не устроило ни в моих словах, ни в даташите на АЦП. Дальнейшее углубление Ваших познаний в частном случае изготовления индивидуальной авторской версии восьмислойной платы восьмибитного АЦП желательно осуществлять в соответствующем разделе. Потом, при желании можете продемонстрировать получившиеся результаты здесь и поделиться с общественностью. Этими Вы безусловно поможете проекту. Добавлено через 25 минут(ы): Обратите внимание на ссылку из первого поста на статью на хабре. Автор использовал двухстороннюю плату и все работало. По моему мнению так и должно быть. Для 14 битных образцы дизайна ПП и реализации входных цепей есть в даташитах, да и в существующих конструкциях можно найти кучу подсказок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 437] Автор : UA4WAQ Дата : 23.01.2017 11:40 Сергей, дело ведь не в том, что я не верю вашим словам, а в том, что помимо транса, есть ещё другие элементы согласования, которые нужно правильно рассчитать для оптимального согласования. Даже если не считать, то смоделировать, или просто осознано выбрать, этого пока я сделать сам пока не могу. В датишах в моем случаи стоит транс 1:1, я же хочу поставить 4:1. Я смотрел датиши на ад6645, на 9283, 9224, конструкции minor, hiqsdr, hermes и другие и меня остались вопросы. PS Все что я здесь обсуждаю, работает с вашей программой в fpga, и работает одинаково на АЦП любой битности. Касательно статьи с хабра, вы посмотрите на то, где находится шумовая дорожка и как вообще она выглядит(завалы по краям). У меня есть желание сделать более грамотно, чем автор. Не хотите обсуждать схемотехнику, ок, пойду в другую ветку. 253984 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 438] Автор : EU1SW Дата : 23.01.2017 11:59 Вот в том то и дело, что я не хочу обсуждать на уровне развенчания индивидуального элементарного незнания и частные случаи. Хотите сделать лучше - делайте, адекватно оценивайте собственные возможности и делайте согласно им. А я позволю себе последний комментарий относительно данного вопроса. Первое, я уверен, что автор статьи не калибровал софт, поэтому абсолютные цифры возле шумовой дорожки не имеют никакого смысла, и по ним нельзя сделать никакого вывода, от слова вообще. И второе, завалы на краях панорамы совершенно не связаны с дизайном АЦП, а есть лишь сумма переходных характеристик cic и fir фильтров, использованных автором, и не более того. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 439] Автор : M0TLN Дата : 23.01.2017 18:24 Сергей. А вы проект с передачей тоже выкладывали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 440] Автор : EU1SW Дата : 23.01.2017 18:32 В самом проекте уже есть модули необходимые для реализации передачи. Просто я не выводил их на внешние пины, поэтому квартус их не синтезирует. Я еще окончательно не определился со способом передачи квадратур из компьютера в устройство. Пробую разные варианты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 441] Автор : romanetz Дата : 23.01.2017 19:20 Так же UDP-пакеты, но на другой порт, чем плохо? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 442] Автор : EU1SW Дата : 23.01.2017 19:58 всем хорошо! единственное в этом плохое - что это только всего лишь слова Добавлено через 6 минут(ы): напишите extio.dll при загрузке которой HDSDR разблокирует кнопку TX и отправляющую пакеты с квадратурами в любой порт, и в этот же день я дам вам передачу ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 443] Автор : romanetz Дата : 23.01.2017 20:08 В совсем свежей версии как раз есть какие-то подвижки на эту тему http://www.hdsdr.de/wnew.html Надо посмотреть... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 444] Автор : EU1SW Дата : 23.01.2017 20:17 Без проблем, смотрите... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 445] Автор : romanetz Дата : 23.01.2017 20:44 // generation and transmission of I/Q samples for TX mode requires activation of the ExtIO DLL // contact LC at hdsdr.de to ask for activation keys and algorithm typedef int (__stdcall * pfnActivateTx) (int magicA, int magicB); может попробовать им написать? т.е. сначала по-хорошему... а потом, как всегда, ректально -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 446] Автор : EU1SW Дата : 23.01.2017 21:11 Уже обсуждали пару недель назад, и отписывались коллеги, уже пытавшиеся пройти этот квест, пока что результат отрицательный... Поэтому вариантов интерфейсов масса, всех посмотреть надо время. Недавно еще появился один возможных вариантов, причем для него как раз таки есть рабочая длл с активной передачей, это использовать аудиокарту, или ее эмуляцию, например интерфейс SPDIF чтоб гонять квадратуры, а для частоты использовать usb протокол от СофтРок и si570 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 447] Автор : romanetz Дата : 23.01.2017 21:14 Можно ли найти ExtIO.dll от железок, с которыми эта прога точно работает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 448] Автор : EU1SW Дата : 23.01.2017 21:30 От Softrock ensemble rxtx, исходников ессно нет... Добавлено через 8 минут(ы): Я конечно могу у себя дома использовать для своего железа свой же софт, у меня есть реализация, разницы нету, буфер все равно откуда заполнять... Но хотелось бы в публичном релизе использовать стандартные интерфейсы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 449] Автор : romanetz Дата : 23.01.2017 21:42 Там usb audio, не сеть... С аудио картой она и так работает, без всякой extio.dll -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 450] Автор : EU1SW Дата : 23.01.2017 21:49 Формулировать тогда надо точнее :) Для сети длл с передачей нет. Может и работает, и безо всякого длл, вот только частоту надо как то передавать. А может и не работает... Вы проверяйте свои утверждения, на всякий случай... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 451] Автор : UR3IQO Дата : 23.01.2017 22:18 С аудио картой она и так работает, без всякой extio.dll А почему бы не написать "костыль" который будет получать передачу через VAC и выдавать в виде потока UDP пакетов? Понятно, что решение не элегантное, но вроде в стиле данного проекта ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 452] Автор : EU1SW Дата : 23.01.2017 22:34 Олег, костыль уже существует в виде программы для распберри пи Реализован протокол Гермес -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 453] Автор : SVd2004 Дата : 26.01.2017 14:40 Только сегодня получил плату с ПЛИС. 254299 Ещё идёт где то АЦП... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 454] Автор : Сергей1971 Дата : 27.01.2017 17:05 Всем доброго дня.Вот и мне начали приходить комплектующие.... 254370254371 В пути еще Altera Cyclone IV EP4CE10F17C8N на плате DVK600 с Синхронным Модулем SDRAM 128Mb и генератор на AD9954 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 455] Автор : romanetz Дата : 27.01.2017 17:16 9951 я на плисине заводил. 1 мегасэмпл в секунду держит, т.е. по шине разгон двухкратный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 456] Автор : EU1SW Дата : 27.01.2017 21:05 не совсем вкуриваю применимость DDS в данном контексте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 457] Автор : romanetz Дата : 28.01.2017 08:06 Видимо, для передачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 458] Автор : EU1SW Дата : 28.01.2017 10:12 Продолжите свою мысль, не бросайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 459] Автор : romanetz Дата : 28.01.2017 12:46 Плисина квадратуры пересчитывает в частоту и амплитуду и регистры FTW и ASF грузит в DDS Этот подход на 9850 и атмеге уже неоднократно показан в интернете. Алиасов до -60 дбн нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 460] Автор : M0TLN Дата : 28.01.2017 13:31 Плисина квадратуры пересчитывает в частоту и амплитуду и регистры FTW и ASF грузит в DDS Этот подход на 9850 и атмеге уже неоднократно показан в интернете. Алиасов до -60 дбн нет. Используете 9951 вместо TxDAC и модулируете его записью в регистры? Вы серьезно? В ЭТОЙ теме? Ну вы, блин, даете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 461] Автор : EU1SW Дата : 28.01.2017 13:48 ну если просто поболтать за жизнь... мне конечно знаком синтетический метод формирования сигнала, но применять его я, мягко говоря, не планировал ) даже просто как то сходу не поверилось в такой полёт фантазии при наличие условно "пятибаксовых" ЦАП заниматься такой "шляпой" выглядит немного нелепо, как мне кажется но если кто то поделится рабочим алгоритмом, который будет занимать меньше ячеек, чем кордик+интерполятор, то почему бы и нет ) но очень вероятно, что это все опять же из разряда общих рассуждений ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 462] Автор : EU1SW Дата : 29.01.2017 13:59 Энтузиастам DIY предлагаю к тестированию, и для дальнейшей совместной работы заинтересованным, рыбу немного урезанной версию Гермес Лайт для 10к FPGA 14 бит АЦП/ЦАП в дополнительном коде, 96 MHz, ethernet PHY - DP83848 из оригинального кода вырезана поддержка ICMP (занимает слишком много ячеек для возможности раз в год попинговать), и пока что удалена поддержка набортного АЦП для микрофона, зато сохранены ARP, DHCP, и прочие цацки, типа VNA и bandscope, 1 приемник, полоса для теста 48 кГц, концепция тактирования от PLL пока оставлена без изменений в целях упрощения тестирования. Возможны ошибки, вплоть до неработоспособности, потому что в железе пока еще не проверялось, нет возможности в ближайшее время помахать паяльником, но возможно у кого то есть ))) чего ж держать при себе ) достаточно припаять PHY В любом случае будет доведена до рабочего состояния, вопрос времени, где то в недрах почты потерялся заказаный PHY... ( Пины не сконфигурированы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 463] Автор : EU1SW Дата : 29.01.2017 15:48 еще вот недавно пришла мысль, и я ее думаю... ))) выношу на обсуждение, возможно ничего не ново под луной ) как реализовать большее количество приемников, для кластера, вижу, что это много было бы кому интересно Идея в следующем, в принципе, при ценах на 10K борды в районе 15 баксов можно попытаться их самих объединить "в кластер" т.е. АЦП тактируется от КГ, ведущая борда обеспечивает 1 приемник и функционал интерфейса + 2-3 расширения, которые будут вертеть внутри по 2-3 приемника, добавить только шину и буфера FIFO, для выгрузки выходных IQ в ведущую задача абсолютно реализуема, вопрос исключительно в наличие свободных временнЫх ресурсов т.е. по сути итоговая цена 6-8-9 приемников получится в 100 баксов +- трамвайная остановка в этом ценовом диапазоне был только 1 вариант в виде Гермес Лайт на демо плисине в 300+к ячеек, которую одно время продавали по цене песка, который пошел на изготовления кристалла, сейчас вообще не вижу такого в продаже ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 464] Автор : UF3K Дата : 29.01.2017 19:34 выношу на обсуждение Сергей, если смотреть с точки зрения диалектики, то направление 2ADC+2RX для автоматического подавления помех, которых все больше и больше, выглядит интереснее. PS: Кстати, у этого китайца на али появились платы на 15к ячеек, относительно недорогие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 465] Автор : EU1SW Дата : 29.01.2017 19:52 Да, Владимир, спасибо за комментарий, поищу информацию по ПО, которое умеет работать коррелятором, и какие поддерживает интерфейсы. А по поводу 15К, увидел это предложение где то с месяц назад, и мониторю его, но похоже рабочих прототипов еще нет или партия не доставлена. У китайца продажи вперед, так сказать ) совет еще в завод по переработке. будет фото реальной платы, будем посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 466] Автор : Сергей1971 Дата : 29.01.2017 21:02 АЦП 6645 254609254610 В верху беленькое это транс или что? Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 467] Автор : UF3K Дата : 29.01.2017 21:07 Сергей, интересные наработки по подавлению помех есть у PA0SIM - http://www.pa0sim.nl/index.htm Алгоритм подавления помех (не уверен, что автоматический) реализовали в red pitaya (Женя RZ3QS демонстрировал видело в их ветке, что-то не найду ссылку). Я в своей практике раза 3 делал аналоговые (с ручным управлением) фазовые компенсаторы помех - удивительно эффективная штука, но узкополосная, поэтому неудобная, особенно для контестинга. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 468] Автор : RA3APW Дата : 29.01.2017 21:27 АЦП 6645 254610 В верху беленькое это транс или что? Сергей. Трансформатор ADT4-1WT для подачи тактового сигнала на входы ENC (выв.5) и ENC- (выв.6) АЦП AD6645. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 469] Автор : UA4WAQ Дата : 29.01.2017 23:26 транс 1:4 254629 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 470] Автор : UA4WAQ Дата : 30.01.2017 10:34 Плату обещанную сегодня не выложу, в командировку отправился на неделю. плату ждать ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 471] Автор : romanetz Дата : 30.01.2017 18:50 Да, я не забыл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 472] Автор : Сергей1971 Дата : 01.02.2017 22:52 всем доброго вечера.Сегодня пришла плата да не та. 254949 Печалька. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 473] Автор : labuda51 Дата : 02.02.2017 15:12 Всё то .2.0 разъём -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 474] Автор : EU1SW Дата : 02.02.2017 15:22 Вам может не видно на фото, или по каким то причинам не очевидно, но это Xilinx, а не Altera. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 475] Автор : UA6CT Дата : 02.02.2017 18:32 это Xilinx, а не Altera Все продавцы Али в таких случаях либо без вопросов возвращают деньги, либо высылают повторно уже то, что заказывали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 476] Автор : Сергей1971 Дата : 02.02.2017 19:35 Вчера написал претензию. Будем ждать что ответят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 477] Автор : labuda51 Дата : 03.02.2017 07:08 Сергей.Всё понял.Другая среда разработки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 478] Автор : RA4UIR Дата : 03.02.2017 08:14 Добрый день. Решил отложить "новые проекты". Есть АЦП и ПЛИС, AD6645-ASQZ-105. Кому интересно, продам по себестоймости почтой России. 255059 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 479] Автор : UA4WAQ Дата : 03.02.2017 08:24 ну, все, перепиливаем проект под xilinx )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 480] Автор : labuda51 Дата : 03.02.2017 09:41 Labuda 89657677123 в СРб -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 481] Автор : vadim_d Дата : 03.02.2017 10:35 ну, все, перепиливаем проект под xilinx )) Шутки шутками, но буду признателен, если кто-то оценит переносимость данного проекта на другую платформу, ну хоть в требуемых человеко-часах среднего специалиста :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 482] Автор : Сергей1971 Дата : 03.02.2017 12:16 А если серьезно , то это как возможно или нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 483] Автор : romanetz Дата : 03.02.2017 12:46 Из IP-блоков в проекте только память, ну и верх на схематике нарисован - переделать на верилог за часок можно. В принципе, вопрос решаемый, где-то в проектах у меня такая штука валяется (честно найденная на просторах инета), собирается в виде памяти как под цыклон, так и под спартан. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 484] Автор : vadim_d Дата : 03.02.2017 17:57 Из IP-блоков в проекте только память, ну и верх на схематике нарисован - переделать на верилог за часок можно Спасибо, это радует :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 485] Автор : R3AZ Дата : 03.02.2017 21:40 Приветствую всех. Заинтересовала тема, но с ПЛИС ранее не имел дело... Установил под WIN7 32 Квартус-11 и открыл проект. Подскажите, пожалуйста, что нужно делать дальше, по-шагово, чтобы прошить Альтеру. Демо борды и USB бластера нет, но заказать - не проблема. Пока, хотелось бы, в принципе, знать последовательность действий. С уважением. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 486] Автор : labuda51 Дата : 03.02.2017 21:59 TC. СПС Добавлено через 8 минут(ы): ЗА ЧТО ВАДИМ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 487] Автор : avbelnn Дата : 03.02.2017 22:55 А если серьезно , то это как возможно или нет? На Вашей плате установлен XC3S250E,у него нету PLL.Генерить частоты (90MHz,20MHz,48MHz и т.д.)придётся либо делением тактовой,либо ещё как и LE всего лишь 5508.В ISE как и в Quartus Top Level может быть как в графике,так и в другом виде.Все блоки и так опИсаны на верилоге или vhdl.Если применительно для данного проекта,то хотя бы на XC6SLX9.Вот пример:http://we.easyelectronics.ru/Radio/prostoy-cifrovoy-radiopriemnik.html Подскажите, пожалуйста, что нужно делать дальше, по-шагово, чтобы прошить Альтеру. В Quartuse вкладка tools->programmer->выбираете mode и файл.Usb blaster конечно должен быть установлен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 488] Автор : labuda51 Дата : 03.02.2017 23:00 ЗАЧЕМ ВЫ НЕ ПРАВЫ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 489] Автор : avbelnn Дата : 03.02.2017 23:34 ЗАЧЕМ ВЫ НЕ ПРАВЫ В чём? Добавлено через 29 минут(ы): Вопрос: у кого получилось прикрутить сей девайс к orange_pi?как в соседней ветке RadioBerry.Установлена Raspbian.255128255129 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 490] Автор : Сергей1971 Дата : 04.02.2017 07:58 Спасибо.Будем вникать, учить, пробовать.:-PТема заинтересовала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 491] Автор : UA4WAQ Дата : 04.02.2017 20:31 14 бит АЦП/ЦАП в дополнительном коде, 96 MHz, ethernet PHY - DP83848 обратите внимание на rtl8201 - очень дешевый PHY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 492] Автор : R3AZ Дата : 04.02.2017 22:21 В Quartuse вкладка tools->programmer->выбираете mode и файл.Usb blaster конечно должен быть установлен. Спасибо за ответ! Подскажите, пожалуйста, вот по этой (http://soltau.ru/index.php/plis/item/406-kak-podgotovit-i-zagruzit-proekt-v-plis-altera) инструкции достаточно будет скомпилировать данный проект и выполнить действия из пунктов №2,3 или остальные тоже необходимо выполнять? Например, пункт №4. К слову, проект скомпилировать уже получилось, но со 199-ю предупреждениями. Ранее, читал в ветке, что это нормально... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 493] Автор : avbelnn Дата : 05.02.2017 11:16 достаточно будет скомпилировать данный проект и выполнить действия из пунктов №2,3 Прошивка для работы ПЛИС хранится в конфигурационной памяти ПЗУ(у меня это м/с EPCS16)поэтому прошивать нужно именно её по п.№4 из Вашей ссылки через разъём AS файл с расширением .pof.Есть ещё способ прошить память через разъём JTAG.Файл c расширением .sof заливается непосредственно в ПЛИС и программа будет работать до первого сброса питания.Необходимые файлы можно конвертировать из файла .sof сгенерированным после компиляции проекта Quartusom вкладка File->Convert Programming files задаёте параметры(cоnfig device,add file,programming file types).Для прошивки config памяти через разъём JTAG в проекте должны быть настроены соответствующие пины FPGA.Удачи! Добавлено через 18 минут(ы): проект скомпилировать уже получилось, но со 199-ю предупреждениями. Ранее, читал в ветке, что это нормально...Да.255219 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 494] Автор : EU1SW Дата : 05.02.2017 13:21 Есть ещё способ прошить память через разъём JTAG Советую использовать как раз таки этот способ, у него есть одно неоспоримое достоинство - он работает ) как конвертировать .sof в .jic было ранее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 495] Автор : avbelnn Дата : 05.02.2017 17:20 Записал последовательность действий для прошивки по JTAG(прошу прощения у автора,что не по теме)лучше один раз увидетьhttps://www.youtube.com/watch?v=Vdt-TXxfYOQ&feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 496] Автор : EU1SW Дата : 05.02.2017 21:26 для экспериментов по изучению влияния джиттера, измерения SFDR и просто наблюдения прохождения на КВ ) Добавлено через 52 минут(ы): прикрутить сей девайс к orange_pi? для этой оранж пи есть библиотека, аналогичная bcm2835, для работы с SPI? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 497] Автор : avbelnn Дата : 06.02.2017 08:38 для этой оранж пи есть библиотека, аналогичная bcm2835, для работы с SPI? WiringOP.Честно говоря сам еще не пробовал.Есть различия с малинкой.PS:ядро пока для неё не пересобирал.Уж больно привлекательная цена на Orange pi.Народ GPIO юзает http://www.itcooky.com/?p=4023 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 498] Автор : EU1SW Дата : 06.02.2017 09:22 не ну юзать GPIO можно по разному, светлодиодом поморгать или датчик температурный почитать по и2ц, медленно и печально ) а если в целом и по простому, то очень не хочется получить головняк с пересборкой всего и вся за пару долларов, поэтому мое отношение - нет, я этим заниматься не буду -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 499] Автор : Nicky Дата : 06.02.2017 20:41 Вот и мне пришла плата АЦП. Ставить генератор на 122,88 МГц пока не хочу. Вдруг АЦП будет плохо работать. Сначала хочу проверить на 96 МГц с FPGA через PLL. Возник вопрос. В дайташите на АЦП на fig.38 амплитуда клока ограничивается диодами шоттки до размаха 0,8 В. На плате АЦП этих диодов я не нашел. Кто-нибудь уже подключал клок и как? На фото планирую сделать следующую доработку. Вместо выхода DRY подать частоту 96 МГц. И нужно ли помимо диодов ставить какой-нибудь фильтр на клок для уменьшения джиттера? 255401 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 500] Автор : RA3APW Дата : 06.02.2017 22:12 Вот и мне пришла плата АЦП. Ставить генератор на 122,88 МГц пока не хочу. Вдруг АЦП будет плохо работать. Сначала хочу проверить на 96 МГц с FPGA через PLL. Возник вопрос. В дайташите на АЦП на fig.38 амплитуда клока ограничивается диодами шоттки до размаха 0,8 В. На плате АЦП этих диодов я не нашел. Кто-нибудь уже подключал клок и как? На фото планирую сделать следующую доработку. Вместо выхода DRY подать частоту 96 МГц. И нужно ли помимо диодов ставить какой-нибудь фильтр на клок для уменьшения джиттера? 255401 Пока не делал, но планирую подавать сигнал 96 МГц на разъем J2 (CLK) платы ADC (на Вашем фото видна его часть сверху слева). Ограничительных диодов на плате AD6645 действительно нет. Их можно поставить на контакты вторичной обмотки трансформатора Т2 или ограничить уровень с FPGA с помощью аттенюатора на входе трансформатора. Первый вариант удобен при различных настройках (в том числе при изменении опорной частоты) , а второй - когда уровень фиксированный (как с FPGA). Рис.43 ADC6645 datasheet (схема тестовой платы - Evaluation Board Schematic - в приложении) может помочь. Сигнал DRY я бы не стал трогать, он потребуется. Фильтр джиттер не уменьшит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 501] Автор : EU1SW Дата : 07.02.2017 00:21 коллеги, побойтесь, о каком ограничение идет речь? какие диоды? Цифровой домен... дифференциальный вход ((( З.Ы. ну только если антинасыщение, ... кроче, все в тест лаб ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 502] Автор : RA3APW Дата : 07.02.2017 01:07 коллеги, побойтесь, о каком ограничение идет речь? какие диоды? Цифровой домен... дифференциальный вход ((( З.Ы. ну только если антинасыщение, ... кроче, все в тест лаб ( Сергей, внизу первой колонки страницы 17 datasheet на AD6645 (приложение в сообщении №500): Figure 38 shows one preferred method for clocking the AD6645.The clock source (low jitter) is converted from single-ended to differential using an RF transformer. The back-to-back Schottky diodes across the transformer secondary limit excessive amplitude swings from the clock into the AD6645 to approximately 0.8 V p-p differential. This helps to prevent the large voltage swings of the clock from feeding through to other portions of the AD6645 and limits the noise presented to the encode inputs. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 503] Автор : EU1SW Дата : 07.02.2017 01:54 Надо тестировать, то, что я увидел, с клоком от плис, мне не нравится, увы ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 504] Автор : romanetz Дата : 07.02.2017 05:40 В вольном переводе - чтобы клок всюду не пролазил, его ограничивают по амплитуде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 505] Автор : Nicky Дата : 07.02.2017 05:51 Еще вопрос по диф. усилителю AD8138. По дейташиту он может работать и от однополярного напряжения. Можно ли вместо -5 В подать GND? На рисунке 7 дейташита усилителя или рис.41 АЦП есть примеры. Конечно, в дальнейшем я вместо усилителя поставлю трансформатор. А пока не хочется курочить плату. Сначала хочу проверить в принципе работу. Вывод 2 усилителя соединен с VREF АЦП (+2,4 В). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 506] Автор : EU1SW Дата : 07.02.2017 07:23 Можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 507] Автор : EU1SW Дата : 07.02.2017 09:14 хочу проверить в принципе работу В принципе оно работает... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 508] Автор : UR3IQO Дата : 07.02.2017 09:46 avbelnn, я у себя для управления отоплением использую ORange Pi PC Plus. Ядро полностью не пересобирал, но в пару модулей ядра добавил новых фич. Использую с armbian. Может чего смогу подсказать - будут конкретные вопросы пишите в лс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 509] Автор : EU1SW Дата : 07.02.2017 09:55 В вольном переводе - чтобы клок всюду не пролазил, его ограничивают по амплитуде. получилось ли протестировать 6645 на 122,88? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 510] Автор : romanetz Дата : 07.02.2017 10:18 К сожалению, нет, еще не получилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 511] Автор : UF3K Дата : 07.02.2017 11:17 получилось ли протестировать 6645 на 122,88? Сергей, интересно есть ли глубокий тайный смысл в степенях 2-ки при выборе тактового генератора АЦП? Очень подозреваю, что если умножить даже 50 МГц с платы FPGA на 2, то джиттер будет лучше чем с PLL FPGA. Еще более интересным видится решение затактировать обе платы от одного генератора, но хотя бы 105 МГц (что бы диапазон 6м попал в 1-ю зону Найквиста). Если есть смысл копать в этом направлении, то буду копать, т.к. лучшим решением было бы захватить 100+ МГц генератор узкой PLL от высокостабильного опорника с низким джиттером в районе 10-20 МГц (это примерно оптимальный диапазон по фазовым шумам, и TCXO этого диапазона стоят разумных денег). Ну и опыт есть некоторый в таких решениях. Платы пришли вчера, и АЦП и EP4CE10, постараюсь на неделе все в кучу собрать. К сожалению, пока не приехал PHY, но уже отправлен, надеюсь через 4-6 недель доедет. Пока отпилю кусок сетевой карты и буду 1-й вариант трансивера изучать. PS: Да, кстати об измерении влияния джиттера. Олег UR3IQO уже намекал, что самый простой способ - подать на вход АЦП поближе к границе 3-й зоны Найквиста мощный однотоновый сигнал (кварцевый генератор с аттенюатором) и наблюдать за изменением шумовой полки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 512] Автор : romanetz Дата : 07.02.2017 11:52 255445 На картинке схема французов для получения частоты 80*5 МГц. http://golac.fr/400mhz-clock-for-the-ad9951/ Распространенный кварц (или генератор) 24,576 МГц при умножении на 5 как раз нужную частоту даст. У нас 50 МГц в городе закрыт прочно и надолго - 1-2 ТВК вещают. А вот 70 МГц пусты, только кто ж на нем вещать то будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 513] Автор : EU1SW Дата : 07.02.2017 11:55 Еще более интересным видится решение затактировать обе платы от одного генератора, но хотя бы 105 МГцТак вроде ж уже обсуждали пару страниц назад, совершенно ж очевидно, что так и надо делать, использование PLL - это от недостатка подходящих КГ в тумбочке... Олег UR3IQO уже намекал, да зачем нам намеки? ) вроде ж не лаптем щи хлебаем ) Нам бы вместо намеков реально б кто нибудь дело проделал - это было бы для всех полезно и наглядно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 514] Автор : UF3K Дата : 07.02.2017 12:53 Нам бы вместо намеков реально б кто нибудь дело проделал - это было бы для всех полезно и наглядно ) Как только соберу все в кучку - это будет сделано в 1-ю очередь. Результатами естественно поделюсь. Сергей, Вы так и не ответили, обязательно ли частота тактирующего генератора должна быть привязана к степени 2-ки или это не обязательно (можно скорректировать в CIC, FIR и ExtIo.dll)? Просто я не настолько еще освоил verilog, что бы не задавать подобных глупых вопросов. У нас 50 МГц в городе закрыт прочно и надолго - 1-2 ТВК вещают. А вот 70 МГц пусты, только кто ж на нем вещать то будет? Вещание на 1-м прекратят после выборов 18-го года. СРР собирается его "отвоевать" на вторичной основе году эдак к 2025-му, надеюсь получится, и хотелось бы дожить. У нас в KO91 тоже вещание на 1-м, у себя на даче в 12 км. от центра города слушаю девственно чистый в смысле помех от ТВ 50 МГц при применении http://www.ham-radio.com/n6ca/50MHz/50appnotes/noiz_rx/noizcancelRx.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 515] Автор : EU1SW Дата : 07.02.2017 13:12 А, точно, пропустил вопрос... в принципе нет явного запрета на использование любых значений коэффициента децимации, но в конкретной реализации корректирующий FIR понижает частоту в 2 раза, и CIC разделен на 2 ступени, поэтому как ни назначай коэффициенты - все равно в результате перемножения получится четным З.Ы. по поводу джиттера - на выходных гонял макет стенда, фото выкладывал в воскресенье, так немного заметно как плавает полка синхронно с федингом мощных вещалок, но тут можно легко ошибиться, ввиду боковых лепестков оконной функции, надо больше точек анализировать а из подручного у мну есть только синтез на силабсе 570, и второй, на 9954 с внешним компаратором собираюсь поэкспериментировать с разными источниками опорного -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 516] Автор : UF3K Дата : 07.02.2017 14:25 DDS и Si570 далеки от идеала для таких измерений, все-таки шумят. Тут нужен кварцевый генератор, причем как минимум неплохой по шумам. Постараюсь ближе к вечеру схемку КГ нарисовать правильную (там все просто), а то по работе сегодня терзают активно. И еще раз про степени 2-ки, сорри. Скажем, если частота опоры 105 МГц, а CIC и FIR остаются "как есть", то на выходе будет I/Q сигнал с частотой дискретизации 105 кГц? Суть вопроса - сможет ли оконечный софт в компьютере работать с таким сигналом? Я просто ни разу не делал DDC/DUC, соответственно и экспериментов с софтом, который рассчитан на получение данных через ExtIo.dll, не проводил. PS: И еще возник вопрос. Рассматривал картинку макета в 1-м посте темы. Создалось впечатление, что ADC по 3,3В запитан от платы FPGA. Если это так, то наверное это не есть хорошо. Полагаю, что нужен отдельный стабилизатор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 517] Автор : EU1SW Дата : 07.02.2017 14:32 софт на ПК будет работать с любой частотой, какую укажете, хоть 104999 кГц, за это можно не переживать нужно только перекомпилировать extio.dll, указав нужные частоты опорника и выходного i/q Если у Вас нету Visual Studio, то как определитесь с частотами - скинете в личку или тут напишете, я вам пришлю скомпилированую дллку под ваши частоты. далеки от идеала для таких измерений других вариантов пока не предвидится, хотя... может за неделю что нибудь и отыщется... Полагаю, что нужен отдельный стабилизатор. Возражений не имею, могу лишь предложить измерить и опубликовать разницу в параметрах при запитке от платы и от отдельного стабилизатора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 518] Автор : UF3K Дата : 07.02.2017 15:00 Сергей, спасибо, тогда все отлично - имеем некоторую свободу в выборе генераторов для опорника. Вчера пробовал собирать ExtIO.dll в VS2015 - вроде как все собралось. Где менять частоты по коду все понятно, думаю сам справлюсь. По питанию обязательно напишу, т.к. мне блок стабилизаторов так и так делать. Осталось придумать как завести данные из АЦП в FPGA не проводами, а нормальной шиной с землями для каждого сигнала. Хочу попробовать АЦП физически отнести от FPGA сантиметров на 15-20 (потенциально за перегородку шасси). Больно уж мало земляных выводов на портах FPGA, придется переходную платку придумать. Надеюсь, после выходных доложу о результатах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 519] Автор : EU1SW Дата : 07.02.2017 15:23 на ум приходит только шлейф IDE 80 проводной, там прямо в разъемах шина заземляющая протыкает проводки шлейфа через один, и там просто тонким сверлом можно вскрыть глухой пин, контакт внутри есть. В общем если будет интерес - там достаточно один раз увидеть, и все понятно на ходу. У меня их просто валялось много, крутил вертел, ну и довертел... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 520] Автор : UA4WAQ Дата : 07.02.2017 15:37 Сергей, спасибо, тогда все отлично - имеем некоторую свободу в выборе генераторов для опорника. Вчера пробовал собирать ExtIO.dll в VS2015 - вроде как все собралось. Где менять частоты по коду все понятно, думаю сам справлюсь. По питанию обязательно напишу, т.к. мне блок стабилизаторов так и так делать. Подскажите, где взять исходники dllки ? Можно не ставить отдельный стабилизатор, а подать питание через дроссель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 521] Автор : UF3K Дата : 07.02.2017 15:55 Подскажите, где взять исходники dllки ? Сергей выкладывал на этой странице http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1337273&viewfull=1#post1337273 на ум приходит только шлейф IDE 80 проводной Совершенно такие же мысли. Шлейфов в ящике валяется. Осталось сделать переходную платку, на которой рассплитить землю с FPGA на весь шлейф. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 522] Автор : EU1SW Дата : 07.02.2017 16:01 Владимир, там в самом разъеме, внутри, уже шина заземляющая установлена, она перемыкает между собой все земляные пины IDE разъема и садит проводки через один на себя, но платка все равно видимо понадобится -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 523] Автор : UF3K Дата : 07.02.2017 16:10 Это вообще отлично, если внутри уже шина есть. Лет 15 IDE-шные шлейфы не разбирал, забыл уже как там внутри сделано. Да что-нибудь придумаю, всяких мелких макеток горсточка есть, туда PLD на 80 контактов как раз хорошо становится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 524] Автор : romanetz Дата : 07.02.2017 16:40 В теме были, посмотрите аттачи в ней -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 525] Автор : rv3qx Дата : 07.02.2017 16:55 Вселенная шутит :-) Сто лет на был на cqham, в кои веки зашёл... Первое,что привлекло взгляд - "...из модулей с Али". Ба-а, кого вижу в читающих! Влад, ужасно маленькая ЭТА Вселенная, раз ТАКИЕ встречи. Привет тебе. Alex, rv3qx -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 526] Автор : RA4UKL Дата : 08.02.2017 00:46 Здравствуйте. Имею в наличии генераторы на 100, 122.88 и 125 МГц. И желание поэкспериментировать :-) Сергей, не затруднит ли Вас скомпилировать dll на эти опоры? Если вдруг на чем-то заведется, возникнет естественное желание повесить на ту же опору ПЛИС. Верно ли понимаю, что в этом случае достаточно будет поковырять pll, обеспечив на с0, с2, с3 уже указанные частоты, а на с1 выставить ratio 1/1? Последний вопрос ко всем, заказавшим готовую плату с АЦП: порты D микросхемы во внешний мир смотрят только через резисторы и все? Сейчас развожу плату АЦП, если вдруг что-то получится раньше других, обязательно сообщу. Заранее благодарен за ответы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 527] Автор : EU1SW Дата : 08.02.2017 11:28 При использовании внешнего КГ как раз таки желательно вообще уйти от использования pll, иначе несколько теряется смысл сего действа. Надо подумать, как лучше скорректировать сам проект. А дллки вечером сделаю, не проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 528] Автор : EU1SW Дата : 08.02.2017 20:39 dll-ки в прикреплении частота с3 с выхода pll должна быть равна учетверенной частоте выходных сэмплов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 529] Автор : UR3IQO Дата : 09.02.2017 16:16 на ум приходит только шлейф IDE 80 проводной Можно наверное и обычный плоский кабель (такой как в 40 пиновых шлейфах). Если на концы поставить IDC или FDC разъемы, то "земля через один провод" получится с очень удобной разводкой платы. 20 сигнальных контактов (+20 земляных), если интерфейс не LVDS, должно хватать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 530] Автор : Nicky Дата : 09.02.2017 20:03 Может кому интересно, Небольшой отчетик. Доработал плату АЦП. Питание -5 В через перемычку посадил на GND, вместо сигнала OVR подал +5 В, а вместо DRY - частоту тактирования АЦП. Плату АЦП подсоединил к плате Марсоход3. Частоту кварцевого генератора этой платы подал через FPGA на выход к АЦП (100 МГц). Частота 100 МГц после трансформатора на входе АЦП была с амплитудой 4 В. После установки ограничительного диода шоттки размах упал до 0,8 В. При этом никакой разницы в шумах не заметил. Только я поставил имеющуюся у меня диодную сборку BAT54S. У нее собственная емкость 10 пФ. Для 100 МГц многовато. Но других пока не нашел. АЦП сильно греется. По дайташиту потребление 1,5 Вт. Сильная просадка по + 5 В. На входе платы АЦП - 4,3 В. На плате Марсоход3 - 4,4 В. Я думаю из-за питания по USB. Позже сделаю переходную плату с отдельным источником +5 В. При неподключенном входе диф. усилителя на выходе приемника ничего не было. Были сильно перекошены входы АЦП. После припайки разистора 51 Ом со входа на землю, появился спектр шума. При подсоединении на вход 10 см куска провода шум возрос. В выходные проверю работу с антенной. Эксперименты проводил со своим DDC приемником, где есть вывод по USB. В проекте с первого поста на заработал Ethernet. Пока не разобрался. 255614 255615 255616 255617 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 531] Автор : EU1SW Дата : 09.02.2017 20:22 разобрался... Без DRY мое не заработает, ну на всякий случай сообщаю, и без этого сигнала получалась каша, я с этого начинал... и на моей плате есть 50 Ом по входу Добавлено через 6 минут(ы): Частота 100 МГц после трансформатора на входе АЦП была с амплитудой 4 В. После установки ограничительного диода шоттки размах упал до 0,8 В. - а до трансформатора какая амплитуда, не замеряли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 532] Автор : Nicky Дата : 09.02.2017 20:29 К сожалению осциллограф цифровой и до 100 MS/S. Показывал синусоиду 4 В со средним уровнем 2,5 В. Смущает то, что на отдельных диф. выводах АЦП амплитуда сигнала была по 2 В. А на сколько я понимаю, трансформатор должен был увеличить ее в 4 раза. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 533] Автор : EU1SW Дата : 09.02.2017 20:33 вернее не "50 ом по входу", а вход по постоянке замкнут на общий, поэтому АЦП не перекашивает, а по инвертирующему входу запаяно 68 ом, в общем входное сопротивление на разъеме недалеко от 50 Ом в любом случае без добавления деталей вышло http://www.cqham.ru/forum/attachment.php?attachmentid=252823&d=1484204537 А на сколько я понимаю, трансформатор должен был увеличить ее в 4 раза. нет, не должен, на каждой из ног относительно общего амплитуда должна остаться такой же, как на входе трансформатора, если без диодов конечно. мне все таки интересно, вот диоды параллельно вторичке посадили, а что является ограничителем тока? трансформатор или все таки выходной каскад ПЛИС? жестокие эксперименты, на мой взгляд... )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 534] Автор : romanetz Дата : 09.02.2017 20:33 А зачем вместо DRY клок завели? Это ведь тот же самый клок, только по фазе сдвинутый за счет времени преобразования АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 535] Автор : Nicky Дата : 09.02.2017 20:38 мне все таки интересно, вот диоды параллельно вторичке посадили, а что является ограничителем тока? трансформатор или все таки выходной каскад ПЛИС? жестокие эксперименты, на мой взгляд... )) Я думаю, что выходной каскад ПЛИС. А зачем вместо DRY клок завели? Это ведь тот же самый клок, только по фазе сдвинутый за счет времени преобразования АЦП. Пока так было проще. Потом переделаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 536] Автор : EU1SW Дата : 09.02.2017 20:41 Я думаю, что выходной каскад ПЛИС. получится ли в Вас замерять общее потребление с диодами на вторичке и без них? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 537] Автор : Nicky Дата : 09.02.2017 20:44 Пока не знаю, надо подумать. Но я, после проверки с антенной, уберу их, если никакой разницы не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 538] Автор : EU1SW Дата : 09.02.2017 20:55 дело в том, что разницу можно будет увидеть только с сигналом, и лучше бы стационарным, от генератора, поэтому на текущий момент никакого опыта из Вашего эксперимента касательно пользы от диодов или отсутствия оной извлечь нельзя, к сожалению -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 539] Автор : Nicky Дата : 09.02.2017 20:55 К сожалению, генератор мне не дадут, хотя он на работе есть, только в другой лаборатории. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 540] Автор : EU1SW Дата : 09.02.2017 20:57 Генератор - это что бы легче было разницу заметить, никакого другого сакрального смысла в нем нету не могу разобрать к сожалению на Вашем фото... но если это секрет - проехали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 541] Автор : Nicky Дата : 09.02.2017 20:59 Все, кроме выходных, по 510 Ом, а выходные - 0 Ом. 255619 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 542] Автор : EU1SW Дата : 09.02.2017 21:05 На фото хорошо видно, посмотрите. не совсем хорошо... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 543] Автор : Nicky Дата : 09.02.2017 21:06 Там надпись 511. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 544] Автор : EU1SW Дата : 09.02.2017 21:08 В общем делаем вывод - паяют, что есть под рукой ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 545] Автор : UF3K Дата : 09.02.2017 21:48 а что является ограничителем тока? Ограничителями тока будут являться индуктивность рассеяния трансформатора и включенная последовательно с ней индуктивность провода (лини передачи) от платы FPGA к плате ADC, т.к. 96 МГц частота весьма приличная. Большого возрастания тока потребления FPGA быть не должно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 546] Автор : EU1SW Дата : 09.02.2017 22:17 есть у меня смутное ощущение, что в сочетании всех перечисленных факторов + емкости входные и паразитные, как бы оно не "зазвенело" там, а перемножившись с основным клоком, еще и хором, нестройно... ((( такие изменения ИМХО надо делать с инструментальным контролем и с полным пониманием, ибо очень легко сделать плохо, но очень трудно сделать лучше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 547] Автор : UF3K Дата : 09.02.2017 23:27 Я вот другого пока не пойму, откуда он такое из синуса "родит"? 255628 Разряды не перепутаны - 2 раза проверил. Это самый 2-й вариант из сообщения http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1336252&viewfull=1#post1336252 Вход пока не переделывал под трансформатор, заземлил через 51 Ом и запитал от +5В. Цепь -5В закоротил на землю. Небольшое смещение есть с выхода ОУ - 2,36В и 2,43В. Что-то пока не придумаю "куда копать". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 548] Автор : romanetz Дата : 10.02.2017 07:54 С SignalTap есть опыт работы? Пилу подайте на вход АЦП и смотрите значения отсчетов с него на входах плиски через SignalTap. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 549] Автор : UF3K Дата : 10.02.2017 09:01 С SignalTap есть опыт работы? Опыта как раз и нет, но это дело наживное. Большое спасибо за подсказку, а то появилось подозрение, что номера выводов на плате с FPGA неверно пронумерованы. Вот и думаю, как отлаживаться. Будем изучать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 550] Автор : UF3K Дата : 10.02.2017 18:03 Что-то даже не знаю, как интерпретировать такую пилу (1 МГц, триггер от тактов 96 МГц) 255683 Это с трансформатором на входе АЦП. С операционником было еще менее пнятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 551] Автор : vadim_d Дата : 10.02.2017 18:47 Что-то даже не знаю, как интерпретировать такую пилу (1 МГц, триггер от тактов 96 МГц) Включите в СигналТапе аналоговый режим для этой шины, будет как осциллограф :). Навскидку, что-то не так, как минимум, звон добавлен к сигналу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 552] Автор : UF3K Дата : 10.02.2017 19:55 К сожалению, с аналоговым режимом SognalTap так и не разобрался, но основную проблему почти поборол. Пришлось уменьшить выходной ток пина, с которого выходит 96 МГц на АЦП до 4 мА. Осталась еще глобальная проблема - частота перестраивается через раз или с ошибкой (включено в сеть через switch). И еще просьба к Сергею EU1SW, если можно, выложить еще раз исходники ExtIo.dll Те, что выкладывались, нормально собираются в VS2015, даже работают, но при перестройке частоты звук заикается, но частота почти нормально перестраивается, без скачков. В выложенной http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1335410&viewfull=1#post1335410 версии звук не заикается, но частота перестраивается рывками. Попробую поискать в чем беда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 553] Автор : EU1SW Дата : 10.02.2017 20:14 Владимир, там дело в следующем, пакет с частотой - широковещательный, и в оригинале он так же отправляется на 1024 порт, в результате при перестройке частоты этот пакет вызывает "блимкание", я изменил порт отправки на 1025, приемнику без разницы, он не аназилирует порт, но перебои в звуке уходят, возможно проблема в маршрутизации... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 554] Автор : UF3K Дата : 10.02.2017 20:54 я изменил порт отправки на 1025 Сергей, большое спасибо. По-исследую на ночь глядя. Добавлено через 33 минут(ы): Действительно, при смене порта на 1025 звук перестает заикаться, но эффект перестройки частоты рывками появляется точно так же как и в Вашей версии. Причем, этот эффект проявляется значительно сильнее когда на входе приемника есть сигнал. Когда только собственные шумы, он случается в десятки раз реже. Просто фантастика. Полосы ethernet 10 Mbit не хватает? Да вроде как там пакеты с шумом места столько же занимают. Надо копать... Ну, или ждать когда аппаратный PHY приедет. PS: Завтра попробую линк сделать без коммутатора. Доложу о результатах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 555] Автор : EU1SW Дата : 10.02.2017 21:04 Ну у меня без коммутатора никаких задержек не наблюдалось, совсем-совсем, крутишь колесо мыши - полное ощущения кручения ручки в трансивере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 556] Автор : UF3K Дата : 10.02.2017 21:50 Линк через коммутатор родился по причине того, что в системном блоке стоит 2 сетевые карты, и ExtIo.dll цеплялась только за ту, которая подключена в коммутатор. Я в этот момент как раз боролся с тем, что FPGA ни как не хотела принимать пакеты по сети. В общем, нужно еще раз попробовать без коммутатора через кросс-патчкорд (Я правильно понимаю, что Auto-MDIX в данном случае не сработает?). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 557] Автор : EU1SW Дата : 10.02.2017 22:08 У меня срабатывал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 558] Автор : UA4WAQ Дата : 11.02.2017 10:05 Пришлось уменьшить выходной ток пина, с которого выходит 96 МГц на АЦП до 4 мА не зря на оригинальной плате стоят диоды шотки по входу тактирования АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 559] Автор : UF3K Дата : 11.02.2017 10:20 не зря Ох, не зря... Попробую со временем из подручного 2Д922, и BAT15 в "Чип и Дип" есть, нужно будет купить. Вообще, обратил внимание, что АЦП довольно критично относится к уровню тактового сигнала - прилично плавает шумовая полка. У Сергея, из-за относительно коротких монтажных соединений, видимо "звезды легли" удачно. У меня же была идея на шлейфе отнести АЦП от ПЛИС. Риск понимал. Вроде как осуществимо по предварительным результатам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 560] Автор : EU1SW Дата : 11.02.2017 10:21 UA4WAQ, Интересный вывод, попробуйте тогда найти объяснение почему у меня работает без всех этих игрушек с диодами и свойствами пинов. P.S. Мне кажется что плавание полки как раз зависит не от уровня тактового сигнала, а от его качества. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 561] Автор : UF3K Дата : 11.02.2017 12:59 плавание полки как раз зависит не от уровня тактового сигнала, а от его качества. Это-то как раз сомнению не подлежит. Немного хочется осмыслить, что такое джиттер 0,1 ps на частоте 100 MHz ( 10 ns). Это "дрожание" периода +/- 0,00005/10 = 0,000005 от 10 ns В частотной области это будет +/- 1/200000 от 100 MHz или ПЧМ (паразитная ЧМ) +\- 500 Hz. Если я ни где не ошибся в умозаключениях, то это более чем посредственный генератор. Почему рассуждаю в ПЧМ, потому что условно под руками есть приборы чем измерять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 562] Автор : Nicky Дата : 11.02.2017 13:59 Ну раз пошла пляска вокруг ограничительных диодов, сделал эксперимент. Замкнул перемычкой вход платы АЦП. Снял полочку шума с диодами. А затем тоже самое сделал без диодов. Правильно ли я делаю - не знаю. Вот что получилось: С диодами: 255739 Без диодов: 255740 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 563] Автор : EU1SW Дата : 11.02.2017 14:10 На всякий случай напомню, без диодов, калибровка выполнена. http://www.cqham.ru/forum/attachment.php?attachmentid=249665&d=1481341831 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 564] Автор : Nicky Дата : 11.02.2017 14:15 К сожалению калибровку у себя сделать не могу. Нет генератора. Поэтому мое измерение субъективно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 565] Автор : Алексей2009 Дата : 11.02.2017 14:17 Выбор защитных диодов дело простое - нужна МИНИМАЛЬНАЯ емкость перехода в закрытом состоянии... Не менее важно - порог открытия перехода. Диод начинает гадить, когда АМПЛИТУДА входного напряжения начинает приближаться к порогу открытия перехода... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 566] Автор : EU1SW Дата : 11.02.2017 14:25 Коллеги, ложные цели - верный путь превратить любое начинание в бардак и говорильню. Измеренный MDS я указал в первом посте топика, "референсный" дизайн там же, если есть желание, то правильный путь - сделать макет, или другой дизайн, обмерять, произвести доработку, промерять еще раз. Все остальное - из серии "смотрите как я могу"... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 567] Автор : Nicky Дата : 11.02.2017 14:32 Послушал сегодня 20 м. Антенна - кусок провода 5 м на балконе. https://cloud.mail.ru/public/9gn3/1Ca69JdXW -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 568] Автор : EU1SW Дата : 11.02.2017 14:34 З.Ы. Если уж и нет возможности делать измерения, ну хотя бы делитесь скринами с живыми сигналами с эфира, с полноразмерной антенны, а не тупо шумовую дорожку, иначе ведь совершенно ничего не понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 569] Автор : Nicky Дата : 11.02.2017 14:45 Вот мои скрины: С диодами: 255741 Без диодов: 255742 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 570] Автор : EU1SW Дата : 11.02.2017 15:10 Николай, у вас же свой собственный код в ПЛИС? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 571] Автор : Nicky Дата : 11.02.2017 15:39 Николай, у вас же свой собственный код в ПЛИС? Да. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 572] Автор : UA4WAQ Дата : 11.02.2017 22:09 UA4WAQ, Интересный вывод, попробуйте тогда найти объяснение почему у меня работает без всех этих игрушек с диодами и свойствами пинов. P.S. Мне кажется что плавание полки как раз зависит не от уровня тактового сигнала, а от его качества. Разный монтаж. Скорее всего шум проникает на вход ENCODE. Вот цитата из датиша: "The back-to-back Schottkydiodes across the transformer secondary limit excessive amplitudeswings from the clock into the AD6645 to approximately 0.8 V p-pdifferential. This helps to prevent the large voltage swings of theclock from feeding through to other portions of the AD6645and limits the noise presented to the encode inputs". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 573] Автор : EU1SW Дата : 11.02.2017 22:20 Я читал датащит ещё задолго до того, как вы увидели эту тему, к слову. Во вторых, перечитайте пожалуйста пост #566 И достаточно разговоров, давайте смотреть цифры, у кого они будут -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 574] Автор : UA4WAQ Дата : 12.02.2017 17:46 dll-ки в прикреплении частота с3 с выхода pll должна быть равна учетверенной частоте выходных сэмплов тут точно ошибки нет? 255821 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 575] Автор : UA4WAQ Дата : 12.02.2017 20:59 тут точно ошибки нет? 255821 Отвечу сам себе - нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 576] Автор : Ra9cin Дата : 13.02.2017 12:02 Прошу выложить проект для EP4CE10. Тот что 1 записи для "6". Пин мапинг для EP4CE10 отличается от "6" ? Боюсь накосячить с мапингом... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 577] Автор : EU1SW Дата : 13.02.2017 12:13 Я никогда не собирал проект из первого поста для EP4CE10, ибо смысла в этом нет... Страха никакого нет, какая вам польза от того, что я расставлю пины, руководствуясь своими соображениями, а вам будет удобно на другую сторону? В свойствах проекта указываете EP4CE10, после компиляции открываете Pin Mapper и глядя на плату ПЛИС указываете подходящие именно вам пины для сигналов. И компилируете еще раз, прошиваете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 578] Автор : Ra9cin Дата : 14.02.2017 13:24 Подскажите. На плате Altera установлена конф память 25p32. Какую опцию "Configuration device" выбирать при конвертации .sof в .jic Не могу прошить. Программер выдает: Info (209060): Started Programmer operation at Tue Feb 14 13:31:11 2017 Info (209016): Configuring device index 1 Info (209017): Device 1 contains JTAG ID code 0x020F10DD Info (209007): Configuration succeeded -- 1 device(s) configured Error (209025): Can't recognize silicon ID for device 1 Error (209012): Operation failed -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 579] Автор : EU1SW Дата : 14.02.2017 13:30 нет, на борде стоит конфигурационная память EPCS16, ее и надо выбирать. а 25р32 - всего лишь маркировка конкретной микросхемы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 580] Автор : Ra9cin Дата : 14.02.2017 13:41 при EPCS16 - ошибка также ... EPCS16 - 16 Mbit 25p32 - 32 Mbit ID видимо отличается Хотя если в Advanced отключить ID Chek все одно ошибка. Может есть какие идеи ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 581] Автор : EU1SW Дата : 14.02.2017 14:12 припоминаю что у меня было как то подобное, случайно, очень давно, и по моему проблема была в несоответствии конфигураций проекта реальному типу ПЛИС .sof заливается, работает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 582] Автор : Ra9cin Дата : 14.02.2017 14:27 .sof заливается без ошибок. Назначенные led 3 шт загораются, потом 2 гаснут, 1 горит. АЦП и сеть пока неподключал судя по всему загрузчик в плис заливается. Огонек перстает бегать. если нажать nConfig то он снова бегает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 583] Автор : EU1SW Дата : 14.02.2017 14:46 при таких раскладах уже трудно что то сделать не так... открыть конвертер программ файлов, выбрать профиль ".jic", выбрать тип конфигурационной памяти, потом выбрать лоадер, согласно типу плис, и выбрать свой .sof нажать кнопку конвертирования и получившимся .jic прошить девайс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 584] Автор : Ra9cin Дата : 14.02.2017 14:50 Вот и я в ступоре.. вроде все правильно делаю. Единственное сомнение было в типе конфигурационной памяти... Похоже на аппаратную проблему... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 585] Автор : Genadi Zawidowski Дата : 14.02.2017 14:51 Судя по диагностике, до нее не достучаться... В смысле от FPGA до памяти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 586] Автор : EU1SW Дата : 14.02.2017 14:51 да вряд ли... я там еще картинок добавил в предыдущий пост -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 587] Автор : Genadi Zawidowski Дата : 14.02.2017 14:53 На вашей плате случайно нет пинов конфигурирования режима загрузки? Припаяйте проводочки напрямую к памяти, попробуйте до неё в режиме active serial достучатсья -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 588] Автор : EU1SW Дата : 14.02.2017 15:10 вот по ссылке тоже есть некая переписка на эту тему https://electronix.ru/forum/index.php?showtopic=58789 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 589] Автор : avbelnn Дата : 14.02.2017 15:20 25p32 M25p32-именно память http://www.alteraforum.com/forum/showthread.php?t=50821 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 590] Автор : avbelnn Дата : 14.02.2017 20:24 Ra9cin, Проверил на 11 и 13 Квартусе 32bit.Добавился EPCS32 в выборе м/с.Кидайте файл в папку Quartus../bin(для 32bit)или в ../bin64(для 64bit) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 591] Автор : Ra9cin Дата : 15.02.2017 07:02 avbelnn, Спасибо ! M25p32 - Это тип конфигурационной памяти EPCS32. По умолчанию в Квартусе такой НЕТ. (в 15 тоже нет ) Нужно создать quartus.ini и прописать "pgm_allow_epcs32=on", конвертировать с типом EPCS32. После этого все зашивается ... Плата Altera c aliexpress.256057 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 592] Автор : EU1SW Дата : 15.02.2017 09:40 Ну и славно что разобрались, но чудны дела, точно такая же плата шьется как EPCS16 безо всяких манипуляций, 15-й квартус -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 593] Автор : UF3K Дата : 15.02.2017 11:01 У меня тоже точно такая же плата. Стоит 25P16VG. Соответственно и шьется как EPCS16 без проблем. Видимо паяют из того, что есть. Вчера приехал DAC904, жду Ethernet PHY, который уже в Москве. Надеюсь за неделю-две доедет. Вчера попробовал тактировать АЦП разными частотами, пока от PLL FPGA. И на 100 и на 120 МГц работает, нагрев заметно не увеличивается. Естественно, при смене C1, менял частоты ОГ и семплирования в ExtIo.dll, а так же С3 делал равной учетверенной частоте выходных данных. Все работает, но частота устанавливается с ошибкой, примерно на 3-4 кГц на 25 МГц. Сергей, и еще мне не дает покоя эта картинка http://www.cqham.ru/forum/attachment.php?attachmentid=249665&d=1481341831 Если не сложно, при каких условиях это было получено? Включены ДПФ и УВЧ? При каком уровне калибровался софт? Просто у меня результат пока разительно хуже. При RBW 1,5 Гц получается около -125 - -130 дБ шумовая полка у АЦП с замкнутым входом (на входе АЦП трансформатор). HDSDR калибрую при уровне -70 dBm. Пока все это выглядит так: 256078 При подключении генератора шумовая полка сильно возрастает (на 30 дБ примерно), хотя аттенюатор 40 дБ прямо на входном разъеме стоит. Подозреваю, что непосредственно у входа нужно ставить ФНЧ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 594] Автор : EU1SW Дата : 15.02.2017 11:14 Владимир, спасибо за информацию по рабочим частотам для АЦП. По поводу калибровок, да, все верно, включен постоянно УВЧ ~12-15 дБ и ДПФ, непосредственно на входе АЦП, соединение коаксиал 3 см, это можно увидеть на картинке из стартового топика. С генератора давал и -17 дбм, и через 30 дб, -47 дБм соответственно, шумовая полка растет и у меня, но у меня изначально генератор грязный, он не предназначен для этих целей, но точно известен его выходной уровень, поэтому в моем случае нельзя было точно понять чем вызван рост шума. Теперь же очевидно что в моем случае есть сумма грязного генератора + грязь PLL FPGA, но при отсутствии сигнала полка садится ровно на расчетное значение. Попробуйте перенести аттенюатор на вход АЦП По поводу такой большой ошибки в частоте - есть нюанс, я не сильно заморачивался на данный момент, и писал то как в начале темы, что ддл генерит только слово 24 бита, а не 32, и я еще ничего не исправлял ни в коде длл, ни в коде приемника, там элементарно фиксится, но даже в этом случае ошибка должна быть гораздо меньше, десяток герц, возможно у вас опорный генератор на плате не точно 50 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 595] Автор : ua3mse Дата : 15.02.2017 11:42 это файл для Quartus ============== Под какой ещё Quartus ? Вас что, в Google забанили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 596] Автор : UF3K Дата : 15.02.2017 12:49 ддл генерит только слово 24 бита, а не 32, и я еще ничего не исправлял ни в коде длл, ни в коде приемника, там элементарно фиксится, но даже в этом случае ошибка должна быть гораздо меньше, десяток герц, возможно у вас опорный генератор на плате не точно 50 МГц. Немного лениво считать, но ошибка при 24 битах должна быть маленькой, Вы правы - порядка десятков герц. Частоту 50 МГц на FPGA проверял - там тоже ошибка в десятки герц. Грешным делом подумал, а не может АЦП не на каждый отсчет отрабатывать? Просто тоже не вижу причин почему при 96 МГц все совпадает с точностью до десятков Герц, а при других опорах расползается. Что касается генератора, то да, я ленивый вариант использую - встроенный DDS осциллографа DSO4102C. Но перед этим я его проверял с FT-897D и аналоговым SDR, небольшие спуры на некоторых частотах есть, но шумовую полку он ни где не задирал даже при приличных уровнях выхода. Т.е. динамический диапазон 130 дБ по односигналке посмотреть проблем не было. Аттенюатор 40 дБ у меня стоит прямо на выходе измеритльного кабеля. Сегодня попробую ферритовых защелок на кабель поставить. Есть еще пара мыслей... Кстати, вдруг кому-то будет полезно. Все "чудеса", которые у меня наблюдались вначале, были от грязного питания аналоговой части АЦП от 5 В USB. Поставил нормальный стабилизатор - глюки исчезли. Пусть пока по шумам не очень хорошо, но все стабильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 597] Автор : EU1SW Дата : 15.02.2017 13:19 Владимир, уж простите за комментарий ) на мой взгляд не совсем логично выглядит момент, когда специально паяя длинный шлейф, на макете разворачиваете АЦП входом поближе к ПЛИС и шине Владимир, а не хотите попробовать затактироваться ради эксперимента прямо от набортных 50 МГц, используя ПЛЛ только для Езернета? Если дело в шумах ПЛЛ то полка должна перестать взлетать при подаче сигнала... Сам я пока не могу провести эксперимент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 598] Автор : UF3K Дата : 15.02.2017 13:39 Сергей, нормальный комментарий, не за что извиняться ) Я прежде все так прикручивать довольно активно покрутил все просто на столе и не смог заметить что бы полка сильно плавала от положения плат или шлейфа. Плавает, конечно, но не на 30 дБ. От 50 МГц пробовал, но еще до нормального питания АЦП. Нужно будет повторить. Надеюсь сегодня вечером попробую еще раз. Вообще говоря, не вижу в использовании PLL ничего страшного, пока мы находимся в 1-й зоне Найквиста. Но это пока теоретические измышления, будем проверять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 599] Автор : EU1SW Дата : 15.02.2017 14:03 формально, если данные из таблицы соответствуют реальному положению дел, то с таким джиттером, 30-50 пс, даже в первой зоне найквиста имеем бооольшие проблемы, но без сигнала этого не видно, а по сигналу с антенны тоже трудно что-то сказать, потому что шумовая обстановка в эфире у каждого своя, и при отсутствии измериловки можно только оценить, сравнив например показания S-метра на пустом участке какого нибудь диапазона и образцового приемника заведомо выше классом, переключая антенну Косвенно могу тоже подтвердить наличие артефактов, пока неустановленной природы, на 8 МГц у меня переключается ДПФ с 4-8 на 8-16, так вот полка при этом ведет себя по разному в разное время суток, это как раз связано с тем, что в вечернее-ночное время мощнее сигналы в 4-8 МГц, а днем соответственно в районе 13 МГц. Вот такое наблюдение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 600] Автор : romanetz Дата : 15.02.2017 18:16 Скрестил хермес-лайт и ацп. Всё в макетном виде. На кусок провода слышу в HDSDR китайские вещалки. Полоса 384 кГц. Буду делать нормальную антенну. До встречи в эфире на 40 метрах. Нагрев АЦП такой, что палец не терпит. И это всего лишь при 73,28 МГц тактовой... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 601] Автор : EU1SW Дата : 16.02.2017 02:03 Круто, поделитесь дизайном? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 602] Автор : romanetz Дата : 16.02.2017 05:08 256133 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 603] Автор : EU1SW Дата : 16.02.2017 09:54 У вас 5-я Альтера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 604] Автор : romanetz Дата : 16.02.2017 10:09 5CEFA2F23, bemicro-cv Там есть ревизии и под четвертые циклоны (но под 22 к ячеек). вкратце: клок вытащил из плисины, выход на передачу исключил, вместо выходов AD9866 взял младшие биты AD6645, полудуплексный режим. Сигнал, который проверяет наличие АЦП/ЦАП exp_present, подтянул к единице. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 605] Автор : EU1SW Дата : 16.02.2017 10:16 Там есть ревизии и под четвертые циклоны (но под 22 к ячеек). http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1357514&viewfull=1#post1357514 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 606] Автор : romanetz Дата : 16.02.2017 10:21 Два приемника одновременно запустить не получилось, access violation в extio_hermes.dll. Видимо, код не реентерабельный, или что-то с сокетами. У меня на домашнем компе нет визуал студии, чтобы позапускать в отладке. Надеюсь прояснить ситуацию на выходных. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 607] Автор : UA4WAQ Дата : 19.02.2017 21:34 поздравляю) Запишите потом IQ любительского диапазона пожалуйста присоединяюсь, самому интересно покрутить ) Сделал плату для 8 битного АЦП(96Мгц), с нормальным согласованием. ниже по ссылке записи эфира . антенна в городе (( 256454 https://drive.google.com/drive/folders/0BxBdX8maUb3qcXlKSnZTbG5lWWs?usp=sharing -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 608] Автор : EU1SW Дата : 19.02.2017 23:18 В общем, в предверие приближающегося мужского праздника есть две новости. Модифицированный код, на основе Гермес лайт, который я выкладывал ранее, частично проверен на 10к ПЛИС с платкой LAN8720 от WaveShare. Получен IP от DHCP, обнаружилась софтом как гермес 3.2. Пакеты туда/сюда перелетают. Ацп/цап еще не навешивал. И вторая, для любителей широких панорам, есть возможность прикрутить к приемнику из стартового топика обзор всего КВ, наподобие Гермесовского бэндоскопа. 4k сырых сэмплов с АЦП буферируются и уходят через платку usb-ttl, на ПК в отдельном окне отдельной программки панорама всего, что есть на входе АЦП, обновляется раз 10 в секунду. Занимает в ПЛИС 130 ячеек и 32k бит набортной памяти. Может быть прикручена почти к чему угодно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 609] Автор : romanetz Дата : 20.02.2017 05:41 А как ее (панораму) задействовать, со стороны PC? И еще вопрос. В гермесе (и гермесе-лайт) FIR фильтр децимирует в 8 раз, у вас, получается, в два? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 610] Автор : romanetz Дата : 20.02.2017 06:59 На записях UA4WAQ панорама и все сигналы симметричны относительно центральной частоты 7086,7 кГц. Например, 7075 USB и 7100 LSB. Что-то не так в консерватории... Просто зеркальное отображении слабее. Алиасинг в чистом виде? В гермес-лайте весь приемник под 12 бит заточен, возьмите лучше оригинал с гермеса. У меня в результате такой переделки полка шума на 12 дБ упала. Еще чуть оффтопну - сколько времени собирается проект? Мне интересно для выбора оптимального конфига компа. На моем атлоне 640 собирается около 20 минут, на рабочем буке core i7-4310 10 минут :-(( памяти на атлоне 12 DDR2, на рабочем 16 гб DDR3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 611] Автор : UA4WAQ Дата : 20.02.2017 09:21 На записях UA4WAQ панорама и все сигналы симметричны относительно центральной частоты 7086,7 кГц. Например, 7075 USB и 7100 LSB. Что-то не так в консерватории... Просто зеркальное отображении слабее. Алиасинг в чистом виде? да,я тоже заметил, но пока не понял, откуда это берется и куда копать. Еще чуть оффтопну - сколько времени собирается проект? Мне интересно для выбора оптимального конфига компа. На моем атлоне 640 собирается около 20 минут, на рабочем буке core i7-4310 10 минут ( памяти на атлоне 12 DDR2, на рабочем 16 гб DDR3 У меня ssd,core i3-4005U(1.70GHz), 4 gb DDR3. С отключенным модулем передатчика, собирается за 7 минут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 612] Автор : UF3K Дата : 20.02.2017 09:31 У меня новости намного печальнее. В выходные разбирался с написанием USB audio на STM32. Не даром все примеры, что есть в сети, ориентированы на вывод звука из компьютера чрез MCU - у STM просто библиотека HAL usb audio class написана до такого состояния, чтобы можно было этот пример показать. И только в старой stdlib (как ни смешно она в примерах Olimex) есть кусочки, которые работают на ввод звука. Пока выглядит все печально по скорости, т.к. похоже библиотеку профилировать ни кто не пытался. Подожду немного, в середине недели должен приехать ко мне STM32F7, начну с него. PS: Ну в итоге получилась за вечер моно звуковушка, которая со входа встроенного АЦП на 48 кГц оцифрровывает и видится HDSDR, PowerSDR. И это на копеечном STM32F103. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 613] Автор : EU1SW Дата : 20.02.2017 09:35 А как ее (панораму) задействовать, со стороны PC? на ПК в отдельном окне отдельной программки панорама всего, программку выложу, сегодня в спешке забыл дома флэшку с екзешником В гермесе (и гермесе-лайт) FIR фильтр децимирует в 8 раз, у вас, получается, в два? очевидно что так, гермесовский FIR, который везде используется последнее время, требует уйму пямяти, поэтому пока так... Я пока не вижу преимущества в децимации в последней ступени на 8 по сравнению с децимацией на 2. Ну понятно там полифазный и все такое, параметры должны быть лучше, и все такое... Но пока не очевидно, зачем половину памяти должен есть FIR. В гермес-лайте весь приемник под 12 бит заточен, возьмите лучше оригинал с гермеса. У меня в результате такой переделки полка шума на 12 дБ упала. Звучит солидно, только это всего лишь игры с отображением сетки с меньшей разрядностью на сетку с большей разрядностью. Сдвигая биты можно задвинуть полку хоть в - бесконечность дБм, вот только в физическом смысле исходная сущность 8 разрядного исходного сигнала от этого никуда не девается. Основной критерий - избежать выхода за пределы сетки со стороны старших бит, а со стороны младших, при 24 битах выходных сэмплов, даже после децимации, запаса будет бит на 10... Все равно при калибровке ПО полка вернется на то место, где она и обязана быть, с учетом разрядности АЦП и коэффициента усиления буфера перед АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 614] Автор : romanetz Дата : 20.02.2017 09:38 -Я писал и дуплексное USB audio, а затык главный произошел на ULPI. Хитрого там ничего особо нет, дескриптор прописываете и топологию устройства в него. У Геннадия Завидовского в его storch'е как раз весь функционал этот уже реализован, репозиторий открытый. Без high-speed USB затея с STM32 неинтересная. Там для отладки надо логический анализатор 100 MSPS 16 каналов. Либо использовать готовую отладочную плату с уже разведенным ULPI. Проект с дуплексом где-то на домашнем компе валяется. F7, если вы ЦОС на самом камне не делаете, там для ввода-вывода звука нафиг не нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 615] Автор : UF3K Дата : 20.02.2017 09:42 romanetz, Ссылочкой не поделитесь на репозиторий Геннадия? С дескрипторами я разобрался, пришлось правда целый вечер потратить на чтение стандарта. Ну и на 103-м процессоре немного ресурсов не хватает на 2 канала даже с увеличенной кучей и стеком. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 616] Автор : romanetz Дата : 20.02.2017 09:44 http://188.134.5.254/browser/trunk На 407-м уже норм. Максимум, на что можно рассчитывать на фулспиде, исходя из стандартной сетки частот,- формат 96/24/2 от трансивера и 48/16/2 в трансивер. Ограничением является максимальный размер транзакции в кадре у изохронного аудио эндпоинта в 1023 байта. А вот для чего STM32 может реально быть полезен - реализовывать по сети одно TCP соединение вместо нескольких UDP. Они проще через интернет прокидываются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 617] Автор : UF3K Дата : 20.02.2017 09:46 romanetz, большое спасибо, поизучаю. Мне кажется что и на 103 со временем получится, если внимательно все посмотреть. Но не быстро все это, ох не быстро. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 618] Автор : romanetz Дата : 20.02.2017 09:55 Не получится, на 103 размер у эндпоинта максимум 512 байт. Посмотрите еще у товарища с ником tipok. Сайт tipok.org.ua. там есть вывод аудио в стм32ф103 без всякого куба. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 619] Автор : Genadi Zawidowski Дата : 20.02.2017 14:25 большое спасибо, поизучаю Будут вопросы, пишите. Формирование дескрипторов - usbd_desc.c, оно от типа процессоров мало зависит. Если что, звуковое устройство там создается с terminal type "радиоприемник" и "радиопередатчик" - при подключении к windows оказывается в "запрещенном" состоянии - надо вручную разрешить. Чтение стандарта не помогло создать аудиоустройство с выбираемым типом "звучащего" устройства - например, переключением с "радиопередатчик" на "наушники". Источник звука - I/Q панорама или выход детектора - различаются форматом данных и потому переключаются легко. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 620] Автор : UF3K Дата : 20.02.2017 14:52 Геннадий, спасибо. Я на такую глубину промера даже не замахивался ))) Хотелось просто сформировать LineIn и Out. В принципе, получилось описать в дескрипторах в моно-режиме (в стерео уперся в производительность 103-го процессора и наличие времени на выходных что бы дописать 2-й канал). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 621] Автор : romanetz Дата : 20.02.2017 18:59 Впрочем, достаточно и типов терминалов "микрофон" и "динамик". С точки зрения приложений разные типы терминалов ничем не отличаются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 622] Автор : Genadi Zawidowski Дата : 20.02.2017 19:05 К микрофону и динамику Windows автоматически добавляет property page с управлением эффектами (даже если feature unit в топологии отсутствует). У радиоприемник/передатчик с минимальной топологией (только input/output terminal) эффекты даже случайно не включить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 623] Автор : EU1SW Дата : 20.02.2017 19:25 Доброго дня всем! в прищепке архив проекта Bandscope для 15го квартуса, в основе код осциллографа с сайта fpga4fun. из проприетарных IP использован 2PORT RAM, поэтому не могу сказать, скомпилируется ли в более ранних версиях. TxD & RxD - покрестить на соответствующие выводы модуля USB-TTL, и общий, питание на модуль отдельно подавать не нужно, питается от USB, для теста я использовал широко распространенный CH340, передача в сторону ПК - 1 Мбит/с, проверить работоспособность после сборки очень просто, после заливки проекта в ПЛИС нужно открыть любой терминал, настроить параметры соединения на 1000000 бит/с, 8 дата, 2 стоп бита и открыть виртуальный порт, созданный в системе для этого USB-TTL. При отправке любого символа в сторону ПЛИС в ответ прилетит пакет 4096 байт, последовательность из 2048 16-битных сэмплов с АЦП. В async.v можно изменить скорости отправки сэмплов, там все довольно прозрачно, и сложностей особых быть не должно. 256507 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 624] Автор : EU1SW Дата : 20.02.2017 20:33 ну и по поводу Гермес Лайт с Езернетом для 10К -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 625] Автор : EU1SW Дата : 20.02.2017 21:51 дописал тестовый модуль, приемник рабочий осталось ЦАП припаять -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 626] Автор : romanetz Дата : 21.02.2017 06:05 Бандскоп можно по той же сети отправить, что и трафик данных, только другую пару номеров UDP-портов взять (src/dest). И он не будет приемнику мешать. С PHY полосу 960 кГц не пробовали? В плисину я все прописал, а в extio.dll еще не успел. В cuSDR, смотрю по трафику, еще и передача работает. Но как-то странно, большие буфера нужны будут. (8к передается за раз). Или это следствие буферизации в драйвере сетевой карты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 627] Автор : UA4WAQ Дата : 22.02.2017 09:25 В cuSDR, смотрю по трафику, еще и передача работает. Но как-то странно, большие буфера нужны будут. (8к передается за раз). Или это следствие буферизации в драйвере сетевой карты? jumbo frame на сетевой включен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 628] Автор : romanetz Дата : 22.02.2017 10:21 http://www.cqham.ru/forum/attachment.php?attachmentid=256510&d=1487612094 Дело даже не в jumbo frame, а в порядке пакетов "на проводе". Jumbo frame, как таковой - не использован. И ещё один момент - MAC гермеса передаёт юникастовые пакеты, а здесь летит броудкаст. Это имеет значение при подключении по вайфаю - точка выбирает разную канальную скорость в зависимости от адресата трафика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 629] Автор : EU1SW Дата : 22.02.2017 13:19 Вы делаете неверные выводы, посмотрите размер пакета в нижнем окне, адреса назначения там же, адреса в в верхнем окне и размер пакета тоже в верхнем... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 630] Автор : EU1SW Дата : 22.02.2017 14:47 А теперь знатоку, внимание вопрос, какой пакет отображен в нижнем окне? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 631] Автор : romanetz Дата : 22.02.2017 15:34 Который никакого отношения к приемнику не имеет. Сорри, затупил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 632] Автор : EU1SW Дата : 22.02.2017 20:15 ПО для ПК для бендскопа открывает COM5, тактовую частоту записываем в файл config.txt в килогерцах https://yadi.sk/d/BUC5negZ3EPdzA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 633] Автор : romanetz Дата : 23.02.2017 12:10 cuSDR эту штуку (бэндскоп), оказывается, штатно умеет использовать. подпалил три пина на АЦП, как назло, в середине шины, теперь месяц ждать с Китая новые :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 634] Автор : EU1SW Дата : 23.02.2017 20:34 подпалил три пина на АЦП, фух... как же это произошло? cuSDR эту штуку CuSDR ожидает ессно сырых сэмплов с тактовой 122.88, а при 96 врет безбожно, что естественно и должно быть а тут как то не получается от 6645 добиться стабильности на этой частоте. Поделитесь, как получилось запустить на 122.88? Писали как то ранее что у Вас работает... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 635] Автор : vfc Дата : 26.02.2017 19:42 Уважаемый автор и знатоки! Прошу наставить на путь истинный. Реализовал обсуждаемый проект с небольшими отличиями: 1. Использовал отладочную плату от Altera с FPGA 10M08SAE144. 2. Изменил смещение битов с 3-х на 2 в модуле serializer из-за ограничения сигнала сверху. 3. Переназначил выводы FPGA. Признаки работы есть. Шум великоват, но с этим можно побороться. Понятно куда двигаться. Тем более, что прием производится на кусок провода и сигнал маловат ... Но есть и непонятные проблемы. 1. Нет нормальной демодуляции сигналов. Слышно, что работает любительская станция, но ничего не понять... 2. Одновременно видны два спектра этой станции - прямой и обратный (на небольшом удалении по частоте). 3. При изменении частоты LO эти два спектра синхронно двигаются относительно частоты их симметрии (сближаясь или удаляясь). 4. В полосе обзора диапазона 96 кГц наблюдаются еще два повторения парных сигналов той-же станции. 5. Несущая телеграфной станции рассыпается на несколько пиков спектра с соответствующим искажением тона. 6. Несущие вещательных станций тоже рассыпаются на лес пиков в спектре. Модуляция в АМ не принимается. Описанные проблемы видны в прилагаемых файлах. Запись сделана в HDSDR. Использован ExtIO_Example96.dll. Проверил все частоты сигналов синхронизации данных между модулями. Все в норме. HDSDR от аналогового SDR+звуковая карта работает нормально. Попробовал принимать на SDRSharper. Та же картина как описано выше. Пробовал использовать только младшие 8 бит АЦП AD6645. Та же картина. Может кто сталкивался с подобным, подскажите в чем тут может быть дело. Ссылки на файлы записи: https://yadi.sk/d/LAH7HzNi3EePjy https://yadi.sk/d/gH98g2h03EePrr -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 636] Автор : UA4WAQ Дата : 28.02.2017 09:18 .. 2. Одновременно видны два спектра этой станции - прямой и обратный (на небольшом удалении по частоте). 3. При изменении частоты LO эти два спектра синхронно двигаются относительно частоты их симметрии (сближаясь или удаляясь). 4. В полосе обзора диапазона 96 кГц наблюдаются еще два повторения парных сигналов той-же станции. у меня такие же проблемы. 1 в 1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 637] Автор : EU1SW Дата : 28.02.2017 10:12 Я бы с удовольствием, но мне совершенно непонятно, как используя теоретически 2 разные версии кода получить одну и ту же проблему... У Виталия должен был быть под 6645 с DRY, у UA4WAQ (http://www.cqham.ru/forum/member.php?3852-UA4WAQ) должен был бы быть под 8 битный, с защелкиванием по заднему фронту тактовой, по причине отсутствия сигнала DRY с АЦП мне нужны подробности, что б ответить Добавлено через 14 минут(ы): UA4WAQ (http://www.cqham.ru/forum/member.php?3852-UA4WAQ), и что произошло в промежутке между этим постом http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1342299&viewfull=1#post1342299 и последним, тоже интересно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 638] Автор : UF3K Дата : 28.02.2017 12:19 Уважаемый автор и знатоки! 5. Несущая телеграфной станции рассыпается на несколько пиков спектра с соответствующим искажением тона. 6. Несущие вещательных станций тоже рассыпаются на лес пиков в спектре. Модуляция в АМ не принимается. Виталий, а аналоговая часть АЦП от чего питается? У меня при первоначальных попытках питать от USB были довольно похожие глюки, но не всегда, а при неудачном ресете. Для питания достаточно нечто "аля" LM7805 с небольшим радиатором. И, конечно, Сергей прав - нужно перепроверить DRY. PS: Код из 1-го сообщения заработал "из коробки", только пины в Pinplanner расставить внимательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 639] Автор : UA4WAQ Дата : 28.02.2017 12:40 Я бы с удовольствием, но мне совершенно непонятно, как используя теоретически 2 разные версии кода получить одну и ту же проблему... У Виталия должен был быть под 6645 с DRY, у UA4WAQ (http://www.cqham.ru/forum/member.php?3852-UA4WAQ) должен был бы быть под 8 битный, с защелкиванием по заднему фронту тактовой, по причине отсутствия сигнала DRY с АЦП мне нужны подробности, что б ответить Касательно кода,он отличается некоторыми частями, что то есть общее. Ext_io, например. UA4WAQ (http://www.cqham.ru/forum/member.php?3852-UA4WAQ), и что произошло в промежутке между этим постом http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1342299&viewfull=1#post1342299 и последним, тоже интересно Я раньше на это не обращал внимание, так как смотрел на сигналы вещалок. После того, как сделал нормальную плату и стал слушать РЛ диапазон, это стало сильно заметно ( со старой версией, сигналов РЛ вообще было не видно под шумом, а к каше из вещалок, не придирался). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 640] Автор : EU1SW Дата : 28.02.2017 13:20 Там общего еще и кордик, и циц и фир, и формирователь потока, если на то пошло... Суть не могу понять, вещалки то выглядят на том скрине нормально, и шум там там где и должен быть. И картинка та очень сильно отличается от того, что видно в записях Виталия. Что то мне кажется что проблема в "гонках", ибо другие ПЛИС... Могу только посоветовать поиграться с порядком считывания байт в модуле сериалайзера. Это единственное узкое место в смысле синхронизации. Добавлено через 30 минут(ы): Значитццо так, пару идей, в модуле receiver.v строчку assign out_ready = cic_outstrobe_2; изменить на assign out_ready = decim_avail; ну и соответственно пробовать и второе в модуле serializer.v, конкретно в месте "if (start == 1'b1) counter <= 2;" заменить двойку на 0, это в случае если боковые окажутся перепутаными, и если ничего не помогло, в месте, которое ниже, менять порядок байт, ноль поменять с 1, и двойку с тройкой. case(counter) 2'd0: out_data <= temp_data_im[15:8];//2 2'd1: out_data <= temp_data_im[23:16];//1 2'd2: out_data <= temp_data_re[15:8];//4 2'd3: out_data <= temp_data_re[23:16];//3 endcase -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 641] Автор : vfc Дата : 28.02.2017 20:14 RX3QFM, Владимир, спасибо за ответ! Аналоговую часть АЦП питал от различных источников: 1. От USB ноутбука. 2. От стабилизированного источника питания (трансформаторного, сетевого) на основе 142ЕН5А. 3. От гальванической батареи. Никакой заметной разницы в поведении макета приемника не заметил. Сделал вывод, что причина в чем-то другом. DRY попытаюсь проверить в выходные. Если проблема в "гонках", то наиболее вероятно их проявление как раз в высокочастотной части. Это обнадеживает. Проверку соединения выводов АЦП и ПЛИС проверял несколько раз, даже прозванивал "от ноги до ноги". Ошибок не нашел. Нашел один непропай у китайских товарищей. Пропаял, но не помогло... Добавлено через 43 минут(ы): EU1SW, Сергей, спасибо! Да, общего в вариантах хватает... Я прошелся по тракту приемника. Проверил передачу данных через формирователь потока. Сформировал килобайтовый пакет в ПЛИС, передавал его непрерывно по Ethernet. Принимал с помощью Wireshark. Прием без ошибок. Формировал и принимал линейнонарастающую и линейноспадающую функции. Все в норме. Подавал на вход serializer'a две константы. Принимается в HDSDR чистый тон на "нулевой частоте". А вот при подаче на вход serializer'a комплексной синусоиды на частоте 9,6 кГц (да и на других частотах) принимается как лес пиков в спектре. С порядком байт в serializer'е играл. Переставлял байты, порядок следования слов, изменял сдвиг, обнулял байты... Не помогает. В reciever'e изменял assign out_ready = cic_outstrobe_2; на assign out_ready = decim_avail;. Удивило, что передача кода на serializer синхронизируется с выхода второго CICa, а не с выхода FIRa. Ничего не изменилось. Потом посидел, порисовал, так и должно быть. Слова в выходной регистр reciever'a записываются с частотой 96 кГц, а считываются с частотой 192 кГц, т.е. дважды повторяется считывание и перенос тех же слов в serializer, пока четыре байта передаются с сеть. Зачем так сделано мне не понятно. Достаточно было бы и одного считывания, но это никому не мешает и не ухудшает передачи данных. Пока хорошей идеи у меня нет... А попробовать проверить DRY, как мне кажется, стоящая мысль. Нужно в выходные проверить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 642] Автор : avbelnn Дата : 28.02.2017 20:18 1. Нет нормальной демодуляции сигналов. Слышно, что работает любительская станция, но ничего не понять... 2. Одновременно видны два спектра этой станции - прямой и обратный (на небольшом удалении по частоте). 3. При изменении частоты LO эти два спектра синхронно двигаются относительно частоты их симметрии (сближаясь или удаляясь). Попробовал имитировать Вашу ситуацию.Симметричность спектра говорит об одинаковых значениях мнимой и вещественной составляющих в квадратурах.Приравнял квадратуры к rx.real(или rx.image не важно).Все симптомы схожи с Вашими.К сожалению передача квадратур меня по i2s,но сути не меняет).257240 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 643] Автор : vfc Дата : 28.02.2017 21:06 avbelnn, R3TGP, спасибо!К сожалению я не сумел понять Вашу мысль. По-видимому, Вы говорите о равенстве амплитуд комплексных составляющих сигналов. Как мне представляется, равенство амплитуд говорит лишь о том, что вещественная амплитуда сигнала в 1,41 раз больше амплитуд комплексных составляющих и, что она расположена под углом 45 градусов к комплексным осям координат. В сложном комплексном сигнале, например, звуковом, равенство комплексных амплитуд происходит по случайному закону, но, как мне представляется, многократно каждую секунду. Если бы это рождало паразитные компоненты спектра, то мы бы наблюдали паразитные излучения по бокам от передающих станций. Тем не менее некоторое сходство с моими "картинками" есть. Как мне кажется, это объясняется тем, что, когда Вы убрали мнимую компоненту сигнала, то потеряли подавление зеркального канала приема. И мы наблюдаем симметричные прямой и зеркальные каналы. Что-то подобное происходит и у меня. К сожалению, в моем случае, не понятна конкретная причина и место возникновения проблемы. Кроме того, у меня дело осложняется повторением "картинки" на достаточно узком участке спектра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 644] Автор : avbelnn Дата : 28.02.2017 21:26 В Вашем случае наблюдается "рассыпание"спектра,у меня же повторилась картинка как у UA4WAQ(приравнял или действительную или мнимые части) когда Вы убрали мнимую компоненту сигнала, то потеряли подавление зеркального канала приема. Коим образом она участвует в подавлении зеркального канала при полностью идентичных каналах,без разниц по фазе и по амплитуде?Приём в моём случае был в LSB нормальный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 645] Автор : UF3K Дата : 28.02.2017 22:04 2 RZ3TJ: Виталий, а что сейчас SignalTap рисует на выходах АЦП, если на вход АЦП поставить 50 Ом терминатор? За клок 96 МГц удобно зацепиться, а потом за DRY. Заодно и DRY проверите. Прямо картинки сюда выложите, если будете снимать диаграммы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 646] Автор : avbelnn Дата : 28.02.2017 23:28 А вот при подаче на вход serializer'a комплексной синусоиды на частоте 9,6 кГц (да и на других частотах) принимается как лес пиков в спектре. При подаче квадратурного сигнала (sin,cos) например 1 кГц 257250у Вас должна быть вот такая картинка257251 положение относительно "нулевой" определяет sin->re.cos->im.и наоборот.Тем самым Вы исключите всю часть приёма с DDC.Если картинка не поменяется,значит причина в передаче-приёме пакетов(данных).Тон в 1 кГц должен быть слышен при полосе фильтра >1 кГц.(в соответствии с боковой LSB or USB). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 647] Автор : Genadi Zawidowski Дата : 28.02.2017 23:41 Иногда "развал" спектра наблюдается при подсовывании знаковых чисел туда где ожидаются беззнаковые и наоборот. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 648] Автор : EU1SW Дата : 28.02.2017 23:48 Я так и проверял работу формирователя, генерил квадратурное NCO, правда достаточно его было затактировать от 384 кГц и подать соответствующую константу на вход аккумулятора фазы. Значение константы можно подсмотреть в мастере NCO. Добавлено через 7 минут(ы): Геннадий, кстати, таки Вы возможно совершенно угадали. 6645 старший бит - знаковый, в варианте для 8 бит добавлен сумматор для преобразования беззнакового выхода этого АЦП в знаковый, который и идет далее в обработку. Возможно коллеги не придали значения -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 649] Автор : avbelnn Дата : 28.02.2017 23:52 Значение константы можно подсмотреть в мастере NCO.Можно и так,но у меня этот модуль сделан для передачи телеграфа непосредственно из FPGA(и есть возможность генерить разные частоты). Попутно вопрос:пробовали ли ВЫ принимать 144 и 433 (насколько позволяет полоса пропускания для м.с DDC) конечно с обходом ДПФ на входе и на сколько падает чувствительность в следующих зонах Найквиста?(для этой ацп) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 650] Автор : EU1SW Дата : 28.02.2017 23:57 Да, я отписывлся в теме, на 144 где то на 1-1.5 дБ хуже, что вполне терпимо, на мой взгляд. На 430 сильно хуже. Да и по даташиту 6645 до 200 МГц позиционируется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 651] Автор : avbelnn Дата : 01.03.2017 09:10 Иногда "развал" спектра наблюдается при подсовывании знаковых чисел туда где ожидаются беззнаковые и наоборот. Геннадий прав.Только знак квадратур почему то не учитывается в передаче.Посмотрел в 32bit 11 версии выход module Receiver output reg signed,а вход module serializer уже input [23:0] inp_re(без знаковый).Знак квадратур не учитывается при передаче и их значения принимают только к положительные значения(что ведёт к искажениям спектра и нарушению демодуляции). когда Вы убрали мнимую компоненту сигнала, то Скорее сигнал стал комплексно сопряжённым и спектр зеркальным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 652] Автор : EU1SW Дата : 01.03.2017 09:24 Причина точно не в этом. ) Не стоит трактовать Геннадия излишне прямолинейно. В конце концов вспомните о том, что это все таки реально работает, вопреки Вашим догадкам ) А причина проста, Езернет передает байты, т.е. 8 бит, и ему плевать, как вы представляете себе содержимое байта, как char, signed char или еще как нибудь... Важно только лишь что бы биты 4-х переданных байт на приемном конце сложились в правильную последовательность бит 2-х short, и вот только там опять появляются знаки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 653] Автор : avbelnn Дата : 01.03.2017 09:54 это все таки реально работает, вопреки Вашим догадкам Я не спорю,что это не работает,просто ищу причину.Если константы передаются правильно,а комплексный сигнал с искажениями,то это о чём то говорит.Различия у них только в наличии знака,который кстати там опять появляются знаки появляются исходя из значений этих байтов.Выходит,что теряется знак.Проверить легко,передать отрицательное значение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 654] Автор : EU1SW Дата : 01.03.2017 10:27 Умозрительные поиски причины увлекательны не спорю, но мы уже начинаем повторяться, вчера я уже предлагал эксперименты с порядком байт, однако непонятно, что же все таки изначально приходит на кордик, собственно даже элементарного - дать ссылку на вариант исходного кода... Только два сообщения, у меня плохо, и второй автор поделился что у него тоже плохо, а поди догадайся что там компилят... а из херни результата на получится, как байты не переставляй... опять же вчерашнее - запустив комплексное NCO на вход сериалайзера автор проверит формирование и отправку. После этого можно сгенерить NCO для подачи тестового сигнала на вход кордика, и тд. и тп. Средств проверить прохождение сигнала предостаточно. ну и SignalTap никто не отменял. Я думаю идей достаточно, осталось последовательно претворить их в жизнь и результат появится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 655] Автор : UA4WAQ Дата : 01.03.2017 23:58 и если ничего не помогло, в месте, которое ниже, менять порядок байт, ноль поменять с 1, и двойку с тройкой. case(counter) 2'd0: out_data <= temp_data_im[15:8];//2 2'd1: out_data <= temp_data_im[23:16];//1 2'd2: out_data <= temp_data_re[15:8];//4 2'd3: out_data <= temp_data_re[23:16];//3 endcase В моем случае помог следующий порядок бит: case(counter) 2'd3: out_data <= temp_data_im[15:8];//2 2'd2: out_data <= temp_data_im[23:16];//1 2'd1: out_data <= temp_data_re[15:8];//4 2'd0: out_data <= temp_data_re[23:16];//3 endcase Спасибо! Добавлено через 16 минут(ы): И ещё совсем глупый вопрос задам. Так как это мой первый sdr, потому мне можно :-P У меня диапазон 40 метров выглядит как на скриншоте. По середине - вещалка, куда частоту не двигаю (+-1 Мгц и более) , она все время там. При этом приемник по частоте перестраивается нормально и демодулирует остальные сигналы. Это перегруз ? 257339 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 656] Автор : romanetz Дата : 02.03.2017 05:25 Еще один не упомянутый момент - заглядывать после компиляции в RTL netlist viewer, чтобы быть уверенным, что квартус вас правильно понял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 657] Автор : UF3K Дата : 02.03.2017 09:13 Простите, но что-то логика мне подсказывает, что если проект из 1-го сообщения нормально работает у Сергея и у меня на таком же железе, то дело вовсе не в ошибках кода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 658] Автор : EU1SW Дата : 02.03.2017 13:58 приемник рабочий осталось ЦАП припаять припаял, первое включение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 659] Автор : M0TLN Дата : 02.03.2017 15:55 припаял, первое включение -48dB для второй гармоники? Неплохо для первого включения.Это как-то зависит от выходной частоты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 660] Автор : EU1SW Дата : 02.03.2017 16:14 Это не специально скринил с целью продемонстрировать параметры, а с целью показать прогресс по запуску передатчика... но после этого вечером уже ничего не документировал, ибо и так понятно было ) Обвязку ЦАП привел к даташитной и в коде передатчика вычистил специфические нюансы, связанные с другим фронтэндом, там не все биты использовались. На текущий момент ситуация значительно улучшена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 661] Автор : Genadi Zawidowski Дата : 03.03.2017 00:39 Валяется плата одной их первый версий трансивера "Аист". Плата с некоторыми недостатками в приёме (близко находятся FPGA и АЦП), тактовый сигнал на АЦП и ЦАП проходит сквозь FPGA. Решил проверить на ней влияние использования внутреннего умножителя в Cyclone III. Картинки снимались спектроанализатором SignalHound USB-SA44B с внутренним опорным генератором, транисвер в режиме передачи тестового сигнала (в квадратурный смеситель подается постоянная составляющая), выходной ЦАП - AD9744. Использовались кварцевые генераторы 125 МГц (любезно предоставленный RK6AJE), 63.595 МГц - без PLL и 12.88 МГц - с внутренним PLL FPGA. На мой взгляд, если разница и есть, она на уровнях ниже возможностей данного спектроанализатора. 125 МГц явно выше качеством... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 662] Автор : EU1SW Дата : 03.03.2017 00:48 Геннадий, с праздником! И спасибо за информацию! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 663] Автор : Genadi Zawidowski Дата : 03.03.2017 01:05 Спасибо за поздравления. И для сравнения - аппарат с ABLNO 122.88 MHz - тактовая частота на ЦАП приходит напрямую с генератора. График phase noise явно ушел глубже. Хотя, судя по нарастанию при отдалении, это все ФАПЧ в спектроанализаторе... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 664] Автор : Genadi Zawidowski Дата : 03.03.2017 03:08 И еще картинки. Попытка посмотреть через канал real time spectrum, как выглядит сигнал кварцевого генератора (TXS1144M 12.800 MHz). Генератор питается от того же стабилизатора, что и опорник трансивера. выход или подан прямо на антенный разъем приемника или провод просто лежит рядом. В параметрах FPGA PLL loop bandwidth выбрана LOW - это для картинок с аппарата где 12.88 МГц * 10 - NARROW или WIDE. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 665] Автор : UR3IQO Дата : 03.03.2017 08:26 На мой взгляд, если разница и есть, она на уровнях ниже возможностей данного спектроанализатора. При таком шуме СА что-либо про генераторы говорить сложно будет - они уж совсем должны быть плохими. Сделайте хороший КГ (на низкую частоту не проблема с шумами под -160дБн/Гц@5кГц сделать, а если постараться, то можно еще на 10..15дБ опуститься) и смеситель, и звуковухой гляньте. Еще лучше добавить простейшую ФАПЧ, тогда реально будет шумы обмерять в первом случае где-то до -150дБн/Гц, во втором где-то до -165..170дБн/Гц. Как говорится почувствуйте разницу :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 666] Автор : romanetz Дата : 03.03.2017 08:33 Без линеаризации выхода все равно после усилителя комбинашка -40 дБн будет в лучшем случае. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 667] Автор : UF3K Дата : 03.03.2017 09:03 все равно после усилителя комбинашка -40 дБн будет Одно дело когда из-за IMD летят "хвосты" в соседних каналах, и совсем другое, когда шумовая полка лупит по всему спектру. Вот это действительно страшно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 668] Автор : RA3APW Дата : 03.03.2017 10:05 На мой взгляд, если разница и есть, она на уровнях ниже возможностей данного спектроанализатора. Геннадий, спасибо за интересные измерения. Согласен с Олегом, UR3IQO. Именно так, причина в собственном фазовом шуме СА (внутри, насколько помню, AD4350) - разбирали это здесь - http://forum.vhfdx.ru/izmeritelnaya-apparatura/analizator-spektra-signal-hound-usb-sa44b/msg84706/#msg84706 На Signal Hound проблема была измерить даже хороший синтезатор, не говоря уже о кварцевом генераторе. И в первую очередь, наверное, было бы интересно определиться с требованиями по ФШ опорного сигнала в режиме приема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 669] Автор : EU1SW Дата : 03.03.2017 11:11 Добрый день, Карен! Насколько я понимаю, то даташит АЦП КМК отвечает нам на вопрос о минимально достаточных характеристиках сигнала тактирования, в том числе и для различных зон Найквиста. И AN-756 еще интересный документ, на мой взгляд. Добавлено через 36 минут(ы): http://www.analog.com/media/en/technical-documentation/application-notes/AN-756.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 670] Автор : UA4WAQ Дата : 03.03.2017 13:19 У АД, есть виртуальный инструмент, в котором можно наглядно оценить влияние джитера. http://beta-tools.analog.com/virtualeval/#tool_pid=AD6645&tab=fft&numtones=singletone&=0.8912509381337&freq=7000000&1=0.446683592150963&freq1=7000000&2=0.446683592150963&freq2=9000000&speed=speed1&fclk1=105000000&jitter=3e-11 (http://beta-tools.analog.com/virtualeval/#tool_pid=AD6645&tab=fft&numtones=singletone&=0.8912509381337&freq=7000000&1=0.446683592150963&freq1=7000000&2=0.446683592150963&freq2=9000000&speed=speed1&fclk1=105000000&jitter=3e-11) 257484 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 671] Автор : RA3APW Дата : 03.03.2017 15:28 Добрый день, Карен! Насколько я понимаю, то даташит АЦП КМК отвечает нам на вопрос о минимально достаточных характеристиках сигнала тактирования, в том числе и для различных зон Найквиста. И AN-756 еще интересный документ, на мой взгляд. Сергей, благодарю за ссылку на документ - весьма полезный. Хотя примеры из широкополосных стандартов связи не совсем хороши для наших задач, так как требования к ФШ в таких стандартах на несколько порядков хуже. С влиянием ФШ на АЦП мы действительно разобрались ранее и здесь вроде бы основное ясно для предварительной оценки. Для меня не ясен вопрос как влияет ФШ опоры на результат обработки в FPGA и следует ли использовать (и как правильно) PLL FPGA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 672] Автор : Genadi Zawidowski Дата : 03.03.2017 16:18 Да никак не влияет... Если оцифровано без джиттера (к примеру) а в FPGA обработка по своему клоку, то при правильной синхронизации (dual clock fifo на данных от АЦП) все в лучшем виде... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 673] Автор : UF3K Дата : 03.03.2017 20:31 Статья от AD по пересчету фазового шума генератора в джиттер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 674] Автор : EU1SW Дата : 03.03.2017 20:51 однотоновый, вторая ~-55 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 675] Автор : EU1SW Дата : 04.03.2017 11:59 сам на себя -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 676] Автор : Genadi Zawidowski Дата : 04.03.2017 12:07 Спуры на -80 dBc - интересно, это у приемника или передатчика? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 677] Автор : EU1SW Дата : 04.03.2017 12:15 вероятнее всего передатчик, хочу попробовать порядок интерполятора поднять, если влезет ((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 678] Автор : Genadi Zawidowski Дата : 04.03.2017 12:16 У интерполятора было бы симметрично, это из NCO. зы: первая версия у меня тоже в 6 тысяч элементов влезала... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 679] Автор : EU1SW Дата : 04.03.2017 12:24 езернет тянет много -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 680] Автор : Genadi Zawidowski Дата : 04.03.2017 12:27 Ничего, начнешь увеличивать разрядность и точность NCO - тоже много потянет. ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 681] Автор : EU1SW Дата : 04.03.2017 12:29 позитивно ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 682] Автор : Genadi Zawidowski Дата : 04.03.2017 12:30 позитивно ))) Мне кажется, в duc/ddc куда ни залезь, везде куча позитива ожидает. А что сейчас используется как NCO? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 683] Автор : EU1SW Дата : 04.03.2017 12:54 кордик, как и везде -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 684] Автор : Genadi Zawidowski Дата : 04.03.2017 13:05 Там, вероятно, есть параметры (сколько разрядов от FTW используется, какая точность выходного значения используется в перемножении)? Или просто их мрачно увеличить... Если как везде - из HiQSdr взято - то там аналогично должно проявляться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 685] Автор : UA4WAQ Дата : 06.03.2017 10:39 2. Одновременно видны два спектра этой станции - прямой и обратный (на небольшом удалении по частоте). 3. При изменении частоты LO эти два спектра синхронно двигаются относительно частоты их симметрии (сближаясь или удаляясь). 4. В полосе обзора диапазона 96 кГц наблюдаются еще два повторения парных сигналов той-же станции. Использован ExtIO_Example96.dll. HDSDR от аналогового SDR+звуковая карта работает нормально. В эти выходные провел эксперименты на 8 битной версии с АЦП9283, по этим пунктам. Выявил, что на это влияет сброс. Если нажимать несколько раз кнопку сброса, то в какой то момент подавление зеркального канала начинает работать нормально. Добавлено через 15 минут(ы): Так же прикрутил УВЧ на двух BFG135 и полосовые фильтры. Эфир ожил, я даже не ожидал, что 8 бит так может работать. 257746257747 записи с 20,40,80м тут: https://drive.google.com/drive/folders/0BxBdX8maUb3qcXlKSnZTbG5lWWs?usp=sharing -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 686] Автор : EU1SW Дата : 06.03.2017 10:52 Так же прикрутил УВЧ на двух BFG135 и полосовые фильтры. Эфир ожил, я даже не ожидал, что 8 бит так может работать. Я про необходимость усиления изначально писал. 8 бит ограничивают ДД, а чувствительности необходимой достичь несложно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 687] Автор : UA4WAQ Дата : 09.03.2017 08:58 припаял, первое включение Сергей, а у вас сейчас DAC904 так же 4 битами подключен, или что то поменялось? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 688] Автор : EU1SW Дата : 09.03.2017 10:04 а у вас сейчас DAC904 так же 4 битами подключен Хорошая шутка, спасибо! И Вам, Яков Викторович, успехов и всего хорошего ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 689] Автор : UA4WAQ Дата : 09.03.2017 11:30 Хорошая шутка, спасибо! И Вам, Яков Викторович, успехов и всего хорошего ) :-P на первой фото очень похоже, шучу конечно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 690] Автор : EU1SW Дата : 09.03.2017 11:38 выглядит пока так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 691] Автор : UA4WAQ Дата : 10.03.2017 16:36 Сообщение от romanetz В вольном переводе - чтобы клок всюду не пролазил, его ограничивают по амплитуде. получилось ли протестировать 6645 на 122,88? кто-нибудь уже запустил? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 692] Автор : rk6fe Дата : 28.03.2017 09:33 Здравствуйте, я не программист, но могу по инструкции или принципиальной схеме собрать любую конструкцию. Есть ли смысл мне вкладывать свои пенсионные, мне 70 лет, в ваш проект? Я не кокетничаю с возрастом, просто мне трудно понять абстрактные выражения. Это проект для массового повторения, или элитарный 90% информации на форуме для меня бесполезный. Если я приобрету все составляющие здесь описанные в 1-м и последующем посте, смогу ли я собрать действующую конструкцию? В смысле набора готовых модулей, схемы соединений набора готовых модулей, метода программирования и отлаженных программ для программирования. Область конструирования, которую вы затронули для меня нова и очень интересна. С искренним уважением ко всем участникам форума. RK6FE, Валерий, село Марьины Колодцы, Ставропольский Край. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 693] Автор : Genadi Zawidowski Дата : 28.03.2017 12:25 Я считаю, данный проект эти именно самообразование участников, как устройство для простого повторения лучше не использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 694] Автор : rk6fe Дата : 28.03.2017 12:29 Геннадий, большое спасибо за ответ, да получилось бы очень тягомотное общение глухого со слепым. Всех вам благ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 695] Автор : Ra9cin Дата : 28.03.2017 12:35 rk6fe, Добрый день ! Сложно ответить на Ваш вопрос. Собрать можно, но проект до логического завершения еще далек. О мелких ньюансах известных профи компьютерщикам не написано. Если Вы отличите MAC от IP. Знаете как работает Ethernet. Проект скорей для обучения и получения новых знаний ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 696] Автор : EU1SW Дата : 28.03.2017 13:47 О мелких ньюансах известных профи компьютерщикам не написано Уточните, что именно Вы имеете в виду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 697] Автор : rk6fe Дата : 28.03.2017 18:34 Добрый вечер Ra9cin, спасибо за ответ. Если вы о физическом и логическом адресах компьютера в сети, и пакетном способе передачи данных в сети Ethernet. Знаю на уровне пользователя. Да, вопрос я задал конечно неоднозначный и прошу прощения ответы будут такими же. Есть определенные уровни теоретической подготовки в той или иной сфере. Я понял, что проект рассчитан на людей, имеющих высшее или незаконченное высшее образование в области программирования. Я базой знаний в этой области не обладаю. Ни в коей мере не хочу поднимать волну недовольства или иной критики в адрес Сергея EU1SW, снимаю шляпу перед его знаниями и умением. Может мой пост в теме еще кого-нибудь остановит от необдуманных шагов, или заставит сначала изучить теорию, хотя бы на начальном уровне. Просто я случайно запрыгнул не в тот вагон. Еще раз спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 698] Автор : UA6CT Дата : 28.03.2017 21:15 Просто я случайно запрыгнул не в тот вагон Похоже, те, кто не обладает специфическими знаниями в области программирования, собрали эту конструкцию еще на первых страницах темы и сейчас работают на ней в эфире.))) Остальные занимаются саморазвитием. А вам все боятся что-то советовать, потому что невозможно оценить заочно работоспособность, уровень способностей, знаний и опыта. Посоветуешь - а потом сам виноват еще останешься, такое тут часто бывает) В теме, я считаю, вполне достаточно информации для взвешенного принятия решения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 699] Автор : rk6fe Дата : 29.03.2017 00:02 UA6CT, спасибо, практика - критерий истины. Нет железа - нет темы для разговора. Думаю, что я отвел тему совершенно в другую сторону, да простит меня Сергей. Я получил исчерпывающие ответы на свои вопросы и удовлетворен ими. Прошу если есть для меня информация писать в личку. Еще раз всем спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 700] Автор : romanetz Дата : 29.03.2017 05:04 В теме также есть версии программы, которые можно просто прошить, и в них ничего исправлять не надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 701] Автор : EU1SW Дата : 06.04.2017 22:47 Информации пост. 15 ваттный трансивер из деталек с Али, работающий по протоколу Гермес, 100 МБит Езернет, обмерян инструментально, опробован в эфире, и даже существует не в единичном экземпляре. SSB, jt65 & wspr, критических проблем не обнаружено, ПО PSDR. Еще хотелось бы допилить пару нюансов, типа автомат на ТХ ФНЧ, автомат на коммутацию VNA/TRX, и обмерять тактирование от КГ на 96 МГц без PLL. По ТRX будет отдельная тема, продолжим там... Всем 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 702] Автор : BearG Дата : 09.04.2017 12:14 Здравствуйте! Поздравляю автора с достигнутым! Я на "танке", по этому есть пару вопросов. Какое именно железо с Али используется и доступен ли работающий код под квартус к нему? Всех благ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 703] Автор : UF3K Дата : 09.04.2017 13:58 Я на "танке" Я не автор, но попробуйте спуститься на землю грешную и прочитать 1-е сообщение в теме. А потом еще немного почитать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 704] Автор : BearG Дата : 10.04.2017 00:07 В облаках не летаю. Не беспокойтесь. Читаем тему со дня ее появления.Не всё стикуется так просто, толи LAN8720 неисправен, толи код из 462 поста не стыкуется с ним. Тем более что автор сам об этом упоминал и нет информации о том что он весь рабочий без доработок. Писать сюда не привык, из за сверх продвинутых и сверх умных спецов и их ответов, как только что ответивший, спасибо ему огромное. Если человек самокритичен (про танк) то это не значит что он тупой как валенок. Даже моим внукам это понятно. Про код, если секретный, извените что побеспокоил, это из за 207. поста. Извиняюсь за резкость. Всем всех благ! П.с. Я не сомневаюсь в знаниях и способностях уважаемого RX3QFM, но в его ответе нет ничего кроме насмешки, от сюда мой пост и весь этот бардак... соррри -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 705] Автор : EU1SW Дата : 10.04.2017 05:03 Уважаемый, спасибо за поздравления, но на мой взгляд бардак от того, что появляется слишком много текста из неоткуда... Все просто на самом деле, читаем мой предыдущий пост По ТRX будет отдельная тема, продолжим там... А если "очень срочно" и "очень нужно", то нужно было так и писать, с чем конкретно столкнулись, и относительно чего Ваш вопрос, а не общими словами "догадайся сам", и не надо было бы никаких обвинений в секретности, а то ведь тоже, почитав такое иногда и руки опускаются... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 706] Автор : UF3K Дата : 10.04.2017 06:24 толи LAN8720 неисправен, толи код из 462 поста не стыкуется с ним. У LAN8720 и DP83848 разные адреса основного регистра. Посмотрите даташит, и в файле ethernet.v соответственно строку localparam read_reg_address = 5'h01; //5'h10; // PHY register to read from - gives connect speed and fully duplex поправьте. Я привел адрес для DP83848, а закомментирован адрес для LAN8720. PS: Еще нужно иметь ввиду, что соединения от PHY до FPGA не должны быть слишком длинными - там все-таки 50 МГц, и задержки могут уже существенно влиять на работоспособность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 707] Автор : UA4WAQ Дата : 11.04.2017 18:12 Модифицированный код, на основе Гермес лайт, который я выкладывал ранее, частично проверен на 10к ПЛИС с платкой LAN8720 от WaveShare. Получен IP от DHCP, обнаружилась софтом как гермес 3.2. Пакеты туда/сюда перелетают. Ацп/цап еще не навешивал. Сергей, в 6к плис не влезет код для 8720 ? В посте 690 видел на фото 6к и 8720, можете проект выложить для этой связки ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 708] Автор : EU1SW Дата : 11.04.2017 19:31 Не совсем понятно как Вы на том фото маркировку чипа разглядели... прямым текстом же 10к ПЛИС -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 709] Автор : UA4WAQ Дата : 11.04.2017 20:16 Маркировка на плате с4е-6к смутила А если дхцп клиента выкинуть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 710] Автор : EU1SW Дата : 13.04.2017 15:28 трансивер на 6к плис можно реализовать при использовании Распберри Пи в качестве сетевого интерфейса, либо как standalone -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 711] Автор : UA4WAQ Дата : 14.04.2017 08:43 трансивер на 6к плис можно реализовать при использовании Распберри Пи в качестве сетевого интерфейса, либо как standalone Спасибо, сейчас я не готов к покупке Распберри. так как заказанный L8720 уже пришел, то хочется самодельный транс и rg-45 поменять на модуль. И оставить такой же протокол как в 1 посте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 712] Автор : EU1SW Дата : 14.04.2017 09:05 Я никого ни к чему не принуждаю, боже упаси... Готовность или неготовность, это частное, но в таком случае имеем в наличии конфликт физической реальности и хотелок. Я Вам сообщил имеющуюся информацию, исходные тексты доступны, удачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 713] Автор : labuda51 Дата : 10.07.2017 22:55 Через пол-года.Перепланировка пинов ethernet и ADC по разные стороны платы FPGA понижает уровень шумовой дорожки на 40db.Без усилителя.Верёвка-7м.Принято:160м-CW,80м-SSB,40м-CW+SSB,30м-RTTY.На 20м-тишина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 714] Автор : UF3K Дата : 11.07.2017 08:10 Честно говоря, в 40 дБ верится с трудом. Я у себя делал макеты "и так и эдак", существенной разницы не было. Возможно в 1-м случае разряды АЦП были попутаны, а во 2-м все нормально? Вот при этом такие эффекты возможны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 715] Автор : labuda51 Дата : 11.07.2017 12:42 Еще 96мгц отнёс от шины ADC.Мне очень понравилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 716] Автор : UR3IQO Дата : 11.07.2017 17:41 labuda51, а какой АЦП используете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 717] Автор : labuda51 Дата : 11.07.2017 17:45 AD9283-100 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 718] Автор : ivanovgoga Дата : 30.07.2017 12:32 labuda51, Плату ее на Али не нашел. Не подскажите, где брали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 719] Автор : labuda51 Дата : 31.07.2017 00:08 Плату что на фотографии брал в Питере в Roboparts.Но в ЧИП и Дип она появилась чуть дешевле.Там в же Чип и Дип купил и на 10к но с другой мс- тоже циклон 4-ещё дешевле но там шаг пинов другой-разъёмы под неё в Микронике-правда по 80р.Если что-то не понятно завтра уточню-сплю на к(К)лаве.ДА АЦП изначально запаян на переходнике а потом в плату с входными цепями.С усилителем попробовать-руки не доходят.Есть один недостаток-иногда зависает HDSDR.Вообще бы хотелось с тактовой частотой 61.44,Lan железный который подешевле что-то 350р-в Питере.Только приёмник с полосой хотя бы 192кгц.А вот с ADC пока никак не определиться.В Питере есть AD6645-80 где-то 1200р.Практика показывает односторонней платы достаточно.Но частоту 96 на 61.44 менять и DLL-а это мне уже не осилить.То есть AD6645-80(предположительно),Lan7820- если по памяти не ошибаюсь,EP4CE10.Но я бы предпочёл USB-поскольку в сделанном варианте интернет и приёмник одновременно не работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 720] Автор : labuda51 Дата : 25.10.2017 15:33 Поставил на выходе транса 1к4 220ом вроде как начал чувствовать 50 омные фильтры.При включенном приёмнике включил ранее отключенную сетевуху что к интернету и заработало.Рано обрадовался-управление пропало-работает в пределах 96кгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 721] Автор : TSTEOK Дата : 19.01.2018 18:26 Жаль что тема скончалась -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 722] Автор : R2RBN Дата : 19.01.2018 18:34 Жаль что тема скончалась Наоборот, продолжилась (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 723] Автор : andrewkrot Дата : 28.02.2019 11:05 Всем здравствуйте! Решил вот переделать проект приемника TRX на Xilinx по причине его наличия и отсутствия платы с Altera. И возникло у меня несколько вопросов к автору по поводу частот и децимации, а именно: 1. После всех децимаций CIC фильтрами на выходе имеем поток 2 х 24 бита х 192 кНz. Здесь все понятно, коэф. децимации 500. В модуле serializer данные обрезаются до 16 бит и должны по байту выдаваться в модуль памяти для записи. Т.е байты должны передаваться с частотой 192х4=760 кБайт/сек. А в проекте на вход clk модуля serializer подается 384 кГц.. Таким образом на выходе сериализатора присутствуют данные только одного канала I/Q. Поясните плз если не трудно, что я не так понимаю.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 724] Автор : EU1SW Дата : 28.02.2019 11:08 1. После всех децимаций CIC фильтрами на выходе имеем поток 2 х 24 бита х 192 кНz. Здесь все понятно, коэф. децимации 500. FIR имеет коэффициент децимации 2, таким образом общий коэф. децимации равен 1000. в первом посту так и написано поток данных децимируется до семплрейта 96 кГц... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 725] Автор : andrewkrot Дата : 28.02.2019 11:30 Да, это так, но сигнал out_ready приемника имеет свое начало от сигнала cic_outstrobe_2. т.е. частота там 192 кГц, а должна быть по идее 96 кГц... Сути это не меняет - в озу попадают данные только одного канала I/Q, или в одном 16 бит слове один полубайт из I, а второй из Q. Логичнее наверное out_ready формировать из decim_avail FIR модуля. Если у FIR децимация 2, то тогда все будет правильно. Поправьте меня, если я не прав. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 726] Автор : EU1SW Дата : 28.02.2019 11:44 Если честно - 2 года прошло, как я этим занимался, вспоминать почему было сделано именно так - долго и не нужно, и вероятно это мог быть какой то workaround сделайте как считаете нужным, тем более Xilinx, не альтера, другие задержки, другое все -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 727] Автор : andrewkrot Дата : 28.02.2019 12:25 Спасибо, все понятно. Самому не нравится заглядывать в старые проекты. Но иногда приходится, и часто появляется просветление:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 728] Автор : EU1SW Дата : 28.02.2019 13:38 Да, согласен, возможно тот самый случай ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 729] Автор : ivanovgoga Дата : 14.06.2020 19:31 есть одна просьба, Нужно изменить прошивку, ту что в начале темы под AD9226. Я поковырял ее, но знаний не хватает видать. Если у знатока будет время, был бы благодарен. Плисина на 6 кило есть под рукой. Заказал на 22 кило, но она будет месяца через два, а хочется сейчас. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 730] Автор : ancient Дата : 03.09.2023 21:04 Коллеги, добрый вечер. Доводилось ли кому-то адаптировать проект под другую микросхему АЦП? У меня есть фирменная AD6640ST девплата, у этого АЦП отсутствует выход переполнения, и она максимум 60-мегагерцовая -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Downloaded from Форум CQHAM.RU (http://www.cqham.ru/forum) at 28.03.2024 17:28.