* * * Форум CQHAM.RU Тема * * * -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Тема : QRP SDR трансивер Аист (Storch) Started at 19.10.2017 14:31 by Genadi Zawidowski Visit at http://www.cqham.ru/forum/showthread.php?t=36525 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1] Автор : Genadi Zawidowski Дата : 19.10.2017 14:31 Заголовок : QRP SDR трансивер Аист (Storch) в продолжение фрагментарных обсуждений в теме Как шумит радио (цифровая обработка и слуховой приём) (http://www.cqham.ru/forum/showthread.php?32273) сюда буду складывать информацию по изготовлению и эксплуатации DUC/DDC КВ трансиверов. Для начала - исправленный конфигурационный файл для программы OmniRig и файл драйверов для Windows 7/XP. Старая версия тут (http://www.cqham.ru/forum/showthread.php?16636-Какой-трансивер-собрать-начинающему&p=1324566&viewfull=1#post1324566). Текущую версию с аналогичным набором я выложу в этой теме. Вот эта ссылка здесь (http://www.cqham.ru/forum/showthread.php?16636&p=1324566&viewfull=1#post1324566). Просто с тех пор были улучшения, хотел бы тут уже обновленный вариант разместить. Исходные тексты программы процессора и FPGA тут (https://188.134.5.254/browser/trunk). FPGA загружается процессором при включении трансивера, соответственно образ (.rbf) включен в прошивку процессора как массив. http://www.cqham.ru/forum/images/misc/paperclip.png Вложения production-mainunit_v5fm-rezonit_ddc_sv3_(USBx2, wide LCD).rar (http://www.cqham.ru/forum/attachment.php?attachmentid=273276&d=1508449152) (1.66 Мб, Просмотров: 9) mainunit_sch.pdf (http://www.cqham.ru/forum/attachment.php?attachmentid=273277&d=1508449178) (855.0 Кб, Просмотров: 24) mainunit_pcb_dim.pdf (http://www.cqham.ru/forum/attachment.php?attachmentid=273278&d=1508449178) (5.5 Кб, Просмотров: 13) mainunit_pcb_places.pdf (http://www.cqham.ru/forum/attachment.php?attachmentid=273279&d=1508449192) (128.5 Кб, Просмотров: 16) tc1_stm32f767zi_rom_WO240120.rar (http://www.cqham.ru/forum/attachment.php?attachmentid=273281&d=1508449717) (673.2 Кб, Просмотров: 8) tc1_stm32f767zi_rom_TFT43.rar (http://www.cqham.ru/forum/attachment.php?attachmentid=273283&d=1508449889) (680.3 Кб, Просмотров: 9) tc1_stm32f767zi_rom_ILI9341.rar (http://www.cqham.ru/forum/attachment.php?attachmentid=273284&d=1508450142) (671.8 Кб, Просмотров: 8) Storch_v7.doc (http://www.cqham.ru/forum/attachment.php?attachmentid=273286&d=1508450861) (50.0 Кб, Просмотров: 14) menusettings.doc (http://www.cqham.ru/forum/attachment.php?attachmentid=273287&d=1508451217) (169.0 Кб, Просмотров: 12) Storch - DIN8.xls (http://www.cqham.ru/forum/attachment.php?attachmentid=273288&d=1508451289) (34.5 Кб, Просмотров: 13) В теме КАТЕГОРИЧЕСКИ запрещены ЛЮБЫЕ вопросы по купле-продаже чего бы то ни было. Все вопросы автору в ЛС и другие средства связи. Все риски - ваши проблемы. Нарушители будут строго наказываться, если запрет нарушит автор - тема закроется навсегда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2] Автор : Genadi Zawidowski Дата : 19.10.2017 21:55 Инструкия по инсталяции посделовательных портов при работе с Windows 7/XP (спасибо RK6AJE). Под Windows 10 не требуется, все устанавливается само. Сигналы RTS и DSR двух компортов соединены по "ИЛИ" для управления трансивером. Виртуальная звуковая плата появляется, но устройства записи и воспроизведения становятся доступными для использования только после того, как их включим как показанно на картинках. Из двух компортов для CAT управления надо задействовать тот, в свойствах которого написано Storch HF TRX CAT. Тот, у которого Storch HF TRX CTL воспринимает только RTS и DTR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3] Автор : UT0UM Дата : 19.10.2017 22:00 QRP SDR трансивер Аист нда, это не Маламут тут ажиотажа не предвидится :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4] Автор : Genadi Zawidowski Дата : 19.10.2017 22:04 Это точно, не поспоришь... Пара фоток от пользователей и от меня. Вообще цветной, даже графический индикатор для работы ему не требуются... Я какое-то время назад использовал двухстрочник текстовый -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5] Автор : rolin Дата : 19.10.2017 22:05 Genadi Zawidowski, почему бы не оформить шапку темы нормально ? Никому не интересно бегать по ссылкам и собирать крупицы информации. Что за трансивер, какие возможности, фото, документация, ну а потом уже и ссылки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 6] Автор : Genadi Zawidowski Дата : 19.10.2017 22:12 rolin, информация будет выкладываться по мере готовности и наличия времени. Кроме того, мне не нравится стиль темы с прикрепленным первым сообщением на десять экранов, которое повторяется на каждой странице. Гиперссылки на сообщения в пределах данной темы буду просить добавить по мере появления информации. Что за трансивер DUC/DDC QRP (5W) коротковолновой (30 кГц..54 МГц прием, где-то от 1.5 МГц передача) трансивер... Да, автономно работающий, компютер нужен только как вспомогательный инструмент - для работы цифровыми режимами, показа панорамы (96 кГц) на большой экран, управление (log, remote control). Есть функция записи того, что слышит оператор, на SD карту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 7] Автор : UN7RX Дата : 20.10.2017 00:02 Что-то я не понял. Заглянул в архивы - где собственно "QRP SDR трансивер Аист"? :shock: Наполнение темы должно соответствовать ее названию, то есть быть готовой к повторению. У нас одинаковые правила для всех подобных тем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 8] Автор : Genadi Zawidowski Дата : 20.10.2017 01:05 В первом сообщении темы ссылка на сообщение со схемами, пикадовскими файлами проекта, ссылкой на svn с исходниками и файлом прошивки для процессора. Текущую версию с аналогичным набором я выложу в этой теме. Вот эта ссылка здесь (http://www.cqham.ru/forum/showthread.php?16636&p=1324566&viewfull=1#post1324566). Просто с тех пор были улучшения, хотел бы тут уже обновленный вариант разместить. Исходные тексты программы процессора и FPGA тут (https://188.134.5.254/browser/trunk). FPGA загружается процессором при включении трансивера, соответственно образ (.rbf) включен в прошивку процессора как массив. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 9] Автор : UA0YAS Дата : 20.10.2017 05:09 нда, это не Маламут Лучше? Хуже? как по мне, то режиме cw Фт3к отдыхает в сторонке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 10] Автор : UA0YAS Дата : 20.10.2017 14:18 Внешний дисплей 8-10дюймов для панорамы- вопрос в пару тыс рублей. Берется ненужный планшет на Виндовс и подключается одним юсб-шнуром. Хочешь мышкой настраивайся на станцию, хочешь-валкодером. САТ работает в обе стороны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 11] Автор : SVd2004 Дата : 20.10.2017 16:05 Берется ненужный планшет на Виндовс и подключается одним юсб-шнуром. Хочешь мышкой настраивайся на станцию, хочешь-валкодером. Интересно бы видео посмотреть и более конкретно, какой планшет, какой виндовс, какая программа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 12] Автор : Genadi Zawidowski Дата : 20.10.2017 16:09 Я думаю UA0YAS говорит об связке с HDSDR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 13] Автор : SVd2004 Дата : 20.10.2017 16:15 Я думаю UA0YAS говорит об связке с HDSDR Фото не даёт представление как это всё работает. Наверно сплошные тормоза. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 14] Автор : Genadi Zawidowski Дата : 20.10.2017 16:23 Это надо Бориса спросить... На обычном компютере не тормозит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 15] Автор : rolin Дата : 20.10.2017 16:42 SVd2004, если перестраиваться шторкой по экрану, то тормозов не будет. А так, конечно, САТ тормозной. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 16] Автор : Genadi Zawidowski Дата : 20.10.2017 16:45 Так шторка не перестраивает трансивер...а с чего тормоза в кате? Ставим одна десятая секунды период опроса и вуаля... Вообще валкодер для таких вещей есть на аппарате. И просто Гермес или Одиссей применить... И езернет. И компьютер с собой тащить. Где-то уже было. Я же автономны аппарат делал... Зачем комп? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 17] Автор : SVd2004 Дата : 20.10.2017 17:49 Зачем комп? Это точно! Зачем комп? Это точно! Добавлено через 56 минут(ы): Фотка от меня. Собираю вот такой трансформер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 18] Автор : Serg Дата : 20.10.2017 19:34 А IQ-записи HDSDR есть нормального эфира с этого приемника? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 19] Автор : Genadi Zawidowski Дата : 20.10.2017 19:48 Есть куча аудиозаписей, в основном телеграф. Нормальной мою антенну не назовешь, лучше пользователей попросить... Вот мои выложены: https://drive.google.com/open?id=0B3YM8Zv8syvjfkdySHhkNExUYlhHVUM1VHd4TTl3Y3lrMVZ5MXJLU1lTSWc1WENyRkRSQ0E Учтите, это все записано до появления корректора АЧХ звукового тракта приемника. Много локально... Про трансформера: хюльет-паккард бывший? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 20] Автор : R3KBL Дата : 20.10.2017 21:56 Genadi Zawidowski (http://www.cqham.ru/forum/member.php?1595-Genadi-Zawidowski), А что с отправкой оплаченной летом платы индикации и корпуса Аиста? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 21] Автор : rolin Дата : 20.10.2017 22:06 Genadi Zawidowski, Я же автономны аппарат делал... Зачем комп? Тогда почему вы его СДР-ом называние? Обычный чемодан с ДСП, таких тысячи и тысячи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 22] Автор : Genadi Zawidowski Дата : 20.10.2017 22:08 Корпус и мелочи для вороненка лежат... Свалил недуг, пакет так и пролежал... Друзья помогали приносили продукты... Сейчас начал выходить, будет отправлено. Rolin, сдр это не наличие или отсутствие панорамы, а устройство трансивера. Хотя, в моём случае правильнее будет duc/DDC SDR. А не if DSP sdr, как упоминаемые чемоданы. Всё-таки я классическое назван е трансивер р предпочел бы по отношению к моноблокам. А то что называется портсигар это аппаратная часть программно-аппаратного комплекса визуализации спектра и слухового контроля радиоизлучения. :) многоканального. :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 23] Автор : alex@4z5lv Дата : 20.10.2017 22:16 Тогда почему вы его СДР-ом называние?... Термин SDR - Software Defined Radio , главное тут факт, что основная обработка производится програмным пособом - т.е. Software, на чем конкретно "бежит" эта программа, не имеет значения, будь-то Персональный Компьютер или Встроенный микропроцессор или DSP или FPGA или комбинация. Так что Аист является СДР. Ваш вопрос очень странный для человека занимающегося тем же самым. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 24] Автор : Genadi Zawidowski Дата : 20.10.2017 22:50 Повторю тут из описания: Хотелось сделать трансивер, который при эксплуатации и не отличить от обычного радио, если не залезать под крышку или в описание. И получилось, причём, в отличии от всех предшествующих моих аппаратов, первый однопалатный. Ни один из планировавшихся к трансиверизации вороненков или dream так и не стал трансивером. Дикая сложность сборки платы, возня с согласование эмф. Каждый аппарат индивидуально. Тут одна плата достаточно просторно занятая компонентами. И при этом полный всемодоввй аппарат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 25] Автор : Radiotester Дата : 21.10.2017 04:04 Аист- планируется в "массы"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 26] Автор : R4DZ Дата : 21.10.2017 10:04 Столько пустых разговоров и все не по теме, Достойный трансивер , имею такой , доволен им во всех отношениях, работатает FB! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 27] Автор : WladN Дата : 21.10.2017 10:16 Столько пустых разговоров и все не по теме, Достойный трансивер , имею такой , доволен им во всех отношениях, работатает FB! Инфо маловато. Вы не могли бы показать что может отображать родной дисплей. Панорама какая есть? А так здорово,все на одной платке! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 28] Автор : Genadi Zawidowski Дата : 21.10.2017 13:31 В четвёртом сообщении есть несколько фотографий... В данном дизайне сделано поочередное включение нескольких динамических "отображалок" на общем поле части экрана - с-метр, панорама и для цветного дисплея водопад. В текущих версиях для панорамы используется тот же поток квадратур, что предназначен для передачи по USB, потому полоса обзора до 96 кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 29] Автор : WladN Дата : 21.10.2017 14:35 Спасибо за ответ. На счет встроенного дисплея понятно. А внешний комп как то стыкуется с платкой ,есть возможность с какими прогами стыковать. Вы писали-*да, ddc. hermes с полосовиками + stm32f746.* ??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 30] Автор : Genadi Zawidowski Дата : 21.10.2017 16:30 Стыкуется как Кенвуд по cat, USB audio для программ цифровых видов связи 16 бит / 48 кгц или усб аудио панорама 96 кГц / 24 бита. Применяю немного более производиткльную версию процессора stm33f767 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 31] Автор : Oleg RV1CB Дата : 22.10.2017 10:26 Добрый день всем!! У меня ничего не тормозит. Работает просто отлично. Снимать кино как работает просто нет времени. Информации , по моему, вполне достаточно выложено. СДР - у основной массы наших коллег предполагает подключение компьютера. Про DDC/DUC многие просто не знают, что это такое, по эфиру частенько приходится объяснять, что это за устройство. 73! Олег -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 32] Автор : UA0YAS Дата : 22.10.2017 11:18 Да, соединение по одному шнурку USB. По нему же и САТ, и манипуляция CW, и звук, и панорама. Без всяких проблем с настойками и выбором СОМ портов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 33] Автор : ikSS Дата : 23.10.2017 11:33 Genadi Zawidowski, скажите габаритные размеры модуля LCD+keyboard.? (http://www.cqham.ru/forum/member.php?1595-Genadi-Zawidowski) 273534 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 34] Автор : Genadi Zawidowski Дата : 23.10.2017 11:38 Вот размеры и схема. Плата универсальная под Winstar WO240128A и TFT индикатор от Sony PSP-1000. Чертеж - вид изнутри корпуса, сквозь плату. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 35] Автор : ikSS Дата : 23.10.2017 11:53 Плата универсальная под Winstar WO240128A и TFT индикатор от Sony PSP-1000 А TFT43? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 36] Автор : Genadi Zawidowski Дата : 23.10.2017 11:57 А TFT43? Sony PSP-1000 это он и есть. На Али есть вот тут (https://ru.aliexpress.com/item/LCD-Display-Screen-Replacement-for-Sony-PSP-1000-2000-3000-Repair-Part-Replace-the-damaged-LCD/32823090993.html?spm=a2g0s.9042311.0.0.ISQs1C)(указать точно тип надо при заказе). Когда-то давно я подсмолтрел у Pic-a-Star применение этого дисплея. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 37] Автор : ikSS Дата : 23.10.2017 13:46 Sony PSP-1000 это он и есть. Понятно. Извиняюсь, перепутал с TFT043: вот тут (http://www.buydisplay.com/default/tft-4-3-inch-lcd-module-touchscreen-display-for-mp4-gps-480x272) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 38] Автор : Genadi Zawidowski Дата : 23.10.2017 14:15 Мог быть и он... У меня 2.5 вольта, бывают 3.3. без тачскрина, rgb, . мой с отдельным шлейфом подсветки. Разводка шлейфа в даташите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 39] Автор : UA0YAS Дата : 25.10.2017 07:39 Чуть поработал в цифре в программе под Windows 7. очень удобно, что есть автоматический уровень звукового сигнала с трансивера. Даже при самых громких сигналах отметка на шкале программы не подымается выше середины. Регуляторы УНЧ и УВЧ трансивера совершенно не влияют на уровень панорамы в программе. S- метр, кстати, тоже не зависит от этих регуляторов. 273667 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 40] Автор : Genadi Zawidowski Дата : 25.10.2017 10:09 Регулятор вч влияет... В режимах dgu/dgl изменены параметры ару (сигналам на выходе позволено иметь больший диапазон уровней, чем в режимах слухового приёма). А можно набор скриншотов с настройками программы привести для облегчения настройки программ коллегам? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 41] Автор : UA0YAS Дата : 25.10.2017 12:35 273674 273675 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 42] Автор : Genadi Zawidowski Дата : 25.10.2017 12:56 А настройки на закладке аудио...? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 43] Автор : UA0YAS Дата : 25.10.2017 15:03 Я так и не обнаружил визуальное изменение па водопаде при уменьшении усиления УВЧ 273681 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 44] Автор : Genadi Zawidowski Дата : 25.10.2017 15:43 Если речь об водопаде в hdsdr то не влияет. В остальных случаях влияет. Может rf и AF перепутаны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 45] Автор : Genadi Zawidowski Дата : 07.11.2017 15:02 Адаптируется программа для использования нового шустрого процессора STM32H743ZIT6 (400 МГц, FPU двойной точности, более 800 кБ памаяти). За советы спасибо Олегу UR3IQO. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 46] Автор : RA1TEX Дата : 07.11.2017 16:23 PD55008 Лучше растащить на разные напряжения смещения.Их подбирать приходится. Они сильно разные - это плата за сравнительно небольшую цену. Это благотворно повлияет на IMD усилителя. Одного BAS16 для термокомпенсации скорее всего не хватит. С цепью R55 C181 (и в другом плече) чего то делать надо, возможно и смоделировано верно, но к возбуду может побудить на низких частотах тем более входной транс там не работает. ps/ нашел термокомпенсацию на входе ADJ стабилизатора... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 47] Автор : R3KBL Дата : 07.11.2017 16:36 Адаптируется программа для использования нового шустрого процессора STM32H743ZIT6... STM32H743ZI становится вместо STM32F746 на штатное место на плате без доработок? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 48] Автор : RA1TEX Дата : 07.11.2017 16:38 Геннадий добавь КСВ метр. Не нашел может плохо искал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 49] Автор : Genadi Zawidowski Дата : 07.11.2017 17:20 Одного BAS16 для термокомпенсации скорее всего не хватит. Он там защитный... Термокомпенсация сделана на терморезисторе NXP KTY82/110 (https://www.nxp.com/docs/en/data-sheet/KTY82_SER.pdf) (номиналы в делителе сейчас подобрались другте чем на выложенной схеме) - в корпусе SOT-23 он между транзисторов со стороны затворов стоит, на фото видно. Схема конечно не идеал... но разделять смешение при 5W выходной... стоит-ли? Да, STM32H743ZIT6 становится вместо STM32F767ZIT6 или STM32F746ZGT6 на штатное место на плате без доработок. Геннадий добавь КСВ метр. Не нашел может плохо искал. Алексей, на этой плате его нет, есть два входа АЦП для соединения с датчиками. Обрыв/коротыш держит. Добавлено через 9 минут(ы): Есть мысль в качестве стабилизаторов питания АЦП и УВЧ применить ST LDFM вместо Linear LT1963AEST-3.3, так же формирователм тактовой для АЦП ONSEMI MC100LVEL16DG вместо TI SN65LVDS1DBV -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 50] Автор : RA1TEX Дата : 07.11.2017 22:31 LDFM... а что это даст меньше нагрев ULDO? такто и LT не шумный .. шумы скорее всего по земле больше.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 51] Автор : Genadi Zawidowski Дата : 07.11.2017 22:40 Вообще-то это ради испольщования более доступных мне компонентов... по параметрам мощности шумов на выхолде в полосе LDFM немного проигрывают... Но с теплоотволом у них лучше чем у SOT-223. Какая зависимость про шумы по земле? И тот и другой стабилизатор на "фланце" сиеет вывод земли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 52] Автор : RA1TEX Дата : 07.11.2017 23:07 Ну тогда понятно. lt1963 в Dpack лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 53] Автор : Genadi Zawidowski Дата : 07.11.2017 23:27 У ldfm можно обойтись керамикой 2.2 мкф в обвязке. Меньше падение напр,женив, можно не пять вольт а значительно меньше на выходе преобразователя настроить (если не питать валкодеры и индикатор). Похоже в дпак они взаимозаменяемы, хотя я не проверил размеры лайнеровского чипа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 54] Автор : RA1TEX Дата : 08.11.2017 08:52 Может на входах тактирования вместо LVDS формирователя обычный трансформатор использовать. У меня на AD9461 в этом смысле успешно проходил один TC1-1TX+ или на двух TС1-1-13 как в даташите. Да и смотрю в TRX305 от AOR тоже не балуются активными компонентами в тракте такта. А запитан у меня АЦП бывало на LT1963 и на LD1117 тоже не замечено разницы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 55] Автор : Genadi Zawidowski Дата : 08.11.2017 09:32 Да и смотрю в TRX305 от AOR тоже не балуются активными компонентами в тракте У них там вообще-то PLL -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 56] Автор : RA1TEX Дата : 08.11.2017 10:19 это я про формирование дифференциального сигнала говорил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 57] Автор : Genadi Zawidowski Дата : 14.11.2017 14:14 А вот возникла идея... На некоторых версиях аппарата можно сделать более одной звуковой платы, теоретичски можно разбить имеющееся сейчас двунаправленное звуковое устройство с переключаеым режимом работы (звук/панорама) на три однонаправленных. Хватит ли полосы пропусканиа FS USB для одновременной работы всех троих еще не выяснял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 58] Автор : Genadi Zawidowski Дата : 15.11.2017 00:15 Полосы пропускания FS HSB хватает... Но проблема пришла откуда не ждали... ASIO4ALL, актвтизирующийся после запуска HDSDR (без него псевдошум на спектре на уровне -90 FS), блокирует работу всех звуковых плат... Skype теряет возможность издавать звуки. Думаю, положение MixW и WSJT не намного лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 59] Автор : Genadi Zawidowski Дата : 15.11.2017 15:38 А ещё пришло сообщение от Владимира Ra9oh c фотографиями . самостоятельно собирал плату, запустилось сразу (если не счииать диагностированого по в скайпу непррпая в АЦП. Ждём отзывов в сравнении с дроздиверрм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 60] Автор : Genadi Zawidowski Дата : 18.11.2017 17:23 Все-таки ASIO4ALL оказался не на столько страшен, чтобы мешать работе программ на других, не обслуживаемых им звуковых платах. В версиях трансивера, где для связи с компютером используется порт HS USB (те, где два USB разъема), теперь поддерживается две виртуальных аудиоплаты: Storch TRX Voice - для получения зука после детектора и подачи на модулятор (16 бит, 48000, стерео) и Storch TRX Spectrum - для получения потока квадратур для отображения спектра (24 бит, 96000, стерео). Режимы работы в свойствах аудиоустройств в процессе работы не меняются, квадратуры передаются одновременно с аудиоинформацией. После подулючения трансивера к компютеру все три пофвивхихся устройства надо перевести в состояние "Enabed", для исключения неожиданостей со скайпом и другими программами вернуть ранее имевшиеся указания на устройство по умолчанию на компютерную периферию - микрофон и динамики. ps: Для тех, кому захочется в свои коснтрукции добавить такой же набор интерфейсов и при этом лень разбираться в исходниках проекта - дамп дескрипторов в .PDF. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 61] Автор : ikSS Дата : 19.11.2017 17:27 Геннадий, в последних версиях возможна ли реализация подключения к основной плате самого простого двустрочника 2х16 ( 2х20) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 62] Автор : Genadi Zawidowski Дата : 19.11.2017 17:33 Да, осталось... 16-ти ногий разъем как обычно, регулятор контрастности на плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 63] Автор : ikSS Дата : 19.11.2017 17:53 Отлично! Есть корпус от SAT ресивера высотой 50 мм. В этот корпус только двустрочник и войдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 64] Автор : Genadi Zawidowski Дата : 25.11.2017 15:01 Разбирался с особенностями применения USB изолятора на базе микросхемы ADUM4160. При соединении трансивера (два аудиовыхода, один вход, компорты) через изолятор с компютером все три потока одновременно не прохолили... Отказывала передача звука в трансивер. Рещалось или прямым соединением трансивера или установкой изолятора в USB 2.0 порт хаба... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 65] Автор : Genadi Zawidowski Дата : 28.11.2017 02:07 В версиях трансивера, где для связи с компютером используется порт HS USB (те, где два USB разъема), теперь поддерживается две виртуальных аудиоплаты Теперь можно и на тех версиях, где используется один USB (FS USB) рорт. Сменил формат звука на "устройстве записи" со стерео на моно 16 бит 48000 сэмплов в секунду - влезло и сюда. Проверялось на платах с STM32F7xx. При работе цифровыми модами HDSDR можно не отключать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 66] Автор : Genadi Zawidowski Дата : 28.11.2017 13:14 Какое название лучше использовать для устройства, обведенного красным? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 67] Автор : UA0YAS Дата : 28.11.2017 14:24 При работе цифровыми модами HDSDR можно не отключать.:super: Какое название лучше чем плохо? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 68] Автор : Genadi Zawidowski Дата : 28.11.2017 15:10 Слишком техническое какое-то... Может быть, I/q data, spectrum data, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 69] Автор : Serg Дата : 28.11.2017 15:35 Genadi Zawidowski, RX IQ out (или RX IQ stream) - как-то так, как везде и понятно всем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 70] Автор : UA0YAS Дата : 29.11.2017 14:26 275869 с крайней прошивкой. Отдельный поток 96000 в панораму, отдельный поток в программу DIGI. очень удобно! :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 71] Автор : RA9CZ Дата : 30.11.2017 06:09 У кого можно приобрести платы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 72] Автор : Serg Дата : 30.11.2017 12:51 Отдельный поток 96000 в панораму, отдельный поток в программу DIGI. очень удобно! IQ-запись можете выложить из HDSDR? Несколько минут с 40ки, покрутить на частоты с громкими вещалками, потом в ссб и телеграф где хорошая активность будет. Жаль, что уже WW-CW контест прошел. P.S. В WSJT программе снимите птицу flatten на спектре - не будет желтых "засветок" по краям. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 73] Автор : UA0YAS Дата : 30.11.2017 13:44 потом в ссб и телеграф где хорошая активность будет в моей местности не бывает такого. можете сами послушать мой приемник (http://94.125.52.198:8901/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 74] Автор : Genadi Zawidowski Дата : 30.11.2017 13:52 А вот интересно, в чем смысл айкю? Обработку hdsdr послушать? Так она с любым файлом одинаковая... Записи после демодулятора выкладывались.. Работа фррнтенда ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 75] Автор : Serg Дата : 30.11.2017 14:36 Работа фррнтенда ? Естественно, это сырая запись эфира, как ее воспроизводит железо, оценка его работы. Т.е. можно и любые приборные тесты записать в IQ, которые потом любой может проиграть и оценить, не только со слов или текстового описания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 76] Автор : Genadi Zawidowski Дата : 30.11.2017 21:21 Чтоьы не офтопить в теме маламута про поддержку кодека wm8994. Демонстрационный проект для платы STM32F746G-DISCO с процессором STM32F746NGH6. Конфигурация проекта CTLSTYLE_V3D (в файле product.h). На USB FS порту появляется два компорта (один из них поддерживает CAT с протоколом Кенвуд TS-590S). Аудиоустройство в компьютер просто передает синусоиду 700 герц в левом канале и 500 в правом, из компьютера воспроизводит на выходе наушников или в динамиках. Динамик включен в режиме моно, класс AB, в мостовой схеме. Стерео выдается на выход для наушников. Кнопка USER на плате работает как PTT - и в уши идет тон. Некоторые артефакты на дисплее – не сбой, а недоделки этого имитатора трансивера. Проект как обычно, лежит тут. https://188.134.5.254/browser/trunk - ссылка для скачивания всего в архиве внизу, читать readme.txt Аудиоустройста сами не появятся, надо разрешить "руками" - выше про конфигурацию было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 77] Автор : Genadi Zawidowski Дата : 02.12.2017 15:54 Еще в галерею конструктивов... Сборка от RD1AD, индиктор ILI9341. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 78] Автор : R3KBL Дата : 02.12.2017 16:18 Горящие/негорящие светодиоды на плате около ПЛИС что индицируют? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 79] Автор : Genadi Zawidowski Дата : 02.12.2017 16:44 Слева направо перепоонениеацп, приём, передача, всегда после загрузки ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 80] Автор : Genadi Zawidowski Дата : 02.12.2017 18:14 Индикация переполнеия - выход АЦП, после одновибратора на полсекунды примерно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 81] Автор : Genadi Zawidowski Дата : 07.12.2017 14:08 В большинстве экземпляров стоит заменить детали, стоящие на обведенных местах, перемычками. На фото замена уже произведена. Можно снять стоящие там детали и просто «мазнуть» оловом, устроив перемычку. Наличие тут (особенно справа) ВЧ поглотителя (BLM21PG331) приводило к повышенному шуму в цепи питания трансивера (на возбуд не похоже), возможно уменьшало чувствительность. 276381 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 82] Автор : Genadi Zawidowski Дата : 07.12.2017 23:42 Обновился Windows 10 Pro (Windows Creators Update). Надежды на исправление звуковой подсистемы рассыпались, без ASIO по прежнему подмешивается шум в аудиопоток. Для иллюстрации - момент перекдючения с Windows MME на ASIO4ALL в программе HDSDR при отклбченной антенне. Видно псевдослучайный сигнал до переключения. 276411 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 83] Автор : Genadi Zawidowski Дата : 13.12.2017 23:46 Резульаты обмера front-end на частоте 14010 кГц, LTC2108 + LTC6401-20, PGA=2.25VP-P range. преполнение АЦП наступает при -11 дБмВт. Показания шума в полосе 500 герц -135 RMS / 130 Peak. 276776 276777 Можно ли оценить по этим результатам чувствительность в MDS (500 Hz) = -135 dBm? зы: откалибровано при -20 дБмВт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 84] Автор : Serg Дата : 14.12.2017 00:04 Оценивать чувствительность можно, если откалибровать с-метр хдсдр, нужен ГСС с известным уровнем сигнала. (калибровка в соответствующем меню) Подаете сигнал, полоса приемника 500Гц CW, потом в калибровочных настройках значение доводите до соответствующего дБм генератора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 85] Автор : Genadi Zawidowski Дата : 14.12.2017 00:07 если откалибровать с-метр хдсдр Результаты как раз после калибровки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 86] Автор : Genadi Zawidowski Дата : 14.12.2017 23:03 Немного крупных планов... АЦП и формирователь тактового сигнала, фнтенное реле + полосовики (субоктавные) и аттенюаторы, НЧ кодек, периферия процессора, драйвер PA, УВЧ и АЦП со своими стабилизаторами питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 87] Автор : Дата : 15.12.2017 18:35 Пришли платы от Геннадия. Долго выбирал что собрать, но Геннадий, буквально уговорил. В общем буду тоже собирать Аиста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 88] Автор : UA0YAS Дата : 15.12.2017 22:55 Шикарный аппарат, поздравляю!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 89] Автор : Genadi Zawidowski Дата : 16.12.2017 00:31 В общем буду тоже собирать Аиста Пока не забыл... Текущее состояние проштивки для этой версии плат и цветного индикатора. В архиве два файла - .hex для прошивки программатором и .dfu для загрузки через USB интерфейс утилитлй от ST под названием DfuSeDemo. Любой из способов годится. Конфигурация FPGA выполняется процессором при вклбючении питания, отдельного образа прошивки для неё не требуется. Хотя место для установки гребенки JTAG для отладочных работ на плате есть. Картинка - как обжать кабель для прошивки программатором ST-Link - разъем между процессором и USB разъемами на плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 90] Автор : Genadi Zawidowski Дата : 16.12.2017 01:33 Ещё один конструктив. 276926 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 91] Автор : Дата : 16.12.2017 20:49 Ясно. Пока жду FPGA и генератор... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 92] Автор : R7KD Дата : 24.12.2017 23:37 Геннадий,с наступающими праздниками ! Творческих успехов. 73 ! :пиво::пиво::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 93] Автор : Genadi Zawidowski Дата : 30.12.2017 14:41 Вот такой макет для УКВ в тестировании. 278133 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 94] Автор : Genadi Zawidowski Дата : 04.01.2018 02:55 импульсник. TLV62130ARGTT Попробовал применить у себя для питания цепи +1.2 вольта FPGA. Позволило применить LDO стабилизатор в SOT23 для получения питания +2.5 (все из +5). Микросхема почти не видна, прилепилась рядом с аудио кодеком. Ничего в этой части не греется разумеется, можно начинать думать про компактный вариант платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 95] Автор : rolin Дата : 04.01.2018 21:07 можно начинать думать про компактный вариант платы. Я надеюсь, что в новой версии платы уйдут в небытие 90-градусные повороты проводников сигнальных линий АЦП и они больше никогда не будут портить настроение всяким перфекционистам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 96] Автор : Kia2700d Дата : 04.01.2018 23:23 перфекционисты не виноваты, что прямые углы не экономично используют площадь печатной платы. например на фото выше - пустая поляна в углу ПЛИСА -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 97] Автор : Genadi Zawidowski Дата : 05.01.2018 00:21 Повороты под прямым углом - ресультат работы specсtra 16 для групп проводников с задаными требованиями по выравниванию длины. Если кто знает, как сделать (хотя бы с 45-градусными скосами), будет красиво. Файлы проекта и правил трассировки в аттачменте. Да и вообще от гуру по Спектре нужен совет - как сделать, чтобы земляные проводинки уходили в plane не каждый через свое отверстие а использовали соседние pad-ы. Совет желательно в виде отредактированного файла правил -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 98] Автор : ex RA4CJQ Дата : 05.01.2018 01:23 Повороты под прямым углом - ресультат работы specсtra 16 для групп проводников с задаными требованиями по выравниванию длины. Если кто знает, как сделать (хотя бы с 45-градусными скосами), будет красиво. Файлы проекта и правил трассировки в аттачменте. Да и вообще от гуру по Спектре нужен совет - как сделать, чтобы земляные проводинки уходили в plane не каждый через свое отверстие а использовали соседние pad-ы. Совет желательно в виде отредактированного файла правил Проект легко импортировался в AD18, даже поддается корректировки. ) Правила PCB, открываются и редактируются, но я не силен в этом, только на элементарном уровне. Если перевести прямые углы под сорок пять градусов, получится совершенно другая плата. Освобождается много места. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 99] Автор : Genadi Zawidowski Дата : 05.01.2018 01:48 Так уже говорил, те проводники что не включены в клссы с указанем match_net_length, трассируются с использованием косых. Хотелось цепи данных от АЦП (а так же переполнение и синхронизацию) протянуть и шиной и с контролем длинн. Но не получается... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 100] Автор : Kia2700d Дата : 05.01.2018 01:50 Альтиум это конечно сила, но цена кусается! если что, кикад умеет разводить дифференциальные пары (или произвольное к-во проводников одинаковой длины) под любым углом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 101] Автор : Genadi Zawidowski Дата : 05.01.2018 01:57 Ручная трассировака в пикаде тже есть и с ортогональным / диагональным направлением. Данная плата это результат работы автомата. И хотелось минимизировать ручную часть работы. Кстати, те же данные ацп... Выходят они не с соседних ножек и идут на FPGA тоже не подряд расположенные, а даже на разных сторонах корпуса. Как-то мне отметить и вести восемнадцать проводников руками не получилось... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 102] Автор : Genadi Zawidowski Дата : 05.01.2018 03:52 Не торт, совсем не торт... Барашки на проводах напоминает... Но возможно воспитаю Спектру. На картинках результат - сперва развести по правилам с выравниванием а потом наплевав на эти правила сделать диагональными... 278551 278552 278553 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 103] Автор : rolin Дата : 05.01.2018 05:40 Как-то мне отметить и вести восемнадцать проводников руками не получилось... Вот так надо 278554 278555 278556 278557 278558 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 104] Автор : Genadi Zawidowski Дата : 05.01.2018 05:47 Кстати, по поводу дна плисины... Примерно половина запаивавшихся через отверстие экземпляров поотлетала через разные промежутки времени без каких-либо следов того чтотих паяли... Новые паяю на пасту, отверстие для контроля. Под АЦП и вообще только теплоотводящие переходные, без отверстия для пайки или контроля. Про трассировку... Ручная работа видна, онаивчегда качественнее... Про неудачу у себя я говорил про пользование пикаловским route bus. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 105] Автор : rolin Дата : 05.01.2018 05:56 Кстати, по поводу Донцова плисины... Примерно половина запаивавшихся через отверстие экземпляров поотлетала через разные промежутки времени без каких-либо следов того чтотих паяли.. Не знаю что такое "Донцова плисины", но лично я паял центральный пятак через отверстие сплавом Розе паяльником 230 градусов. Качественно, быстро и безопасно и ничего не отвалилось еще. Флюс - обычный жир для БГА. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 106] Автор : SVd2004 Дата : 05.01.2018 06:42 Длину выравнивают на дифференциальных парах, нужно ли их выравнивать здесь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 107] Автор : Kia2700d Дата : 05.01.2018 06:46 так надо а вон островки между дорожками выглядят не подключенными.. это так задумано или лейаут глючно заполняет зоны ? 278560 нужно ли их выравнивать здесь в даташите написано дорожки должны быть "примерно" одной длинны, для сохранения тайминга. на коротких расстояниях наверное все равно. тут же не гигагерцы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 108] Автор : SVd2004 Дата : 05.01.2018 06:55 "в даташите написано "[quote="Kia2700d;1481622"]" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 109] Автор : rolin Дата : 05.01.2018 06:59 островки между дорожками выглядят не подключенными.. это так задумано или лейаут глючно заполняет зоны ? не подключал и не удалял, не вижу смысла. Я думаю, что островки за счет отражения уменьшают наводки между соседними линиями. Но для этих частот это несущественно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 110] Автор : Kia2700d Дата : 05.01.2018 07:01 SVd2004, ну вот никаких змеек нет на типовой плате. но согласитесь они выглядят красиво и загадочно :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 111] Автор : rolin Дата : 05.01.2018 07:05 согласитесь они выглядят красиво и загадочно Надеюсь, эту тему не закроют ..... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 112] Автор : SVd2004 Дата : 05.01.2018 07:36 На картинках результат Может сделать их просто короткими... Подвинув микросхему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 113] Автор : Kia2700d Дата : 05.01.2018 07:48 Надеюсь, эту тему не закроют да, надеюсь что не закроют. :oops: имхо беседа прошла продуктивно. я узнал много полезного - почему вредны прямые углы на плате - увидел результат работы авто-трейсера в пикаде - выяснил (вроде бы) некритичность точного совпадения длинны дорожек между АЦП и ПЛИСом, особенно в ущерб внешнему виду разводки - услышал про полезные свойства висящих в воздухе участков меди Может сделать их просто короткими...Подвинув микросхему. плис ближе к ацп например.. уверен Геннадий что-нибудь придумает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 114] Автор : Genadi Zawidowski Дата : 06.01.2018 19:53 Про висящие куски меди строго против, для четырехслойки вообще сответствующюю настройку лучше убрать. Есть некоторые измерения про ухудшение развязки. .е. Не подключенные зло, а подключенные частично с висящими языками хреново. Пододвигат обратно АЦП к ПЛИС после того как я имел проблемы с наводками страшно. Кто будет проверять? Обсуждалось как несущая по центру панорамы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 115] Автор : SVd2004 Дата : 06.01.2018 20:09 Пододвигат обратно АЦП к ПЛИС после того как я имел проблемы с наводками страшно. Как бы всё давно проверено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 116] Автор : rolin Дата : 06.01.2018 20:39 Как бы всё давно проверено. Перепроверено а потом еще раз переперепроверено. Чем короче проводник, тем меньше его индуктивность и хуже его антенные свойства -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 117] Автор : Genadi Zawidowski Дата : 06.01.2018 20:43 хотите в размерах под маламута плату для Вас разведу? 14 бит АЦП вот так же вплотную посттавим... После сборки все узнаем... Будет автономный аппарат без оконечника с полосовиками, без антенного реле... Заказ первого экземпляра за ваш счёт... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 118] Автор : SVd2004 Дата : 06.01.2018 20:48 хотите в размерах под маламута плату для Вас разведу? Вот ApacheLabs Orion MKII -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 119] Автор : Kia2700d Дата : 06.01.2018 21:11 еще картинка 278698 обратите внимание на разделение аналоговой и цифровой земли -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 120] Автор : Genadi Zawidowski Дата : 06.01.2018 22:05 И что отделять-то? УВЧ? У АЦП дифвход... По моим наблюдениям это "по воздуху" наволится, не по земле или питанию. Вот ApacheLabs Orion MKII Это к чему? Вы его купите, а не Аиста? Полосовиков, кстати, не увидел на этой плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 121] Автор : SVd2004 Дата : 06.01.2018 22:20 Это к чему? Расположение ADC и FPGA, причина наводок видимо другая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 122] Автор : Kia2700d Дата : 06.01.2018 22:38 И что отделять-то айком видимо разводил плату по всем правилам. АЦП расположен на границе между аналоговой и цифровой GP. https://e2e.ti.com/support/data_converters/precision_data_converters/w/design_notes/1393 A:You should always start your layout with the ground as your priority. One way to approach the layout is to utilize the split-plane concept. Create a digital portion and an analog portion to your PCB. Place a split between them so as to create a digital ground and a separate analog ground. Place the data converter over the top of the split. Place all your analog components in the analog portion, and the digital components on the digital portion. Only route signals for the analog portion within the analog ground area. The same is true for the digital, so keep all digital traces within the digital ground area. Once you have verified that all signals are routed appropriately, connect the two grounds together under the data converter. If you desire to have the single plane area, remove the split entirely. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 123] Автор : rolin Дата : 06.01.2018 22:41 хотите в размерах под маламута плату для Вас разведу? 14 бит АЦП вот так же вплотную посттавим... После сборки все узнаем... Будет автономный аппарат без оконечника с полосовиками, без антенного реле... Заказ первого экземпляра за ваш счёт... А Маламут это что, пример миниатюризации ? Да ладно... Примеры разводок, что я приводил выше - это все было в железе испробовано, так что ваша ирония неуместна. И, кстати, зачем на генератор вешать формирователь, ухудшая при этом джиттер ? Он что, в полуметре от АЦП находится ? Поставьте его в сантиметре и не нужны будут эти лишние запчасти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 124] Автор : Genadi Zawidowski Дата : 07.01.2018 00:52 А Маламут это что, пример миниатюризации ? Да ладно... ПОдразумеваю корпус... Но ведь действительно в два раза меньше нынешнего Аиста? в полуметре от АЦП В моем варианте... куда тащить? К АЦП или к ЦАП? FPGA ей все равно, какой клок... Напомню, АЦП при передаче выключаю. Так что делить как-то придется. Сейчас на резисторах, AD9510 уже примериваю... ps: да, с Рождеством если что... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 125] Автор : rolin Дата : 07.01.2018 01:07 Genadi Zawidowski, клок на плисину идет с АЦП, одновременно с линией данных. Нет никакого смысла тащить на плис отдельную линию клока. Оно ж там специально так задумано, что клок с АЦП выходит уже правильно сфазированным и готовым для успешного захвата данных без необходимости колдовать с фазой в плис. с Рождеством -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 126] Автор : Genadi Zawidowski Дата : 07.01.2018 01:12 там специально так задумано Я же писал... На передаче АЦП с его формирователем отключается... При приеме да, его клок тактирует дерандомайзер и вход FIFO. Ясно, передающая часть тактируется от генератора напрямую. ЦАП так же от генератора. Ну потребляет АЦП полампера! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 127] Автор : rolin Дата : 07.01.2018 01:31 Genadi Zawidowski, экономить ток во время передачи, это такое ... неоднозначное решение. Можно сэкономить, если сделать импульсный понижающий преобразователь до 4.2 вольт а потом LDO на 3.3. У меня помнится в SDR-Deluxe вся плата вместе с немаленьким третьим циклоном забитым по завязку потреблял 0.5 ампер от 12 Вольт. И может в АЦП есть какой-то режим энергосбережения, когда клок не тушится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 128] Автор : Genadi Zawidowski Дата : 07.01.2018 01:41 До введения импульсного стабилизатора на 1.2 вольта аист потреблял на пр теме по 12 волттам 650 ма, при передаче без оконечника 340 ма. Введение импульсника раза в полтора убавило аппетит. На приёме. Считаю нужным отключать АЦП на передаче. Гасим входом шатдаун. Клок выключается, совсем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 129] Автор : rolin Дата : 07.01.2018 02:01 аист потреблял на пр теме по 12 волттам 650 ма, при передаче без оконечника 340 ма. В том-то и дело, что без оконечника, а кому он без оконечника нужен ? Ясно, передающая часть тактируется от генератора напрямую. ЦАП так же от генератора. ЦАП тактируется от плисины, параллельно с шиной данных. Нет никакого смысла тянуть к нему сигнал от генератора, если его можно с тем же успехом протянуть через плисину. Если конечно вы не отключаете клок от АЦП во время передачи... :) Но подумайте - генератор работает только на АЦП, чистое здоровье, максимальные параметры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 130] Автор : Genadi Zawidowski Дата : 07.01.2018 02:19 Если также решать эти проблемы, и АЦП можно через ПЛИС тактировать... Короче , оставим. ЭСЛ сигнал с клоком нормально парой протаскивается. Один буфер не повредит. Вот вы до сих пор не сделаете нормальный выход ЦАП, все резисторами согласовывается... А можно убрать усилитель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 131] Автор : Genadi Zawidowski Дата : 07.01.2018 02:26 Если также решать эти проблемы, и АЦП можно через ПЛИС тактировать... Короче , оставим. ЭСЛ сигнал с клоком нормально парой протаскивается. Один буфер не повредит. Вот вы до сих пор не сделаете нормальный выход ЦАП, все резисторами согласовывается... А можно убрать усилитель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 132] Автор : rolin Дата : 07.01.2018 02:30 Если также решать эти проблемы, и АЦП можно через ПЛИС тактировать.. Нельзя. Это святое. Вот вы до сих пор не сделаете нормальный выход ЦАП, все резисторами согласовывается... А можно убрать усилитель. У меня нормальный выход ЦАП, единственный резистор 200 Ом необходим, так как токовые выходы ЦАП не имеют собственного сопротивления. А что за усилитель можно выкинуть ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 133] Автор : Genadi Zawidowski Дата : 07.01.2018 02:38 Буквально сегодня смотрел что на выходе hiqsdr RA1ACS, как я помню схема совпадает... На выходном разъёме 5 dBm несущая... У меня трансформатор 200->50 и диплексор, на выходе которого имеем 3 dBm несущей. Без усилителей. Imd3 около 82 дБ. Имд разумеется не а режиме cw. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 134] Автор : rolin Дата : 07.01.2018 02:55 Genadi Zawidowski, у меня тоже транс 4:1 плюс фильтр. 3дБм это 2мВатт, ниочем, как тут без усилителя ? Сейчас у меня усилитель на OPA2677 , ИМД под 70дБ на его выходе при +7дБм - не вижу проблемы. В HiqSDR BGA616 очень хороша, только горит часто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 135] Автор : Kia2700d Дата : 07.01.2018 06:33 и АЦП можно через ПЛИС тактировать айком в модели 7300 взял клок для АЦП с плиса, через умножитель, а в 7610 - напрямую с генератора (но кажется через буфер, его видно на плате выше, с широкими дорожками) при этом 7300 улетает с полок, а 7610 - нет. поэтому нужно делать так, как подсказывает внутренний голос, а не по советам форума.. :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 136] Автор : EW2MS Mikhail Дата : 07.01.2018 06:49 Вот такой макет для УКВ в тестировании. 278133 Геннадий, на один бэнд будет? На какой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 137] Автор : rolin Дата : 07.01.2018 06:56 поэтому нужно делать так, как подсказывает внутренний голос, а не по советам форума.. ага, послушай ролина и сделай все наоборот ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 138] Автор : SVd2004 Дата : 07.01.2018 09:21 в модели 7300 взял клок для АЦП с плиса Там АЦП совсем другая, без имени. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 139] Автор : Serg Дата : 07.01.2018 10:39 У меня трансформатор 200->50 и диплексор, Можно кусок схемы цап и этого всего показать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 140] Автор : VFO Дата : 07.01.2018 10:54 Да вроде давно по печати определились, что там LTC2208-14, да и какая разница какой там АЦП с точки зрения подачи клока. Я вот для деления клоков между восемью потребителями использую LMK04808, да ещё с трансформаторной развязкой выходов. Работает отлично, разницы в работе АЦП с ней/без неё не замечаю, индивидуально по каждому выходу регулируется амплитуда. Но для любительской конструкции это наверно слишком. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 141] Автор : Genadi Zawidowski Дата : 07.01.2018 11:15 Можно кусок схемы цап и этого всего показать? В аттачменте, страница семь. Цепь из последовательного контура (0.68 мкГн и 7.5 пФ не ставил). Разрядность ЦАП используется полностью. Геннадий, на один бэнд будет? На какой? Это двоешный аппарат, прием/передача в зонах найквиста при тактовой 122.88 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 142] Автор : SVd2004 Дата : 07.01.2018 11:38 Да вроде давно по печати определились, что там LTC2208-14 Как то не очень похоже... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 143] Автор : Kia2700d Дата : 07.01.2018 19:43 Как то не очень похоже... А если на рисунок платы наложить диаграмму из даташита, то картина немного проясняется 8-) в LTC2208-16 по пинам 21-24 передаются младшие биты данных, а в 2208-14 - эти же пины "No Connect" 278752 поэтому вероятность того, что в ic7300 применен 2208-14 приближается к единице :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 144] Автор : Genadi Zawidowski Дата : 09.01.2018 03:26 Вот такое вырисовывается... 101*203 (4*8 дюймов). Крепежных отверстий, разэемов пока ставить некуда. sd-карту маленькую на обраьтную сторону? Если только приемник с TxDAC - обходится без +12 вольт (полосовики и реле становытся пятивольтовыми) - стабилизатор исчезает. Антенное реле уходит к плате передатчика. 278861 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 145] Автор : Genadi Zawidowski Дата : 09.01.2018 05:24 Или так... 278862 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 146] Автор : SVd2004 Дата : 09.01.2018 06:13 Или так... Если ещё что то перенести на обратную сторону, будет вообще свободно. Получается вертикальная 2-3х платная конструкция. На второй всё управление, дисплей, динамики. 3-я плата передатчик. Всё очень компактно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 147] Автор : Genadi Zawidowski Дата : 09.01.2018 06:38 Проблема в "свободном крае платы" для разъема sd-card. Теоретически можно удалить, в надежде что когда-то заработает запись на USB FLASH, но надежда слабая. Да и скорость у карты выше, так как под USB HOST отдан FS контроллер (12 мегабит). Как питание можно поставить так же mini-usb разъем... power bank устройства кажется через такое более ампера пропускают? И опять... непонятно какой корпус, плата лежащая на столе оно только для проверки работоспособности хорошо, выяснить не наводится ли лишнего с FPGA на ADC. 278864 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 148] Автор : rolin Дата : 09.01.2018 06:53 выяснить не наводится ли лишнего с FPGA на ADC. У вас АЦП к плисине непосредственно выводами подключено без фильтров, все что в плисине будет и в АЦП. По моему мнению, проблемы наводок от плис не существует, что доказывают также все существующие проекты и мои собственные. Выше уже приводили фото, где АЦП вплотную к плисине стоит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 149] Автор : Genadi Zawidowski Дата : 09.01.2018 06:57 По моему мнению, проблемы наводок от плис не существует, что доказывают также все существующие проекты и мои собственные. Выше уже приводили фото, где АЦП вплотную к плисине стоит. Не отслеживал как сейчас, в первых прошивках QS1R был модуль коррекции постоянной составляющей от АЦП, Наводки прояляются с похожим эффектом. В Гермемсах видел удаление постоянной составляющей в IQ каналах... Оттащив АЦП я избавился от писка при приеме и "кола" на нулевой частоте панорамы, не применяя программное "вырезание" части диапазона. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 150] Автор : SVd2004 Дата : 09.01.2018 07:01 Как питание можно поставить так же mini-usb разъем... power bank устройства кажется через такое более ампера пропускают? У Самсунга вот такой блок питания через USB_Mini. Их сейчас как грязи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 151] Автор : Genadi Zawidowski Дата : 09.01.2018 07:04 Их сейчас как грязи. Я бы про помехи не забывал.. Но mini usb пойдет, ожидаю не более ампера по +5 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 152] Автор : SVd2004 Дата : 09.01.2018 07:15 Я бы про помехи не забывал.. Но mini usb пойдет, ожидаю не более ампера по +5 Все новые смартфоны и планшеты Самсунга работают с этим блоком, с режимом быстрой зарядки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 153] Автор : rolin Дата : 09.01.2018 07:22 Genadi Zawidowski, существует проблема наводок от шины данных АЦП на вход АЦП по радиочастоте, проявляется именно при слишком длинных проводниках шины, лежащих на поверхности платы. Проявляется в виде периодических горбов на спектре. Для устранения придумали рандомайзер шины данных, при этом спектр наводок вместо горбов равномерно размазывется по спектру, вроде как меньшее зло. в первых прошивках QS1R был модуль коррекции постоянной составляющей от АЦП Во-первых, автор QS1R вообще не авторитет а во-вторых постоянная составляющая на входе высокоскоростного АЦП это совсем не то же самое, что постоянная составляющая в низкоскоростном IQ потоке. Это вообще разные вещи. Скорее всего автор подсмотрел это где-то, где используется пара АЦП для оцифровки IQ каналов и смещение нулевой частоты имеет большое значение, так как обработка идет на нулевой ПЧ. А может быть, он решил, что точная балансировка входа АЦП имеет большое значение для достижения максимальных параметров по ИМД. Реальные микросхемы имеют небольшое смещение в сторону от нуля. В Гермемсах видел удаление постоянной составляющей в IQ каналах... А я не видел там такого. Поначалу была коррекция нуля по входу с АЦП, которую притащили из QS1R , а потом ее благополучно выкинули за ненадобностью. Проблема палки по центру существует до сих пор в коде HiqSDR, потому что его автор вместо того, чтобы озаботится правильным округлением результатов умножения в CIC, просто поставил ФВЧ по IQ в Квиске. А в Гермесе эту тему пофиксили и у него палок нет на выходе. Я потом подсмотрел как там это сделано и пофиксил также и код HiqSDR, чтобы при работе с Повером не было палки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 154] Автор : Genadi Zawidowski Дата : 09.01.2018 07:22 Упс... Заглянул в текущее состояние HL - не увидел старых прелестей... Для устранения придумали рандомайзер шины данных, при этом спектр наводок вместо горбов равномерно размазывется по спектру, вроде как меньшее зло. Ни в одном из моих аппаратов включение рандомайзера никак не проявляется. Это включается в меню, можно проверять на лету. К сожалению, помеха совпадает (в старых версиях) с частотой NCO, а эти данные наружу не выходят. Только через питание... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 155] Автор : rolin Дата : 09.01.2018 07:41 Ни в одном из моих аппаратов включение рандомайзера никак не проявляется. Наводки от шины хорошо видны при просмотре на широком бендскопе во всей полосе до Найквиста, не знаю, есть ли он в вашем проекте. Что еще меня сильно смущает - наводки никогда не исчезают полностью, они пропорционально уменьшаются. То есть то, что вы отодвинули АЦП на пяток сантиметров скорее всего лишь уменьшило бы палко, но не убрало ее полностью. И, кстати, положите один палец на корпус ПЛИС а второй на корпус АЦП, ну что, появилась палка ? :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 156] Автор : SVd2004 Дата : 09.01.2018 07:51 АЦП можно разместить на обратной стороне и использовать промежуточный слой для экрана. Или есть какая то проблема? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 157] Автор : Genadi Zawidowski Дата : 09.01.2018 11:48 есть ли он в вашем проекте. Широкого бэндскопа нет, только 96 или 192 в некоторых версиях. скорее всего лишь уменьшило бы палко, но не убрало ее полностью Да, так и есть - уменьшило. Видно при полосе анализатора в несколько герц и в версиях с LTC2217. И, кстати, положите один палец на корпус ПЛИС а второй на корпус АЦП, ну что, появилась палка ? Нет. Не меняется уровень. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 158] Автор : rolin Дата : 09.01.2018 16:34 Genadi Zawidowski, Нет. Не меняется уровень Ну значит причина палки не наводки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 159] Автор : Genadi Zawidowski Дата : 13.01.2018 14:35 НЕмного про работу кнопки MODE: Радио переключаются в таком вот наборе режимов: 279270 По вертикали строки перебираем коротким нажатием MODE. По горизонтали запомненное положение меняется длинным нажатием MODE. Соответственно, запомненное положение вертикаль-горизонталь запоминается для данного диапазона. Коррекция частоты делается при переходе от SSB к телеграфу (и ОБРАТНО) для сохранения картины эфира, слышимой оператором. Разумеется, если переключение между режимами с одинаковой боковой полосой. Это верхняя для USB и CW, нижняя LSB и CWR. Отключается пунктом STAYFREQ в меню SPECIAL. CWZ – прием двух боковых, можно использовать для калибровки частоты опорника. SAM – синхронный прием AM, на дисплее индицируется погрешность частоты приема. Модно так же использовать для калибровки частоты опорника DRM – 9 кГц спектра из эфира переносится на центральную частоту 12 кГц и выдаются в USB AUDIO – для работы программ приема сигналов цифрового радиовещания. Центральная частота сооьветствует отображаемой на дисплее. DGU и DGL – как USB и LSB – но адаптировано под работу цифровыми режимами работы. Источником сигнала для модулятора по умолчанию становится левый канал USB AUDIO, параметры АРУ изменяются для лучшей работы программ приема цифровых модуляций. CW и CWR - увстота на диспоее отображает частоту излучаесого сигнала. Частота принмаемого сигнала соответствует звуку 700 герц (устанавливается пунктом CW PITCH в меню FILTERS). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 160] Автор : SVd2004 Дата : 13.01.2018 15:57 Если бы было 4 отдельные кнопки LSB, CWR, AM, NFM, было бы удобнее. Есть такая возможность? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 161] Автор : Genadi Zawidowski Дата : 13.01.2018 16:06 Возмоднось есть... А для остального? ТУт на одну кнопку навешиваем любое количество режимов.. И посему четыре а не тио например? USB/LSB, CW/CWR, AM/SAM/NFN/CWZ/DRM ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 162] Автор : SVd2004 Дата : 13.01.2018 16:27 Три уже хорошо. А так, на мой взляд, всё же удобнее USB/LSB, CW/CWR, AM/SAM, NFM/CWZ/DRM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 163] Автор : Genadi Zawidowski Дата : 13.01.2018 16:34 DGU/DGL с чем совмещаем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 164] Автор : SVd2004 Дата : 13.01.2018 16:35 С NFM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 165] Автор : Genadi Zawidowski Дата : 13.01.2018 16:40 А вот так: USB/LSB, CW/CWR, AM/SAM/CWZ/DRM, NFM/DGU/DGL/CWZ Общее поле кнопок как будет выглядеть? Для данного случая закодируем это четыре как MODE1..MODE4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 166] Автор : Serg Дата : 13.01.2018 16:50 Genadi Zawidowski, на мой вкус кнопки так: 1) USB/LSB; 2) CW/CWR; 3) AM/FM (длинное зажатие может выбирать дополнительные плюшки, к примеру, более узкий чм - NFM или SAM, для текущего АМ положения); 4) DIGU/DIGL/DRM (длинное - CWZ). Если две кнопки то: 1) USB/LSB/CW (длинное зажатие может менять USB/DGU, LSB/DGL, CW/CWR); 2) AM/FM/DRM (тут длинное нажатие в АМ -> SAM, FM ->NFM). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 167] Автор : SVd2004 Дата : 13.01.2018 16:54 АМ и SAM будут использоваться чаще, и постоянно скакать ещё через 2 режима... 4 кнопки ниже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 168] Автор : Genadi Zawidowski Дата : 13.01.2018 16:55 Полоса переключается отдельной кнопкой... не надо смешивать. Шаблон для функций клавиатуры выложен, давайте в комплексе предлагать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 169] Автор : SVd2004 Дата : 13.01.2018 17:11 Шаблон для функций клавиатуры выложен Я имел ввиду дополнительные кнопки. А при таком раскладе уже ничего не изменить... Если только, вместо кнопки MODE, ввести энкодер с кнопкой... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 170] Автор : Genadi Zawidowski Дата : 13.01.2018 17:15 Не, совместить BREAK-IN с управлеием VOX, например... или со скоростью телеграфа, управлоение динамиком с VOX и еще что-то. Вы заметили, что кнопки по краю поля выделены бод более частые функции, чтобы нажимать вслепую? В случае четырех кнопок моде вместо одной они должны занать или вертикаль слева от индикатора или верхний (в крайнем случае нижний) ряд поля 4*4. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 171] Автор : SVd2004 Дата : 13.01.2018 17:18 4 кнопки ниже. Писал выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 172] Автор : Serg Дата : 13.01.2018 17:24 АМ и SAM Стоите в АМ, надо SAM - зажимается кнопка подольше, клацать через несколько мод не нужно. Шаблон для функций клавиатуры выложен, давайте в комплексе предлагать. Это вариант когда кнопка моде всего одна? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 173] Автор : rolin Дата : 13.01.2018 20:55 Геннадий, был бы очень благодарен за графики измерений входных фильтров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 174] Автор : Genadi Zawidowski Дата : 13.01.2018 21:07 Когда будет аппарат очередной сниму. Давно проверял, ещё с маленькими nlv32. С большими катушками не смотрел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 175] Автор : rolin Дата : 13.01.2018 21:14 Genadi Zawidowski, спасибо. Проблема нормальных фильтров на СМД все еще имеется. Может вам удалось решить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 176] Автор : Genadi Zawidowski Дата : 13.01.2018 21:30 Да критерий нормальности уточните, а то может не стоит и заниматься. Вы помните что у меня обзорные диапазоны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 177] Автор : rolin Дата : 13.01.2018 22:03 Genadi Zawidowski, основная проблема - слишком большое затухание в полосе, ну и расстроенность получающихся реальных фильтров. Я помню, как вы где-то писали, что ваши фильтра легко повторяются и имеют хорошие характеристики. Вот и захотелось посмотреть. А какие частоты раздела ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 178] Автор : Genadi Zawidowski Дата : 13.01.2018 22:06 Проекты я раз пять выкладывал... ratio = 1.661809 [1] 1.60MHz-2.66MHz me = 2.06MHz, [bw = 1.48MHz ] [2] 2.66MHz-4.42MHz me = 3.43MHz, [bw = 2.46MHz ] [3] 4.42MHz-7.34MHz me = 5.70MHz, [bw = 4.09MHz ] [4] 7.34MHz-12.20MHz me = 9.47MHz, [bw = 6.80MHz ] [5] 12.20MHz-20.28MHz me = 15.73MHz, [bw = 11.31MHz ] [6] 20.28MHz-33.70MHz me = 26.14MHz, [bw = 18.79MHz ] [7] 33.70MHz-56.00MHz me = 43.44MHz, [bw = 31.22MHz ] -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 179] Автор : Genadi Zawidowski Дата : 14.01.2018 16:08 Небольшой анонс птенца птичьей породы... Имя еще не придумал. Фотографии тоже пока еще нет. Прием 30 кГц..56 МГц, передача от 200..300 кГц ло 56 МГц, выход 1 мВт, 50 Ом. AM/NFM/SSB/CW. Аттенюатор 0/6/12/18 дБ. Ниже 1.6 МГц дополнительный 10 дБ аттенюатор, включается/отключается перемычкой на плате. Вся приемная часть, ЦАП передатчика с фильтром, все разъемы и возможности программного обеспечения от трансивера. Питание +5, mini-USB для программирования, для связи с компьютеом и отдельный (третий) для питания, например от солнечной батареи или power bank. 3.5 мм стерео разъемы для наушников, микрофона, педали и ключа. micro-SD слот, записывает то что слышит. Мостовой выход УНЧ кодека для подключения 1 Вт динамика 8 Ом. Четырехслойка 203 * 101.5 мм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 180] Автор : SVd2004 Дата : 14.01.2018 16:38 Небольшой анонс птенца птичьей породы..Имя еще не придумал.. Хорошая новость. Интересно, какое будет имя... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 181] Автор : SVd2004 Дата : 14.01.2018 18:39 Четырехслойка 203 * 101.5 мм. Генадий, в ручную паять такие платы очень сложно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 182] Автор : Kia2700d Дата : 14.01.2018 18:51 Птенец аиста - 8 букв -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 183] Автор : Genadi Zawidowski Дата : 14.01.2018 19:00 Черный аист... https://upload.wikimedia.org/wikipedia/commons/2/2d/Wildpark_Bad_Mergentheim._Schwarzstorch.jpg Генадий, в ручную паять такие платы очень сложно? Нет... На печке+фен паяются паяются микросхемы с донышком (на многослойке без печки не припаять, теплоотвод хороший) и USB разъемы. Процессор и остальное просто паяльником. Самое трудоемкое - полосовики, много различных номиналов. Поэтапно, включая собранные части. Выводные компонентиы в конце на каждом участке платы, иначе трудно паять и удалять флюс. Полстроечных элементов нет, но полосовики собираю с контролем емкости конденсаторов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 184] Автор : SVd2004 Дата : 14.01.2018 19:35 Черный аист... Очень красивая птица. С шикарной причёской. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 185] Автор : R3LDA Дата : 14.01.2018 19:57 Небольшой анонс птенца птичьей породы... Имя еще не придумал Ну вот опять мне придумывать:) "Falke-Сокол", "Adler- Орёл", "Еаglet-Oрлёнок","Soor-Дрозд", "Kormoran-Бaклан", "Schwarzstorch-Чёрный аист" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 186] Автор : Kia2700d Дата : 14.01.2018 20:27 С шикарной причёской. птенец голубой цапли (цапленок?) https://i.imgur.com/ZJ94khR.png -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 187] Автор : R3LDA Дата : 14.01.2018 20:36 голубой цаплиНет ну Вы сами подумайте.., Чёрный Аист, Чёрный Орёл, Чёрный Баклан т.е. трансиверы в чёрном корпусе и вдруг какая то "Голубая цапля", да ещё в виде птенца?!:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 188] Автор : SVd2004 Дата : 14.01.2018 20:37 птенец голубой цапли (цапленок?) Ему ещё расти и расти, а потом его причёсывать и причёсывать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 189] Автор : SVd2004 Дата : 15.01.2018 06:39 На печке+фен Генадий, печку какую используете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 190] Автор : rolin Дата : 15.01.2018 07:23 на многослойке без печки не припаять, теплоотвод хороший Запросто, сначала нагреваете место пайки до расплавления припоя на земляной площадке, потом кладете микру и через пару секунд прогрева все готово. Это быстрее, чем все подогревать а потом остужать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 191] Автор : Genadi Zawidowski Дата : 15.01.2018 10:07 Печка AOYUE INT 863 Запросто, сначала нагреваете место пайки до расплавления припоя на земляной площадке Вот не получается... внутренний слой забирает тепло. Во всяком случае, без повреждения печати не полчается. Вот посмотрите на помеченные посадочные места, просто дохлый номер по вашему способу. Сжечь плату это можно или видимость пайки будет. 279459 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 192] Автор : SVd2004 Дата : 15.01.2018 13:52 Температура подогрева какая? Расстояние от подогревателя имеет значение? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 193] Автор : RU6AI Дата : 15.01.2018 14:46 Запросто, сначала нагреваете место пайки до расплавления припоя на земляной площадке, потом кладете микру и через пару секунд прогрева все готово. Это быстрее, чем все подогревать а потом остужать. Если заниматься такими вещами, нужен нижний подогрев. Неохота тратиться- делается из подручных средств.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 194] Автор : Genadi Zawidowski Дата : 15.01.2018 15:09 Температура подогрева какая? Расстояние от подогревателя имеет значение? Разумеется имеет значение. Чем ближе... На моей "печке" - как правильно сказали - "нижний подогрев" - родные крепления на расстоянии приблизительно 15 мм от верхней плоскостию От самих нагревателей при этом около 80 мм. Нагреватели - стеклянные трубки (кварцевое стекло?) со спиралями внутри них. Если паяльной пасты нет, а просто припой с флюсом в прутке - то так: греем плату до 180 градусов, лудим паяльником 330 градусов планируемые места (чтобы олово в отверстия ушло), потом приплавить кускок канифоли на это место. Да, детали лежат где-то на свободном месте платы рядом, греются. Устанавливаются на посадочные места детали. Потом поднимаем температуру подогрева до 260-280 градусов и подогревая феном (360) припаиваем все что надо. Выключаем и плата вместе с печкой оствыает. Если паста (я польуюсь вот такой пастой местного разлива - NC297DX Sn62) - то наносить её так же на подогретую плату, она становится текучей пости как лак или чернила. Температура для начального подогрева так же не высокая, чтобы можно было работать спокойно - рукам тепло! Потом ставятся делтали, поднимаем температуру и паяем. Главное - остутствие сквозняков. Неплохо между окном (закрытым!) и работающим нагревателем поставить поднос или доску для защиты от потока воздуха. делается из подручных средств Зажимы для платы у Вас родные... Где брать? У меня один из винтов "разобрался" и потерялся, ищу замену. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 195] Автор : SVd2004 Дата : 15.01.2018 15:18 Размер нагревателя и его конфигурация (круг, прямоугольник) имеет значение? В смысле плата полностью по площади должна прогреваться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 196] Автор : Genadi Zawidowski Дата : 15.01.2018 15:20 Если частично, плату "поведет" - изогнет. upd: коллега посмотрел как в Альтиуме этот проект загрузился. 279489 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 197] Автор : RU6AI Дата : 15.01.2018 16:49 Зажимы для платы у Вас родные... Где брать? Токарь из латуни выточил....Канавку правда лишнюю сделал..Направляющие -из шампуров. Лампы- из печек лазерных принтеров. Сетка- из автомагазина-защита радиатора конденционера.. Сделал контроллер на Atmege 8. Работает хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 198] Автор : SVd2004 Дата : 15.01.2018 17:05 коллега посмотрел как в Альтиуме этот проект загрузился Красиво, но без элементов... наверно с библиотекой проблема... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 199] Автор : rolin Дата : 15.01.2018 17:06 Это странно У меня есть нижний подогрев, но я его только для BGA использую. Просто феном легко удается паять все детали на 4х слойных платах и выпаивать такие вещи как LAN разьем . Никаких следов пайки не остается типа подпаленой маски или отлетевших дорожек. Температуру ставлю 350 градусов, калибровано в точке выхода воздуха в центре без насадки . Припой только свинцовый стандартный 60 на 40. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 200] Автор : VFO Дата : 15.01.2018 17:40 Красиво, но без элементов... наверно с библиотекой проблема... Ну так, а откуда в проекте, который сделан в PCAD возьмутся STEP модели компонентов. Единственное, если в пикадовской либе заполнить у компонентов значение высоты, то они будут хотябы условно отображаться кубиками. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 201] Автор : SVd2004 Дата : 15.01.2018 18:39 Ну так, а откуда В Диптрайсе хоть один нашёлся... Ну так, а откуда В Диптрайсе хоть один нашёлся... Добавлено через 39 минут(ы): Нужно только искать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 202] Автор : Genadi Zawidowski Дата : 16.01.2018 01:54 Запросто, сначала нагреваете место пайки до расплавления припоя на земляной площадке У меня возникла мысль... Может ли быть такое, что переходные отверстия на земляной площадке в Ваших проектах не имеют прямого соединения с plane на внутреннем слое, а через термобарьеры или, страшно подумать, вообще никак? Только в этом случае, когда теплоотвод никакой, могу себе представить такой способ монтажа. У меня была плата с такой ошибкой, АЦП грелся страшным образом... Он и сейчас греется, 400 мА по +3.3 вольта это не просто так, но хоть вместе с окружающей платой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 203] Автор : rolin Дата : 16.01.2018 02:14 Genadi Zawidowski, конечно нет. Единственное, третий слой у меня не имеет сплошной земли и площадка под теплоотвод примерно равна размеру площадки на микре (чуть больше). Но я в жизни не паял QFN с подогревом . Помнится, когда-то за неимением фена, успешно припаял 2208 с помощью 60-ти ваттной галогенки со сферическим отражателем Могу предположить, что ваш фен некалиброван и мои 350 градусов отличаются от ваших. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 204] Автор : Genadi Zawidowski Дата : 16.01.2018 02:33 ваш фен некалиброван Действительно так, но повышение температуры начинает приволить к "поджариванию" платы - изменению цвета текстолита и маски, пузырькам, отслаиванию дорожек и так далее... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 205] Автор : rolin Дата : 16.01.2018 05:46 Genadi Zawidowski, может ваш текстолит какой-то супер качественный, я о своем бы не сказал, что он имеет хорошую теплопроводность. А паяльником на 350 градусов можете залудить земляную площадку, или жало липнет ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 206] Автор : Genadi Zawidowski Дата : 16.01.2018 05:49 С трудом... И конечно очень локально, припой плавится на миллиметр или полмилиметра вокруг жала. Ersa i-con1, паяльник на 150 ватт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 207] Автор : rx9cim Дата : 16.01.2018 06:36 Паяю феном в составе паялки (Lukey), температура в районе 350 градусов по показометру (не калиброванный). Материал - стандартный стеклотекстолит FR4. Проблем с пузырением или изменением цвета маски не было. Отслаиваний дорожек не было. Обычно размеры плат небольшие (не более 17х17см), ведений плат (до 4х слоев) не было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 208] Автор : RU6AI Дата : 16.01.2018 07:14 На Гермесе ,первый раз LTC6400 менял феном без нижнего подогрева, когда попробовал с нижним- небо и земля..Нагрел ~ 110- остальное фен.Удобно. Но тема ушла от Аиста..:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 209] Автор : SVd2004 Дата : 16.01.2018 08:08 Но тема ушла от Аиста.. Никуда она не ушла... Эти вопросы очень важны при самостоятельной сборке. Но тема ушла от Аиста.. Никуда она не ушла... Эти вопросы очень важны при самостоятельной сборке. Добавлено через 46 минут(ы): А паяльником на 350 градусов можете залудить земляную площадку, или жало липнет ? Картинка с обратной стороны. Без подогрева наверное трудно запаять, особенно АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 210] Автор : SVd2004 Дата : 16.01.2018 11:04 Поломал голову, чем подогревать... Поскрёб по сусекам и нашёл старый советский обогреватель "Огонёк-3" Поставить регулятор и сверху сеточку и штатив от Али... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 211] Автор : VFO Дата : 16.01.2018 12:13 В Диптрайсе хоть один нашёлся... Независимо от конкретного CAD, если он понимает STEP, то искать их прежде всего надо на http://www.3dcontentcentral.com/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 212] Автор : rolin Дата : 16.01.2018 19:35 SVd2004, с обратной стороны оставили площадки без маски совершенно зря. Излишки припоя будут собираться в большую некрасивую каплю. Пропаять микру через площадку с обратной стороны обычным паяльником вообще нереально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 213] Автор : Genadi Zawidowski Дата : 16.01.2018 20:11 Доброе утро. Особенности домашнего монтажа. Как раз лучше растекшаяся капля, чем шарики припоя. Кроме того, не хотел чтобы забивались отверстия маской. Излишки припоя утекают сквозь отверстия на обратную сторону - более тонкий слой, лучше теплоотвод и эстетика. На мой взгляд, разумеется. Да, маска убрана не для прогрева паяльником, это точно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 214] Автор : Kia2700d Дата : 16.01.2018 20:33 не хочется подпалить себе ресницы и уж тем более сжечь всю хату некоторыми вышеперечисленными методами :ржач: я бы такую плату паял пастой под трафарет в печке/тостере. Свинцовая паста в принципе не требует жесткого контроля профиля. получится почти заводской вид. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 215] Автор : SVd2004 Дата : 16.01.2018 20:44 не хочется подпалить себе ресницы и уж тем более сжечь всю хату некоторыми вышеперечисленными методами Если Вы про "Огонёк", то я им пользовался многие годы...:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 216] Автор : rolin Дата : 16.01.2018 21:08 Genadi Zawidowski, я в процессе эволюции пришел к такому решению : мелкие переходы в массе и один крупный по центру, около 1.5 мм. Лишний припой как правило весь помещается в центральном отверстии. Мелкие шарики из малых отверстий легко убираются паяльником. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 217] Автор : SVd2004 Дата : 17.01.2018 06:07 По поводу кнопок. Генадий, а как такой вариант? Это схема. А на передней панели группировать как удобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 218] Автор : Genadi Zawidowski Дата : 17.01.2018 09:17 Полоса шириной характеризуется в телеграфных фильтрах, в телефонных частотами верхнего и нижнего ската. Четыре кнопки. Надо ли оно? Или тэто перебор фильтров? Динамическое управление такими вещами возможно, но не очень красив о выглядит. Смена полосы в SSb требует перестройки и первого т гетеродина и последнего. Из-за задержки в обработке сигнала (фильтр основной селекции) это все сопровождается кратковременными изменениями тональности сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 219] Автор : SVd2004 Дата : 17.01.2018 09:23 Или тэто перебор фильтров? Да, увеличение уменьшение полосы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 220] Автор : Genadi Zawidowski Дата : 17.01.2018 09:47 Или перебор двумя. или регулировка тогда четырьмя кнопками. Если регулировка ещё все это на индикацию вытаскивать. Не очень важная функция. Обычно хватает пред установленных параметров и перебор их, что сейчас и сделано. Мало? Добавим. Плавная перестройка сопровождается в ssb неприятными переливами, их не очень получается устранить. Коллега из Украины пытался работать с if shift, выведеным на потенциометр... Пользоваться оказалось невозможно. И тут такое же будет.для поверки захолите в меню и крутите параметры фильтра, слушая что происходит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 221] Автор : SVd2004 Дата : 17.01.2018 10:02 Пользоваться оказалось невозможно. И тут такое же будет Странно, перебор по кругу, заменяется направленным переключением, что тут может измениться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 222] Автор : Genadi Zawidowski Дата : 17.01.2018 10:06 А... То есть вместо перебора по кругу переход по предустановленными значениям? Тогда нормально. Норомально потому, что эффект выглядит по другому когда не происходит ритмичного изменения параметра а однократное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 223] Автор : SVd2004 Дата : 18.01.2018 08:20 Вариант группировки key. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 224] Автор : rx9cim Дата : 18.01.2018 08:53 Странно кнопки 0-9 под диапазоны не использовать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 225] Автор : SVd2004 Дата : 18.01.2018 09:55 Для удобства разводки и компановки, как то так. 5 линий по 6 кнопок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 226] Автор : Genadi Zawidowski Дата : 18.01.2018 10:05 Наличие цифровыхткнопок предполагает кнопку входа в режим мэввода частоты....ьчем помешало совмещение алт дисплей и меню? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 227] Автор : SVd2004 Дата : 18.01.2018 10:16 Окончательное решение всегда за вами Генадий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 228] Автор : R3LDA Дата : 18.01.2018 11:39 5 линий по 6 кнопок.# Цифровые кнопки лучше расположить горизонтально, а не вертикально так привычнее и быстрее набирать. Лучше в 2х нижних рядах первый ряд с 1 по 5 второй с 6 до 0. В принципе можно и в верхних рядах но тоже горизонтально. Примерно как в файле. Вообще говоря имхо, не следует менять дизайн оригинала, многие в том числе и я уже сделали или приобрели готовые корпуса для Аиста, логичо было бы их использовать и в случае модернизации девайса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 229] Автор : SVd2004 Дата : 18.01.2018 12:12 Это не расположение кнопок, блок схема. Именно для удобного расположения и сервиса предлагается. Добавлено через 10 минут(ы): Вообще говоря имхо, не следует менять дизайн оригинала Так оригинала ещё нет... Это другой Аист, Вы же название предлагали. Добавлено через 18 минут(ы): Цифровые кнопки лучше расположить горизонтально Как хочется, так и можно располагать... ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 230] Автор : R3LDA Дата : 18.01.2018 12:41 Как хочется, так и можно располагать.. Покажите как Вам захотелось и как вы расположили все надписи и гравировки на передней панели Вашего предыдущего "Аиста"?:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 231] Автор : SVd2004 Дата : 18.01.2018 13:44 Уже прикидываю, но нового, а показывать ещё рано... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 232] Автор : SVd2004 Дата : 18.01.2018 17:23 Покажите как Вам захотелось и как вы расположили все надписи В первом приближении, примерно так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 233] Автор : Genadi Zawidowski Дата : 18.01.2018 17:34 Забыли диапазоне он 5 МГц, отдельный 29 не требуется, переход по поддиапазонам на 28 МГц имеется с той же кнопки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 234] Автор : Serg Дата : 18.01.2018 18:03 SVd2004, обычно кнопки сплит и а=б, а/б ставят рядом, у вас получилось в отдельных блоках. Клавиши последовательного перебора диапазонов при наличии кнопок прямого выбора - избыточны, ихмо. Можно зарезервировать под какие-то еще фунции. Забыли диапазоне он 5 МГц, Ага, нет 5 и 50. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 235] Автор : Genadi Zawidowski Дата : 18.01.2018 18:53 Пот потому я для себя никогда и не интересовался прямым выбором диапазона . а переходы по порядку нужны, так как может быть запомнена частота и вне диапазонов. А то получается одноразовый способ настроил мы валкодером или набором, послушал другой диапазон и не вернуться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 236] Автор : SVd2004 Дата : 18.01.2018 19:21 Подправил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 237] Автор : Serg Дата : 18.01.2018 19:21 Пот потому я для себя никогда и не интересовался прямым выбором диапазона . а переходы по порядку нужны, так как может быть запомнена частота и вне диапазонов. При наличии вводного поля - это не проблема, любую частоту можно набрать непосредственно. Трансивер все-таки любительский, "левая частота" - не первостепенная функция, в отличии от прямого включения 9ти (10-11ти) наших диапазонов. Кроме того, "левую частоту" можно запоминать в стеке каждой диапазонной кнопки, глубину стека можно сделать до 2-3 частот, т.е. хоть 2(3)*9 дополнительных диапазонов с почти прямым вызовом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 238] Автор : R3LDA Дата : 18.01.2018 20:03 В первом приближении Вообще то я попросил Вас выложить не "приближения", а фотографию передней панели реального трансивера "Аист" оригинала. Если разумеется он у Вас есть..? Думаю, что нет раз Вы "размазываете" здесь картинки не задумываясь о том, как всё это будет выглядеть в реальной конструкции. То что Вы нарисовали теоретически подойдёт для стационарного трансивера в габаритах "UW3DI" т.е. с высотой передней панели 150-170мм , но данная ветка о QRP трансивере "UA1ARN". :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 239] Автор : Genadi Zawidowski Дата : 19.01.2018 04:36 Высота 150-170 мм вполне может соседствовать с 40-50 мм глубины... А тем временем протестировал процессорную и аудио часть аппарата... без проблем. Есть мелкая ошибка, не влияющая на работу (не подключить будет для отладки Altera USB Blaster - на pull-up не подано питание - но мне пока не требовалось). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 240] Автор : Genadi Zawidowski Дата : 19.01.2018 12:42 любую частоту можно набрать непосредственно. Много-много раз. Кроме того, "левую частоту" можно запоминать в стеке каждой диапазонной кнопки, глубину стека можно сделать до 2-3 частот, т.е. хоть 2(3)*9 дополнительных диапазонов с почти прямым вызовом. Функций стековой памяти у меня нет... начиная с того что не совсем понятно что это такое, когда затирается самое старое. Если кто-то доработает проект, сделав (отключаемой) такую функциональность, тогда может быть... Есть крупные непонятки с функциями SPLIT кенвуда, с CAT командами RF и TF. Есть у кого-нибудь трансивер, время и терминал для возни? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 241] Автор : Serg Дата : 19.01.2018 12:47 Есть крупные непонятки с функциями SPLIT кенвуда, с CAT командами RF и TF. Есть у кого-нибудь трансивер, время и терминал для возни? Можно поковырять, пишите в ЛС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 242] Автор : SVd2004 Дата : 19.01.2018 15:27 Расположение кнопок утверждено. Фальшпанель с размерами платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 243] Автор : Genadi Zawidowski Дата : 19.01.2018 16:19 Измерения по чувстительности нового аппарата: LTC2217, LC6401-20. Откалибровано, перегрузка наступает около -11..-13, шумовй пол при RMS измерении в полосе 500 герц -140 дБМвт. При PEAK -133. Уровень наводок от FPGA не отличается от "большой" платы и находится где-то там же, в районе -140. ps: потребление +5 вольт, 830 мА -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 244] Автор : Genadi Zawidowski Дата : 20.01.2018 04:31 Окончательные данные по пореблению без индикатора - до 920 мА. При тестировании источник питания был подключен к плате трансивера через пару удлинителей, напряжение на входном фильтре - 4.2 вольта. Все напряждения питания в норме, реле еще срабатывают. Прямо к "первичному" питанию подключен один из входов питания кодека на плате, диодные коммутаторы диапазонных фильтров и реле аттенюатора и вклбчения фильтра для диапазонов ниже 1.6 МГц. Поднимать напрояжение не стоит, так некоторое время после включения (или при переходе на пердачу) плата потребляет существенно меньше - и кодеку может не понравится... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 245] Автор : UT0UM Дата : 20.01.2018 04:38 Фальшпанель так он Сторч или Сторк? Надо какой-то один язык выбрать, или немецкий или английский В слове Трансивер пропущена буква S И, наверное, CW speed все-таки 12 м это скорее 24,9, а не 24,5 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 246] Автор : rolin Дата : 20.01.2018 05:14 так он Сторч или Сторк? Он Шторх :) Немецкий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 247] Автор : SVd2004 Дата : 20.01.2018 09:41 или немецкий OK! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 248] Автор : Genadi Zawidowski Дата : 20.01.2018 10:05 Cw speed... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 249] Автор : SVd2004 Дата : 20.01.2018 10:28 Cw speed Глюк...:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 250] Автор : R3LDA Дата : 20.01.2018 12:13 ! Симпатично у Вас получилось! Верхние отверстия как я понял для динамиков? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 251] Автор : SVd2004 Дата : 20.01.2018 15:55 Кнопочки https://ru.aliexpress.com/item/100Pcs-Tactile-Push-Button-Switch-Cap-6mm-Applies-to-6-6-Self-locking-Switch-Button-Cap/32763659857.html?ws_ab_test=searchweb0_0,searchweb201602_5_10152_10151_10065_10344_10068_10342_10343_10313_10059_10340_10341_10534_100031_10084_10604_10083_10103_10307_10615_10303_10302_10142_10125,searchweb201603_2,ppcSwitch_2&algo_expid=19d4f738-3cbc-4683-9607-d3741c6ff48e-24&algo_pvid=19d4f738-3cbc-4683-9607-d3741c6ff48e&priceBeautifyAB=1 Динамики https://ru.aliexpress.com/item/2pcs-LCD-TV-special-speaker-1852-Advertising-all-in-one-speakers-1653-8ohm-2W-53-18/32588412239.html?ws_ab_test=searchweb0_0,searchweb201602_5_10152_10151_10065_10344_10068_10342_10343_10313_10059_10340_10341_10534_100031_10084_10604_10083_10103_10307_10615_10303_10302_10142_10125,searchweb201603_2,ppcSwitch_2&algo_expid=b41d97b6-0b4b-4b5d-849c-406a63f1fda3-0&algo_pvid=b41d97b6-0b4b-4b5d-849c-406a63f1fda3&priceBeautifyAB=1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 252] Автор : DeXter Holland Дата : 20.01.2018 17:03 динамики легче достать с разборки ноутов на местной барахолке, наверное дешевле выйдет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 253] Автор : Kia2700d Дата : 20.01.2018 17:08 А на каком этапе трансивер шварцсторх приобрел немецкую национальность и почему? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 254] Автор : R3LDA Дата : 20.01.2018 17:48 SVd2004, Понятно, здесь моя версия..:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 255] Автор : Genadi Zawidowski Дата : 20.01.2018 17:54 Снял АЧХ. Средневолновый диапазон не стал сюда вытаскивать, там все идеально... Видимый на заднем плане кабель вполне мог добавить децибел на ВЧ. В калибровке он не учитывался. upd: Кабель не влияет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 256] Автор : UT0UM Дата : 20.01.2018 18:48 Динамики https://ru.aliexpress.com/item/2pcs-...ceBeautifyAB=1 динамики легче достать с разборки ноутов на местной барахолке, наверное дешевле выйдет так вот, не все динамики одинаково полезны, а экономить на динамиках пару тройку евро, заплатив 100 долл за АЦП, имхо, противоречит здравому смыслу так вот, собирал я польский вариант Тюльпана купил на али динамики, подходящие по размерам в итоге звучали они так себе, а один еще и скрипе в телеграфе видимо на частотах 600-700 Гц там что-то резонировало и скрипело в итого, купил оригинальные, как автор написал в боме VISATON VS-K20.40-8 (https://www.tme.eu/ru/Document/4c810b627c5af8acdd1eb9aa2141cab7/VS-K20.40-8_DTE.pdf) звук изменился просто до неузнаваемости, телеграф слушать - так вообще песня! ну стал разбираться чего ж так, оказывается у них очень удачная АЧХ для наших нужд 279901 и резонансная частота 650 Гц! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 257] Автор : SVd2004 Дата : 20.01.2018 20:06 так вот, не все динамики одинаково полезны Пока не попробуешь, не узнаешь... Мини динамик без корпуса, не очень удачное решение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 258] Автор : UT0UM Дата : 20.01.2018 20:10 Пока не попробуешь я попробовал супер 279909 279908 вон как они у него стоят а я даже отверстия не делал звучат отлично! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 259] Автор : SVd2004 Дата : 20.01.2018 20:28 я даже отверстия не делал звучат отлично! :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 260] Автор : rolin Дата : 20.01.2018 20:38 Снял АЧХ. Спасибо, издалека все хорошо. Но было бы полезно знать подавление на соседних диапазонах. То есть график должен показывать частоту +/- х2 от центра как минимум, лучше х3. Что за индуктивности, не подскажете ? AISC1008 не лучше были бы ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 261] Автор : R3LDA Дата : 20.01.2018 20:50 Пока не попробуешь, не узнаешь...Размещать динамики за передней панелью, в непосредственной близости от дисплея и клавиатуры управления, мягко говоря нежелательно..:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 262] Автор : SVd2004 Дата : 20.01.2018 21:57 мягко говоря нежелательно А внятно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 263] Автор : Genadi Zawidowski Дата : 20.01.2018 22:46 А внятно? Да там наводиться не на что.... Кроме полосок ков. Добавлено через 45 минут(ы): Я вроде bom выкладывал... Посмотрите. А можно ссылку на вашу AISC1008 ? Что значит соседние? Там все на одном масштабе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 264] Автор : R3LDA Дата : 20.01.2018 22:49 внятно? Вероятно Вам приходилось видеть малогабаритные громкоговорители применяемые в мониторах? На работе приходится заниматься переделкой компьютеров мониторов и т.д. в специальной "защищённое" исполнение. Как это выглядит можете посмотреть к примеру здесь: http://www.cordsen.com/index.php/tempest/tempest-level-a/monitor/18-tempest/tempest-level-a/monitor-a/43 Так вот динамики из исходных девайсов просто выбрасываются. Причина в том, что подходящие к ним кабели выполняют роль своеобразных "антенн" они возбуждаются импульсными цифровыми сигналами и начинают переизлучать частоты в УКВ и СВЧ диапазонах, это так называемый "антенный эффект" кабелей. В тех девайсах, где без динамиков не обойтись приходится их помещать в дополнительные экранирующие коробочки. Короче говоря задача это доволь но сложная, качество звука после таких переделок даже нет смысла обсуждать. Кстати говоря всё это касается не только проводов идущих к динамикам, но и вообще весь полностью навесной монтаж "фонит". Если посмотрите внимательно на фотографию моего "Аиста" увидите, что дисплей с клавиатурой выгорожен в отдельный отсек, в него прорезаны отверстия под разъёмы SUB-D, это объясняется тем, что в эти же отверстия при необходимости будут вставлены специальные фильтры.. Надеюсь достаточно внятно объяснил?:smile::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 265] Автор : SVd2004 Дата : 20.01.2018 22:54 даже нет смысла обсуждать Ну и не будем... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 266] Автор : rolin Дата : 21.01.2018 00:21 Я вроде bom выкладывал... Посмотрите. А можно ссылку на вашу AISC1008 ? Что значит соседние? Там все на одном масштабе. Очень часто используются для фильтров (https://www.digikey.com/products/en/inductors-coils-chokes/fixed-inductors/71?k=aisc&k=&pkeyword=aisc&s=24677&FV=ffe00047&mnonly=0&ColumnSort=0&page=1&quantity=0&ptm=0&fid=0&pageSize=25) Например, фильтр имеет центральную частоту 16 МГц (примерно), значит ставим диапазон свипирования от 16/2 до 16*2, то есть от 8 до 32, таким образом можно будет видеть какое подавление будет для диапазонов 7 и 28 МГц. Для фильтра на 3.5 свип от 1 до 8 МГц и маркеры еще можно поставить на 1.9 и 7.1 для полной картины. Вот это и будет измерение, а одна картинка, где все в кучу и без цифр - это не измерение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 267] Автор : Genadi Zawidowski Дата : 21.01.2018 01:16 Да понятно что там... У них с запасом полоса, с затуханием в полосе понятно, пролазов за полосой не наблюдается. Хреново на вч. Затухание на пару децибел уменьшить бы. Надо более добротные индуктивности, применённые мною тдк обещают 50... Очень часто используются для фильтров Разумеется, в айкомах и FT я видел эти индуктивности... Для номиналов дл 180 наногенри я например с удовольсвием применил бы MIDI SPRING, но как их купить в понятных кодичествах а не катушками... Можете посмотреть фото старых аппаратов повнимательнее, они кое-где встречаются. AISC1008 в этом месте будут хороши, спасибо за подсказку. Через чипдип заказать можно. Все-таки, в даташите пишут что или керамика или феерит. Не уточняя. Для номиналов более 1 мкГн интересно было-ьы... Хотя добротность выше у катушек с сердечником (провода меньше уходит на обмотку, если конечно потери в сердечнике не начинаю мешать). А для наших примений IMD3 должен без сердечника лучше быть. Вот это и будет измерение, а одна картинка, где все в кучу и без цифр - это не измерение. К содалению, применениый мною прибор при смене частот обзора требует повторной калибровки на passtrough и аттенюатор - полтора десятка раз крутить... На поставленный вопрос что в полосе с затухением ответил. design files для фильтров под нугерц я выклдывал - скаты согласно модели. Я проверил еще на явные ляпы разводки с пролазами в УКВ - их нет. Затухание в наихудшем месте около 5 дБ... Прпорбую поискать выскодобротную катушку на 1.8...2.2 мкГн, но они все на 30%. Да, АЧХ не отдельно полосовикв, а от антенного разъема до входа УВЧ - а это ФНЧ 7 порядка - ФВЧ седьмого и полосовик пятого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 268] Автор : rolin Дата : 21.01.2018 05:03 Все-таки, в даташите пишут что или керамика или феерит. Не уточняя. Очень просто, с ферритовым сердечником используется индекс F - AISC1008F (https://www.digikey.com/products/en/inductors-coils-chokes/fixed-inductors/71?k=&pkeyword=&s=24741&FV=ffe00047&mnonly=0&ColumnSort=0&page=1&quantity=0&ptm=0&fid=0&pageSize=25) А еще они черного цвета а не синего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 269] Автор : SVd2004 Дата : 21.01.2018 08:40 В старом ic M800 вот такой комбинированный способ на площади 90х38мм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 270] Автор : Genadi Zawidowski Дата : 21.01.2018 16:40 С третьим порядком полосовиков затухание в принципе меньше... Если заметили, высокочастотные катушки проволокой без сердечника... А не собрал-работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 271] Автор : Genadi Zawidowski Дата : 21.01.2018 22:00 Получше качеством фото... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 272] Автор : Beacon Дата : 21.01.2018 22:15 Геннадий, а по деньгам сколько выходит плата настроенная и собранная? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 273] Автор : Genadi Zawidowski Дата : 21.01.2018 22:20 Настройки не требует (имеющийся на плате потенциометр настраивает контрастность в случае применения двухстрочников). По ценам в личку (отписался). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 274] Автор : Genadi Zawidowski Дата : 22.01.2018 02:37 Для ориентировочного подсчета цены желающие могут использовать список. Не все компоненты покупабтся в чип-дип, это как пример вбито. Цена платы от 1 до 2 тысяч рублей (Резонит). Те кто живет в городах, где есть представительства данной фирмы, могут получить заказанные через меня платы непосредственно в оффисах - доставка у них пара дней (в Питер - день). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 275] Автор : Genadi Zawidowski Дата : 24.01.2018 12:42 Было мало помех - записал на 225 кГц полтора часа вещания Радио Jedynka - Polskie Radio https://drive.google.com/open?id=1RoD-2nX-ZRkoxgljrdLo1_8o_UlZ-zZf https://drive.google.com/open?id=1E0xGR82fy3H5oQzgvlac7BhiNLaTBFAN -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 276] Автор : UA9OC Дата : 24.01.2018 13:30 здесь моя версия А кто может объяснить, почему валкодер вообще далеко справа, даже не посредине? Это просто мода последних лет, или обосновано какой-то идеей? По мне, так заметно левее середины было бы куда удобнее... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 277] Автор : Genadi Zawidowski Дата : 24.01.2018 13:56 Если намёк на ручку которой пишут в журнал, я думаю этот вопрос индивидуальный.... Я так например и не смог переучить себя на левую руку под ключ. Но я в тестах и не работал. Для просто наблюдения удобнее, работать с компьютерным журналом так вообще без разницы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 278] Автор : RA1TEX Дата : 24.01.2018 16:21 современный тренд: ручка справа. левой рукой по клаве. а телеграфный ключ в коробке в тумбочке. )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 279] Автор : SVd2004 Дата : 25.01.2018 11:33 Купил вот такой корпус с размерами 229х116х50мм. Боковые крышки заменю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 280] Автор : R3LDA Дата : 25.01.2018 13:39 А кто может объяснить, почему валкодер вообще далеко справа, даже не посредине? Это просто мода последних лет, или обосновано какой-то идеей?Если имеете ввиду расположение валкодера в моём варианте.. Это было предопределено применённой платой с дисплеем и клавиатурой. Приобретённый девайс у автора, как я понял был первым. Плату дисплея и клавиатуры Геннадий использовал готовую от своего синтезатора "Воробей". Механику я делал всё с 0 за исключением стальной кожух (обечайка) был применён от какой то старой СБ станции. Плата "Воробья" по высоте точно встала в размеры этого кожуха, так что расположить ручку посередине девайса поросту невозможно. Левее место занято клавиатурой, так что остаётся одно единственное место справа. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 281] Автор : Genadi Zawidowski Дата : 25.01.2018 14:48 Купил вот такой корпус с размерами 229х116х50мм Ссылочку... или название торговое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 282] Автор : SVd2004 Дата : 25.01.2018 15:00 Ссылочку... https://ru.aliexpress.com/item/Silver-mini-1105-all-aluminum-amplifier-chassis-Tube-amp-amplifier-DAC-Decoder-case-AMP-Enclosure-case/32636557421.html?ws_ab_test=searchweb0_0,searchweb201602_2_10065_10344_10068_10342_10343_10340_10341_10084_10617_10083_10616_10618_10615_10307_10303_10302_5920011_10313_10059_10534_100031_10103_441_10624_442_10623_10622_10621_10620_10142_10125,searchweb201603_25,ppcSwitch_7&algo_expid=25669f36-e25d-4c84-bc80-46d13b679ad2-42&algo_pvid=25669f36-e25d-4c84-bc80-46d13b679ad2&priceBeautifyAB=1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 283] Автор : Виноградов_А Дата : 25.01.2018 15:49 Дорого QRP DROCH выходит :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 284] Автор : Genadi Zawidowski Дата : 25.01.2018 15:54 DROCH Не так немного... А дорого это точно. Вы заглядывали в BOM? На чем можно сэкономить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 285] Автор : RA1TEX Дата : 25.01.2018 16:44 del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 286] Автор : SVd2004 Дата : 25.01.2018 19:50 Корпус можно использовать подешевле https://ru.aliexpress.com/item/1104B-mini-all-aluminum-amplifier-chassis-power-supply-housing-AMP-Enclosure-case-DIY-box-116-50/32811632000.html?spm=a2g0v.search0104.3.14.7885055cwBlLh5&ws_ab_test=searchweb0_0,searchweb201602_5_10065_10344_10068_10342_10343_10340_10341_10084_10617_10083_10616_10618_10615_10307_10303_10302_5920011_10313_10059_10534_100031_10103_441_10624_442_10623_10622_10621_10620_10142_10125,searchweb201603_25,ppcSwitch_2&algo_expid=128fd824-365f-4729-924a-6b8f338190b9-2&algo_pvid=128fd824-365f-4729-924a-6b8f338190b9&priceBeautifyAB=1 Но у него коробка 199мм (а наша плата 203мм), в боковых крышках надо будет делать фрезеровку на глубину 2мм. Или делать контурную прокладку 2мм под крышки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 287] Автор : Kia2700d Дата : 25.01.2018 19:58 какой все-таки порядок стоимости по БОМу? а то все вокруг да около.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 288] Автор : Genadi Zawidowski Дата : 25.01.2018 20:27 В Питере с учётом покупки многого на Али выходит немного за двадцать тысяч рублей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 289] Автор : SVd2004 Дата : 25.01.2018 20:31 На плату с обратной стороны устанавливаем "лыжи" и задвигаем в пазы корпуса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 290] Автор : Genadi Zawidowski Дата : 26.01.2018 03:20 Ключевые комплектующе - АЦП, опорный генератор, ПЛИС. Процессор. Катушки индуктивности, трансформаторы. ЦАП, кодек. Стабилизаторы. Печатная плата. Реле. Суммируются в столбик цены в той стране, где Вы проживаете. Центы/копейки округдяете в большую сторону. Промерно так, или похожим образом можно оценить стоимость комплектующих почти любого устройства по фотографии. Прошу удалить сообщение с дублем фото. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 291] Автор : EW2MS Mikhail Дата : 26.01.2018 07:29 Для оценки стоимости по фото, надо сделать фото в хорошем качестве. На этой фотографии не прочесть названий микросхем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 292] Автор : SVd2004 Дата : 26.01.2018 07:39 На этой фотографии не прочесть названий микросхем. Есть ВОМ, там и читайте... http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-трансивер-Аист-(Storch)&p=1489357&viewfull=1#post1489357 Есть ВОМ там и читайте... http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-трансивер-Аист-(Storch)&p=1489357&viewfull=1#post1489357 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 293] Автор : SVd2004 Дата : 26.01.2018 09:01 Вот цены основных микросхем в евро на 25.01.2018 Вот цены основных микросхем в евро на 25.01.2018 Поправка в DigiKey в долларах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 294] Автор : Виноградов_А Дата : 26.01.2018 09:04 А есть спектр излучаемого сигнала с этого аппарата? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 295] Автор : Genadi Zawidowski Дата : 26.01.2018 10:26 DigiKey Добавьте еще УВЧ (LTC6401CUP-20 например), четыре десятка катушек и трансформаторы. Скриншотов спектра двухтонального сигнала не нашел, ранее где-то выкладывал. IMD3 было под -82 дБ. Вот пока спектр передачи шума в SSB (LSB) и тональник при настройке на одном экране. 280383 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 296] Автор : Виноградов_А Дата : 26.01.2018 11:14 не кисло. Это при 5 вт мощности? А если усилитель на гу74б будет к этой плате чем черевато? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 297] Автор : Genadi Zawidowski Дата : 26.01.2018 11:20 Это при 5 вт мощности? Нет, это с выхода ЦАП - +3 dBm для однотонального сигнала. Тут где-то писал RV1CB (http://www.cqham.ru/forum/showthread.php?36903-Какой-СДР-выбрать&p=1469896&viewfull=1#post1469896) - он эксплуатирует с Р-140, спектроанализатор у него есть, спектр устраивает.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 298] Автор : R3LDA Дата : 26.01.2018 11:22 Боковые крышки заменю. Вам самому то нравится это "корытце"? Для Аиста, имхо, должно быть применено что то типа такого: https://www.reichelt.de/Baugruppentraeger/GEH-SG-1-10/3/index.html?ACTION=3&LA=446&ARTICLE=50437&GROUPID=7756&artnr=GEH+SG+1-10&SEARCH=Alu%2B%2Btisch%2BGeh%25C3%25A4use&trstct=pos_7 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 299] Автор : SVd2004 Дата : 26.01.2018 16:30 Кому сейчас нужны большие размеры корпуса? Плата усилителя ANAN-8000DLE-6_200W -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 300] Автор : R3LDA Дата : 26.01.2018 17:34 Кому сейчас нужны большие размеры корпуса? Всем кто маленько в теме:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 301] Автор : SVd2004 Дата : 26.01.2018 17:42 Пиар уже утомил... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 302] Автор : R3LDA Дата : 26.01.2018 18:07 Пиар утомил.. Да особенно Ваш. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 303] Автор : SVd2004 Дата : 26.01.2018 18:21 Да особенно Ваш. Ну так я плату жду, корпус, динамики, потом буду собирать, т.е как бы в теме...:crazy: А вы что делать будете... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 304] Автор : R3LDA Дата : 26.01.2018 18:47 А вы что делать будете... Да тоже есть чем заняться. Апгрейт платы нужно сделать, кроме того РА, ФНЧ и т.д. Или Вы думаете вставили плату в "алюминевую трубу" прямоугольного сечения и больше нечего делать не нужно?:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 305] Автор : SVd2004 Дата : 26.01.2018 18:51 Или Вы думаете вставили плату в "алюминевую трубу" прямоугольного сечения и больше нечего делать не нужно? Именно так я и сделаю. ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 306] Автор : R3LDA Дата : 26.01.2018 18:58 я и сделаю. Да я не возражаю, только согласитесь Ваше "Жду динамики", "я в теме ", "сделаю" и т.д. больше похоже на пиар, чем "уже сделал" и хватит на этом.:smile::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 307] Автор : SVd2004 Дата : 26.01.2018 19:29 только согласитесь Нет, пройдитесь по своим постам в теме... :ржач: и хватит на этом :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 308] Автор : Genadi Zawidowski Дата : 26.01.2018 22:55 вставили плату в "алюминевую трубу" прямоугольного сечения и больше нечего делать не нужно? Одна из целей, что я преследовал - сделать устройство, работоспособность которого не булет зависеть от положения на столе, рпсположения звезд и так далее... Тут из чего корпус не сделай - первая задача что он решает это механическая защита платы от повреждений и чтобы ручки было куда привернуть. Понятно, расположившись рядом с диммером комнатного освещения больше шансов будет иметь аппарат в металле... А так хоть из чего. Вот можно спросить у владельца аппарата на фото - сильно ли лучше стал прием после замены картонной коробки из-под обуви на металлический корпус? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 309] Автор : R3LDA Дата : 26.01.2018 23:14 Вот можно спросить у владельца аппарата на фото - сильно ли лучше стало после замены картонной коробки из-под обуви на металлический корпус? Ну Гена, если счастливый хозяин "картонной коробки" экстрасенс или фантазёр он может много чего наговорить.:smile: Тут технический форум и прежде чем что то сказать требуется выполнить сравнительные измерения девайса без корпуса и в металлическом корпусе.., выполненные к тому же на серьёзной измериловке.. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 310] Автор : SVd2004 Дата : 27.01.2018 08:27 "Правильный корпус", это что то типа этого на фото. Но такой не сделать, самому. Естественно, ещё нужно привести размеры в соответствие с комплектом плат... Можно конечно "нарубить" кусков алюминия или стали, скрутить, согнуть... и нате вам... Но не красиво! Не эстетично... Поэтому лучше использовать что то готовое и по размерам... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 311] Автор : R3LDA Дата : 27.01.2018 11:25 "Правильный корпус", это что то типа этого на фото. Но такой не сделать, самому.Это военное исполнение. Аппарат расчитан на установку в транспорте отсюда повышенные требования к виброустойчивости, влиянию влаги, масел и т.д. Для нас явное излишество. Тем не менее можно и самому сделать подобный "Правильный корпус" с Вашей передней панелью:), если есть интерес пишите в личку..:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 312] Автор : SVd2004 Дата : 27.01.2018 11:57 пишите в личку Написал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 313] Автор : SVd2004 Дата : 02.02.2018 14:25 Получил плату. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 314] Автор : SVd2004 Дата : 03.02.2018 18:25 Хочется узнать мнение о магнитном валкодере Burns на подшипниках? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 315] Автор : Genadi Zawidowski Дата : 03.02.2018 18:28 Тип какой? О магнитных не слыхал... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 316] Автор : SVd2004 Дата : 03.02.2018 18:31 BOURNS BOURNS PDF -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 317] Автор : Genadi Zawidowski Дата : 03.02.2018 18:35 ПРи использовании шарикоподшипнгиковых ENS1J приъодилось подтормаживать войлоком. ENA1J самое то -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 318] Автор : SVd2004 Дата : 03.02.2018 20:01 В Маузере продают, модель EMS22Q51-D51-LT4, 35 евро, вечный... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 319] Автор : R7KD Дата : 04.02.2018 17:40 Понятно, здесь моя версия.. Очень удачно и оригинально смотрится. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 320] Автор : R3LDA Дата : 04.02.2018 20:14 оригинально смотритсяСпасибо, мне тоже этот вариант больше нравится чем мой первый. Его фото внизу. В первом расположение платы вдлину передняя панель всего 200мм и все основные разъёмы пришлось вытаскивать на заднюю панель. При этом везде появились дополнительные "шнурки" это чревато.. Последнее расположение в принципе повторяет вариант Геннадия, только сам корпус выполнен несколько ниже по высоте, однако он разделён пополам и появилось дополнительное место для других плат... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 321] Автор : R7KD Дата : 08.02.2018 01:58 Последнее расположение в принципе повторяет вариант Геннадия Да,что говорить,Геннадий,конструктор от Бога. 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 322] Автор : SVd2004 Дата : 08.02.2018 08:31 "Городская архитектура" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 323] Автор : Genadi Zawidowski Дата : 09.02.2018 02:45 Уплотнительная застройка: попытка не уменьшая имеющейся функциональности добавить RGB интерфейс к процессору трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 324] Автор : SVd2004 Дата : 09.02.2018 06:45 попытка не уменьшая имеющейся функциональности добавить RGB интерфейс Он будет универсальный, или под конкретный дисплей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 325] Автор : Genadi Zawidowski Дата : 09.02.2018 10:34 Предполагаю Sharp для Sony PSP-1000. Но у меня лежит куча даташитов на дисплеи, использующие те же самые четыре контакта для подсветки и сорок для данных. Отличаются они напряжением питания интерфейсной части, бывают 2.5 и 3.3 вольта. Внутри у всех явно один контроллер, поскольку временные диаграммы точь в точь повторяются у всех. 272*480. Контроллер должен поместить два видео буфера в памяти, внешнюю я не хочу подключать, а максимальный на данный момент стм32 h7 большее разрешение именно по памяти не позволит применить. Так что про семидюймовые не мечтаем сейчас. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 326] Автор : SVd2004 Дата : 09.02.2018 12:05 Так что про семидюймовые не мечтаем сейчас. Мечтаю о картинке, не хуже, чем эта...:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 327] Автор : UA0YAS Дата : 10.02.2018 02:32 Мечтаю о картинке, не хуже, чем эта 7-ми дюймовый планшет и усб кабель дадут еще лучше картинку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 328] Автор : SVd2004 Дата : 10.02.2018 08:07 7-ми дюймовый планшет и усб кабель дадут еще лучше картинку И планшет и смартфон без всякого кабеля даст любую картинку... и намного лучшую связь, тоже... Кесарю кесарево а слесарю...:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 329] Автор : Genadi Zawidowski Дата : 10.02.2018 09:50 Кстати, а как на самом деле пользуются двухдюймовывми дисплеями на mcHF? Вроде ведь вовсе мелко, как там можно рассмотреть что-то на водопаде? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 330] Автор : SVd2004 Дата : 10.02.2018 10:38 От размера дисплея ничего не зависит. Качество картинки зависит только от разрешения. В электронных видоискателях (не экранах) фотоаппаратов совсем маленькие дисплеи, но разрешение у современных более 3.5Мпс. От размера дисплея ничего не зависит. Качество картинки зависит только от разрешения. В электронных видоискателях (не экранах) фотоаппаратов совсем маленькие дисплеи, но разрешение у современных более 3.5Мпс. Добавлено через 21 минут(ы): Посмотрел 5" дисплеи смартфонов. 5.3"-2560x1440 5"-1920x1080 5"-1280x720 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 331] Автор : Genadi Zawidowski Дата : 10.02.2018 11:50 5"-1280x720 1800 килобайт при 16 бит цвете... Во внутреннюю память контроллера STM32H7 не поместится даже половина такого видеобуфера, не говоря о двух. усложнять дизайн ради применения смартфоновского дисплея не планирую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 332] Автор : SVd2004 Дата : 10.02.2018 11:55 480х272 больше чем достаточно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 333] Автор : rx9cim Дата : 10.02.2018 13:15 Тут важно немного другое качество - юзабилити. Это эргономика и управление. В монке есть кнопушки, они дополняют работу по управлению. Поэтому там действительно, к диагонали особо требований нет. В тюльпане дисплей больше, что позволило отказаться от отдельных кнопок. Их можно подцепить, но это на любителя. Если в кратце, то большое разрешение = красивая картинка, большая диагональ = нафиг кнопки (упрощение механики конструкции) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 334] Автор : UT0UM Дата : 10.02.2018 14:44 как на самом деле имхо, плохо, но там хоть зум есть а вот в Тюльпане, с 5 дюймами, конечно красивее, но, как контест серьезный в тлг, так хоть выключайся, потому-что нет зума и все превращается в сплошную кашу 281783 281784 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 335] Автор : R7KD Дата : 12.02.2018 17:30 все превращается в сплошную кашу Это в Тюльпане так ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 336] Автор : UT0UM Дата : 12.02.2018 17:54 да. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 337] Автор : R7KD Дата : 13.02.2018 00:54 Ужас,комментариев нет.Жду Геннадия. 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 338] Автор : Genadi Zawidowski Дата : 13.02.2018 01:44 Поскольку я у себя zoom не делал, в текущем варианте пока тоже так... Но на качестве приема отсутствие "увеличительного стекла" не скажется... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 339] Автор : rx9cim Дата : 13.02.2018 09:38 Геннадий, это все GUI. Главное чтоб удобно было в совокупности. Добавлено через 5 минут(ы): В visair для избежания каши сделана минимальная полоса обзора 24кГц плюс аудиопанорама (того звука который выводится на наушники) 12кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 340] Автор : R7KD Дата : 15.02.2018 16:31 полоса обзора 24кГц С такой полосой обзора в тесте вообще нечего делать.Если перегружен участок SSB,всего максимум 5 станций просмотреть.А денег просят страсть.Геннадий рулит. 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 341] Автор : Genadi Zawidowski Дата : 16.02.2018 01:26 По выложенному выше pin allocations получилась плата 87*203 мм, с возможностью прямого подключения к процессору индикатора TFT 4.3" от Sony PSP-1000 или аналогичного. Так же сохранились все разъемы для подключения индикаторов, хоть двухстрочника... Приемник + ЦАП передатчика и фильтр. Кодек, два USB, микрофон, педаль, ключ и микро-SD карта как и раньше. Процессор STM32H743IIT6 / STM32H753IIT6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 342] Автор : R6DAN Дата : 16.02.2018 08:21 С такой полосой обзора в тесте вообще нечего делать.Если перегружен участок SSB,всего максимум 5 станций просмотреть.А денег просят страсть.Геннадий рулит. 73 ! Прежде чем писать такие вещи, стоило бы заглянуть в описание или в инструкцию к тому о чем Вы пишите. Там полоса обзора оперативно меняется от 24 кГц до 192 кГц. На счет Геннадий рулит Не буду спорить, это действительно так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 343] Автор : SVd2004 Дата : 16.02.2018 09:20 получилась плата 87*203 мм Отличное продолжение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 344] Автор : R7KD Дата : 16.02.2018 20:17 оперативно меняется от 24 кГц до 192 кГц Владимир,не глупый я вроде,читал.Там разговор шел о 24 кгц,дабы не было " каши".Вы чем то на меня обижены ? Удачи. 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 345] Автор : ra0ahc Дата : 16.02.2018 21:08 круто тут у вас я посмотрел всё и послушал всё слов нет звук имеет место быть - звучит не плохо! Геннадий, это у вас уже какая модификация? по счету , я помню вашу первую плату 10 лет назад, она уже смд была , а "эта" вообще из пары камней состоит к сожалению ssb файлы короткие (к морзянке вопросов нет) Уважаемые, у кого антенна есть сделайте еще пару файлов пожалуйста, особенно интересно стоять рядом с "ломом" пытаться чтонибудь услышать, у Геннадия толи эфир - кристалл!, либо это шумовая дорожка не понятно (ssb). Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 346] Автор : Genadi Zawidowski Дата : 16.02.2018 21:50 После майских праздников четыре года назад я получил первую плату радио со структурой 80455 - 455 - 12 кГц. Это была первая попытка сделать что-то цифровое на одной плате. До того пытался сделать навесной модуль цифровой обработки для пч 12 кГц, но без интеграции с синтезатором иивообще всем управлением радио хорошего ничего не получалось. И в конце того года начал экспериментировать с платой qs1r совмещая её с процессором для обработки. После удачи сразу начал делать однопалатное радио уже "на паре больших микросхем". Но не десять лет назад... Подсчитал... Без учёта не любительских модификаций уже десяток версий... Не, двенадцать! Ещё было не на stm32, а на renesas две версии. Добавлено через 35 минут(ы): Подсчитывал только цифровые. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 347] Автор : ra0ahc Дата : 18.02.2018 06:55 Геннадий, будет пара минут свободных запишите еще ssb пожалуйста -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 348] Автор : Genadi Zawidowski Дата : 18.02.2018 12:04 https://drive.google.com/open?id=1noKPQW6djq0x1XnRefuNSHrudgy0cLa7 покрутил по двадцатке... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 349] Автор : Genadi Zawidowski Дата : 18.02.2018 15:38 К сожалению, найти "правильный" ssb сигнал, чтобы встать рдом с ним и слушать практически невозможно... Все страдают перекачкой, расплескиванием спектра. Как тест предлагаю посмотреть, как выгдялит эфир рядом с "голландским погодным телетайпом" - работающая на 10100.8 кГц с разносом 450 герц весьма мощная станция, но с очень хотошо сформированным сигналом. Конец записи - я переключился на 2.5 кГц, хорошо слышны моменты, когда при расстройке в полосе пропускния остается одна из частот передатчика... потом пропадает всё. https://drive.google.com/open?id=1QgHapZnH8Gk_LCDaLaWBNpbfdIoWubTO -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 350] Автор : ra0ahc Дата : 18.02.2018 18:43 45 минут! я прям как за трансом посидел )) согласен, оттенки каждой станции слышно отчетливо но лишь один раз в "кадр" попали несколько станций !!! -не скажу что как у 7700 (это 7800:2) но звук приятный я завтра еще раз в наушниках послушаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 351] Автор : belbek Дата : 18.02.2018 21:30 Вот ещё запись вечерняя 40 м с Аиста, атт 12db, немного двигал полосу и noch фильтр http://drive.google.com/drive/my-drive Добавлено через 12 минут(ы): Извиняюсь вот ссылка https://drive.google.com/file/d/1z1Mw5V2MQzwmuB8mLxNSXCqc51HcHPHw/view?usp=sharing -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 352] Автор : Genadi Zawidowski Дата : 18.02.2018 21:36 Днём завтра поставлю писаттс,.... Полосу пошире, как на дегене 6 кГц, эффект интересный. Сделать? Или классика 3100? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 353] Автор : Дата : 18.02.2018 21:41 Геннадий гляньте почту, пытаюсь прошить аиста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 354] Автор : R7KD Дата : 18.02.2018 23:08 Геннадий,если можно сделайте запись 3100 и 6 кгц,для сравнения. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 355] Автор : Genadi Zawidowski Дата : 18.02.2018 23:18 У меня антенна полтора метра вертикал и в городе... бесполезно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 356] Автор : Genadi Zawidowski Дата : 19.02.2018 02:06 Еще запись из Крыма от коллеги belbek, https://drive.google.com/open?id=1mE3pOC4qtzyARZp1sLlM-WBDgPmk2pXU C 8:45 усиление ВЧ (RF) вернул в нормальное положение и стало хорошо слышно XQ6OA (Чили). В конце записи меняется полоса пропускания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 357] Автор : ra0ahc Дата : 19.02.2018 11:06 слушаю.... Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 358] Автор : Genadi Zawidowski Дата : 19.02.2018 12:29 Вот будний день на двадцатке. https://drive.google.com/open?id=1zVjeylJP3rco77zX_EYSYntwDjFk5tm2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 359] Автор : Дата : 19.02.2018 15:00 Запустил Аиста. Ощущения только положительные. Геннадию огромное спасибо за помощь и за отличную конструкцию. Буду разбираться дальше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 360] Автор : Genadi Zawidowski Дата : 19.02.2018 15:29 Конденсатор 33 нанофаралы в средневооновом фильтре где-то затаился... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 361] Автор : R7KD Дата : 19.02.2018 21:56 Вот будний день на двадцатке Геннадий,очень даже не плохо для штыря 1,5 метра в городе ! :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 362] Автор : Genadi Zawidowski Дата : 21.02.2018 05:06 Ожило. Прием есть, изображение еще не видел. По крайней мере, синхросигнлы для TFT панели формирует... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 363] Автор : ra0ahc Дата : 22.02.2018 05:36 круто!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 364] Автор : Genadi Zawidowski Дата : 22.02.2018 09:19 Согласен, тем более то, ради чего делалось (проверка правильности схемы и возможности обслужить все на одном процессоре) удалось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 365] Автор : ra0ahc Дата : 22.02.2018 09:35 скажите цену -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 366] Автор : UA0YAS Дата : 24.02.2018 09:06 Еще один Аист в стационарном исполнении. Кнопки и крутилки сделаны "про запас". на случая появления новых функций в прошивке. Встроенные сетевой трансформатор, выходная мощность поднята до 40 Ватт увеличением напряжения на выходных транзисторах rd16 до 30 Вольт. Панорама 96 кГц выведена на отдельный монитор 24"(на фото не влез) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 367] Автор : EU1SW Дата : 24.02.2018 09:26 увеличением напряжения на выходных транзисторах rd16 до 30 Вольт.Это очень жестоко. Даже 24 уже находится за гранью добра и зла. ) Видимо только конструктивный запас, и оптимизм позволяет эрдэашкам не выпускать дым при первом же "Але" в микрофон, ну или провалы по питанию, на пике огибающей Даташит говорит о максимальном напряжении 50 вольт при нулевом на затворе, а в трансформаторном каскаде амплитудное значение составляет удвоенное напряжение питания, перебор 10 вольт. Запаса уже нет, а еще и отражённая может быть, со случайной фазой и амплитудой. Поберегите транзисторы и свои нервы, так как проведение будущих QSO может прерваться в любой момент, за отсутствием одного из участников ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 368] Автор : UA0YAS Дата : 24.02.2018 09:39 я в курсе. Был лишь один случай вылета транзистора в плече, когда долго работал без антенны(обрыв кабеля). не знаю почему, но проблем нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 369] Автор : HamSWL Дата : 24.02.2018 14:06 Это очень жестоко. Даже 24 уже находится за гранью добра и зла. подскажите пожалуйста, какое напряжение питания, ток покоя и полезная мощность оптимальны для данных транзисторов ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 370] Автор : R4DM Дата : 24.02.2018 14:10 UA0YAS, покажите картинку Вашей панорамы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 371] Автор : Genadi Zawidowski Дата : 24.02.2018 15:51 Скорее всего, у него один из двух видов поддерживаемых HDSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 372] Автор : UA0YAS Дата : 24.02.2018 16:16 примерно так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 373] Автор : R4DM Дата : 24.02.2018 17:13 Genadi Zawidowski,вторая панорама более информативна. От первой панорамы никакого прока. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 374] Автор : Genadi Zawidowski Дата : 24.02.2018 17:20 О какой речь, вариант Сергея? Я то вообще ушами слушаю, hdsdr при контроле качества использую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 375] Автор : R4DM Дата : 24.02.2018 17:30 Genadi Zawidowski,на Вашем снимке. Слушать ушами хорошо. А видеть обстановку вокруг себя это другое. Просто раньше не было такой технической возможности, не считая простеньких панорамных приставок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 376] Автор : UA0YAS Дата : 24.02.2018 17:38 вторая панорама более информативна что в ней информативного? 70,% экрана занимают ненужные цифры и стрелки? они дублируют дисплей трансивера -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 377] Автор : UA3VBD Дата : 24.02.2018 17:42 ...Я то вообще ушами слушаю... Панорама несколько отвлекает, смотрим словно в телевизор. Когда настраиваемся только на речь, беседа в SSB становится более "душевной". Волновой гармонический Резонанс через Эфир между операторами - однако. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 378] Автор : R4DM Дата : 24.02.2018 17:53 UA0YAS,Стрелочки и циферки и нужны. На экран нужно выводить всю нужную инфу. Дисплей не нужен в принципе. Это мое мнение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 379] Автор : UA0YAS Дата : 24.02.2018 17:55 Стрелочки и циферки и нужны мне абсолютно не важны эти стрелочки. С закрытыми глазами прием комфортнее Панорама несколько отвлекает, смотрим словно в телевизор именно поэтому на лицевой панели трансивера намеренно установлен монохромный дисплей с минимумом информации. а на отдельный дисплей выносится лишь необходимая информация. Очень удобно в тесте видеть, кто вокруг тебя. Остается лишь тыкать мышкой :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 380] Автор : R4DM Дата : 24.02.2018 18:06 UA0YAS, вот на последней миниатюре нужная информация. Вы какую программу используете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 381] Автор : UA0YAS Дата : 24.02.2018 18:08 Такую (http://www.dxatlas.com/CwSkimmer/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 382] Автор : R4DM Дата : 24.02.2018 18:23 UA0YAS, хорошая программа ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 383] Автор : Genadi Zawidowski Дата : 06.03.2018 03:28 Дополнения в проект "большого Аиста" от фан-группы: усилитель мощности от Олега UA1CEI. 2 x RD100 (Mitsubishi RD100HHF1-101). Еще не запускалось, просто начало. Посадочные места позволяют поставить это изделие вместе с радиатором как "вторй этаж" над платой трансвиера с 5W выходом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 384] Автор : R1ZK Дата : 06.03.2018 09:08 Где найти схему и печатную плату этого усилителя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 385] Автор : Genadi Zawidowski Дата : 06.03.2018 11:17 Схма вот, платы пока не оттестированы, возможно будут переделки. Если интересно - в личку или Скайп. Не знал что у Вас Аист есть... Схема классическая, просто в нее добавлено управление под Аиста. Радиатор вот такой (минимум, можно больше) - https://www.chipdip.ru/product/hs-172-150 - 150 x 150 mm -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 386] Автор : R1ZK Дата : 06.03.2018 17:25 Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 387] Автор : Genadi Zawidowski Дата : 07.03.2018 00:46 Вести с полей. Динамик на венхней крышке явно лишним стал... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 388] Автор : R3LDA Дата : 07.03.2018 01:10 Динамик на венхней крышке явно лишним стал Сама крышка похоже тоже?:) Куда встанет радиатор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 389] Автор : UA0YAS Дата : 07.03.2018 02:30 он под транзисторами. и вертилятор тоже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 390] Автор : UT0UM Дата : 07.03.2018 02:44 Куда встанет радиатор? уже стоит под платой с вентилятором присмотритесь -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 391] Автор : R3LDA Дата : 07.03.2018 09:11 под платой с вентилятором Да не обратил сразу внимания.:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 392] Автор : SVd2004 Дата : 07.03.2018 09:31 уже стоит под платой с вентилятором Расположение, с точки охлаждения, неудачное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 393] Автор : RA1AGB Дата : 07.03.2018 09:49 Расположение, с точки охлаждения, неудачное. Этот корпус изначально не был предназначен для 100ватт, только для QRP. А другого пока нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 394] Автор : R3LDA Дата : 07.03.2018 11:33 Этот корпус изначально не был предназначен для 100ватт, только для QRP Нормальный корпус и для 100вт. Просто в верхней крышке сделать достаточно просторное окно под радиатор. Как к примеру это сделано в трансивере "Elekraft K2/100" на 100вт. Его размеры остались теми же самыми как и в 10ваттной QRP версии. т.е. 75х200х200мм. Корпус "Аиста" даже побольше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 395] Автор : Модест Петрович Дата : 07.03.2018 11:50 только у Элькрафта радиатор сверху а вентилятор продувает (на вытяжку) плату со стороны элементов. маленький, но очень шумный :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 396] Автор : RA1AGB Дата : 07.03.2018 12:07 Нормальный корпус и для 100вт. Просто в верхней крышке сделать достаточно просторное окно под радиатор. Как к примеру это сделано в трансивере "Elekraft K2/100" на 100вт. Его размеры остались теми же самыми как и в 10ваттной QRP версии. т.е. 75х200х200мм Я говорил именно про тот корпус который на фото у Геннадия. Сделать лучше, в корпусе с таки ми же размерами можно. Но это будет ДРУГОЙ корпус. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 397] Автор : Дата : 07.03.2018 22:31 Расположение, с точки охлаждения, неудачное.Эх... отдельно бы плату ФНЧ и управление, под любой усилок. Плату усилителя на заднею стенку. А так придется радиатор сверху, между основной платой и УМ делать экран. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 398] Автор : RA1TEX Дата : 08.03.2018 01:05 Не слушай никого радиатор сверху гуд. Тока плату вертануть. Площади много ничем не ограничен. Посмотри на "боинге" FT-767 как сделано. И елекрафт туда же пошел годы спустя. А с радиатором сзади верный путь к вентиляторам на радиаторы. Потому как сзади кроме радиатора еще и разъемы хочется иметь. в разных местах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 399] Автор : SVd2004 Дата : 08.03.2018 11:25 А с радиатором сзади верный путь к вентиляторам на радиаторы. Потому как сзади кроме радиатора еще и разъемы хочется иметь. в разных местах. Вот радиатор сзади, 150Вт, и разъёмы в разных местах есть, и пассивное охлаждение... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 400] Автор : rolin Дата : 08.03.2018 16:59 SVd2004, это 150 Ватт на 10 минут. А потом меньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 401] Автор : SVd2004 Дата : 08.03.2018 18:30 А потом меньше. Почему? Это ICOM IC-M800 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 402] Автор : rolin Дата : 08.03.2018 21:12 SVd2004, потому что площадь охлаждения радиатора очень мала. Можете посмотреть в тех характеристиках какую периодичность RX/TX рекомендует производитель (забыл как этот параметр называется ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 403] Автор : SVd2004 Дата : 08.03.2018 22:08 потому что площадь охлаждения радиатора очень мала. ТТХ и стоит обратить внимание на диапазон рабочих температур от -30 до +60 цельсия. Добавлено через 21 минут(ы): и пассивное охлаждение... Виноват, вентилятор есть, внутри спрятан...:oops: потому что площадь охлаждения радиатора очень мала. ТТХ и стоит обратить внимание на диапазон рабочих температур от -30 до +60 цельсия. Добавлено через 21 минут(ы): и пассивное охлаждение... Виноват, вентилятор есть, внутри спрятан...:oops: Добавлено через 14 минут(ы): Вентилятор между платами фильтров и усилителем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 404] Автор : Дата : 09.03.2018 11:54 Сделал минимальный размер корпуса. Динамик большой, верху справа. Место под плату усилителя будет впритык... Радиатор УМ, в любом случае только сверху. Другое дело, или выпиливать верх крышки или оставить под ней. Летом у меня в рубке будет около 29 градусов. Без вентилятора при работе в цифре, никак нельзя. Температура в корпусе, будут предельная. В принципе снижать мощность до оптимальной температуры, а зимой греться.;-) Буду что-то думать. п.с. Не знаю как вышло, но в этом корпусе и в таком расположении динамик звучит объемно с басами 8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 405] Автор : Genadi Zawidowski Дата : 11.03.2018 11:41 Еще один пользователь (Михаил RA1AGO) поделился фото этапа упаковки "маленького Аиста" в корпус. Режет стеклотекстолит на домашнем CNC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 406] Автор : Genadi Zawidowski Дата : 13.03.2018 21:33 СОбирается в кучу... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 407] Автор : Genadi Zawidowski Дата : 17.03.2018 12:09 Собралось! Михаилу - респект! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 408] Автор : R3LDA Дата : 17.03.2018 13:27 респект Интересно как он будет прятать головки винтов? Кстати толщина стеклотекстолита явно не достаточна, чтобы головки винтов встали "впотай", в этом случае можно слегка раззенковать сами стойки.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 409] Автор : Genadi Zawidowski Дата : 17.03.2018 13:30 Кстати толщина стеклотекстолита явно не достаточна Материал S=2mm. Винты, кроме тех, что держат платы - применены M1.5 Как будет прятать - посмотрим, возможно что никак. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 410] Автор : R3LDA Дата : 17.03.2018 13:39 Как будет прятать - посмотрим, возможно что никак. Да ты прав , девайс в корпусе и работает, что ещё мудрить..:smile::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 411] Автор : R7KD Дата : 17.03.2018 16:20 Материал S=2mm Геннадий,корпус получается прочный и экранированный.На БТР не возить,в БД не участвовать.Все класс. :super::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 412] Автор : Genadi Zawidowski Дата : 21.03.2018 10:11 Посвилась идея... Для исключения ошибок пользователя при "залезании" в опции настрек аудиоустройств трансивера, можно игнорировать эти параметры. Таким образом, ползователь не может убрать уровень или случайно выключить передачу в цифровых режимах, разьалансировать I и Q каналы панорамы. К сожалению, сами движки не получается убрать из windows. Может, кому-то удавалось? 285090 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 413] Автор : Serg Дата : 21.03.2018 15:46 Убирать обычные уровни не нужно, ими оперативно регулировать на прием яркость "водопадов", а на передачу - мощу цифрового сигнала. Не все цифровые программы могут (или не удобно там) регулировать амплитуду ТХ сигнала. Для IQ сигналов -может быть можно и заблокировать бананс каналов и остальное. Хотя я не знаю, неужели СДР-щики такие "бараны" (обычно это 95% люди понимающие компы как продвинутый пользователь минимум или даже как системщик), что будут там закручивать что-то, совсем без понятия осуществляемых действий?! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 414] Автор : Genadi Zawidowski Дата : 21.03.2018 16:28 Недавно я ка раз такую проблемыу через тимвьювер и решал, ur5eqf модуль цифровых видов связи у.рад в ноль уровень передачи. Ещё более экзотический вариант забраться внутрь закладки регулировки баланса. На о щем движке не видно. По хорошему квадратурные каналы идут через asio, тап нет регулировок уровней. Ещё думал про то, что при убирании мощности или чувствительности падает качество. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 415] Автор : Serg Дата : 21.03.2018 20:56 >Ещё думал про то, что при убирании мощности или чувствительности падает качество. С обычными трансиверами и зв.картами с качеством на передачу проблем не было. Ползунками микшера настраивают оптимальный уровень по обстоятельствам, т.к. программы могут его разный выдавать, какие из себя дают 100% цифровой амплитуды, какие скажем 50-60%, поэтому микшер довольно часто используется, кто любит экспериментировать, а не просто годами работать в 1 программе. На прием может пострадать качество декодирования сигналов, в крайних положениях. А в пределах 40-70% обычно существенной разницы нет, за то можно подобрать уровнем более комфортную палитру или уровень для аудио записи сигналов приемника на комп. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 416] Автор : Genadi Zawidowski Дата : 21.03.2018 21:26 какие скажем 50-60%, Тут мне нечем будет добавлять, модулятор расчитан на получение максимальной амплитуды (кодов) от компютера. Насчет приема... в DGU/DGL меняется наклон АРУ (менее плоская чем для слухового приема), если убавлять движками, вообще ничего не примется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 417] Автор : Serg Дата : 21.03.2018 21:42 >Тут мне нечем будет добавлять Вроде и не надо в аппарате. Ползунок в микреше добавляет-убавляет общую амплитуду выхода карты, я так понимаю, что он это делает в цифровом виде, тут не должно быть разницы с его стороны, обычная ли карта или USB-эмулируемая. По АРУ не совсем представляю, как это выглядит? Может есть аудио запись активного пск31 участка, чтобы там крутилась эта опция наклона в пределах возможного? Обычно для цифры хватало типового FAST положения ару (как для телеграфа) и всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 418] Автор : Genadi Zawidowski Дата : 22.03.2018 02:23 Упомянутое вами fast похоже описывает временные характеристики. Плоская/не плоская ару зависит от того, на сколько децибел изменения входного сигнала получаем на выходе олнодецибельное изменение. При установке этого параметра в значение е шесть почти исключается эффект придавливания усиления в тракте мощными сигнаоами любителей psk. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 419] Автор : Дата : 22.03.2018 11:03 Упомянутое вами fast похоже описывает временные характеристики. Плоская/не плоская ару зависит от того, на сколько децибел изменения входного сигнала получаем на выходе олнодецибельное изменение. А есть возможность на порядок увеличить диапазон регулировок? У мен AGC RATE равен 77 , но значительного эффекта придавливания мощных станций с уровнем +10 +20 дБ не заметил. Хотя импульсная помеха при уровнях выше +20 +40 дБ продавливает эфир отлично, практически до уровня шума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 420] Автор : Genadi Zawidowski Дата : 22.03.2018 11:11 В текущей версии управляющей программы AGC RATE регулирует наклон только для режимов SSB и CW (отдельные группы параметров АРУ). Для цифровых (DGU/DGL) он установлен в значение 3 - т.е. на полный диапазон входных 150 дБ получаем 50 дБ выходного. Ваше "77" - это уже основательно отутюженный эфир. Может есть аудио запись активного пск31 участка К сожалению нет записи. Эффект выглдит как очень тихий приём основной массы станций. Для сохранения визуальной различимости участка в MIXW2 есть регулировка "динамический диапазон отображения" или как-то похоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 421] Автор : Дата : 22.03.2018 13:03 В текущей версии управляющей программы AGC RATE регулирует наклон только для режимов SSB и CW (отдельные группы параметров АРУ). Для цифровых (DGU/DGL) он установлен в значение 3 - т.е. на полный диапазон входных 150 дБ получаем 50 дБ выходного. Ваше "77" - это уже основательно отутюженный эфир.А как в DGU/DGL сделать можно? Я бы сравнил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 422] Автор : Genadi Zawidowski Дата : 22.03.2018 13:47 Добавил групу меню AGC DIGI Не помню, какой генератор на видеоплате - с какой-то одной прошивкой кадровая на индикаторе должна быть около 60 герц. Модет заработать одна из двух - скажите какая. На всякий случай - у Вас основная плата по проекту Rmainunit_v5jm.pcb, процессор stm32f746ZGT6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 423] Автор : Дата : 22.03.2018 15:11 Вас основная плата по проекту Rmainunit_v5jm.pcb, процессор stm32f746ZGT6 Да, такая только у меня программатор можно в hex:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 424] Автор : Serg Дата : 22.03.2018 15:28 >При установке этого параметра в значение е шесть почти исключается эффект придавливания усиления в тракте мощными сигнаоами любителей psk. Т.е. эффект, что АРУ работает, НЧ тракт и динамик в приемнике еще не хрипит (как от выключения АРУ), но не так сильно снижается "усиление ПЧ" от больших сигналов, как при обычной АРУ? Очень интересно! Все-таки хотелось бы услышать (и проанализировать спектр) аудио записи (хотя бы 1-2 минуты) цифровых сигналов при разных вариантах этого параметра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 425] Автор : Genadi Zawidowski Дата : 22.03.2018 16:34 у меня программатор можно в hex Прошу. Обновил - исправлен баг с запоминанием настроек dual watch (a/a, a/b, ...) Добавлено через 6 минут(ы): еще не хрипит (как от выключения АРУ), но не так сильно снижается "усиление ПЧ" Алгоритм такой - есть максимальное значение усиления, которое можно получить в тракте обработки. Это общий параметр, например 96 дБ. Получив измеренное пиковое значение уровне сигнала (после ФОС) - программа вычисляет требуемое усиление. Оно не может превысить установленного параметра. Вычисление требуемого усиления как раз учитывает заданый "наклон" зарактеристики. Временные параметры АРУ обрабатываются на детекторе. Детектор для S-метра отдельный, временные параметры от текущего режима работы не зависят. ps: - АРУ "вперед". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 426] Автор : Genadi Zawidowski Дата : 22.03.2018 19:47 Просмотров: 5) Вот интересно, кому потребовались прошивки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 427] Автор : RA9W Дата : 22.03.2018 22:32 а мне можно поменять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 428] Автор : Genadi Zawidowski Дата : 22.03.2018 22:45 Прошу, это для Вас. Текущее состояние, со всеми недавними дополнениями. Плата Rmainunit_v5fm.pcb, цветной индикатор с 25 МГц генератором, stm32f767zit6, реглировка скорости телеграфа на дополнительном резисторе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 429] Автор : RA9W Дата : 23.03.2018 11:03 Спасибо! все замечательно,в dx conteste наслаждался работой cw -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 430] Автор : Genadi Zawidowski Дата : 23.03.2018 11:15 Вот, записали бы, для демонстрации ra0ahc, слабых сигналов... На слова "цифровой звук" хочется отвечать с аргументами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 431] Автор : RA9W Дата : 23.03.2018 11:43 285275попробую записать-но у меня только айфон -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 432] Автор : UA0YAS Дата : 23.03.2018 11:43 Вот интересно, кому потребовались прошивки? китайцы скачали и начнут скоро продавать клонов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 433] Автор : RA9W Дата : 23.03.2018 14:10 не получилось сбросить аудио файл-сорри -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 434] Автор : RA9W Дата : 23.03.2018 15:20 https://www.sendspace.com/file/bap9uc[/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 435] Автор : Genadi Zawidowski Дата : 23.03.2018 22:16 У меня почму-то предложило скачать файл с названием Java (она стоит) не с оракла (исторической родины) а откуда-то... 285291 Записи от RA9W буду по мере появления выклядывать ТУТ (https://drive.google.com/drive/folders/1Gxn820vEwQncQI8_dPq09yoV8tk-_AUm?usp=sharing). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 436] Автор : RA9W Дата : 23.03.2018 22:35 это наверно получилось под мою OS-X систему-первый раз делал.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 437] Автор : RA9W Дата : 02.04.2018 11:02 https://drive.google.com/file/d/100zLIENzu5mD9PVgSH_HhvLcRFosdekx/view?usp=sharing запись без усилителя-5-7ватт и потом с усилителем 4 гу-50 в сетку-100ват https://drive.google.com/file/d/1uW1HemjUmZho34R-cMca5yAhnDLr0v_W/view?usp=sharing -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 438] Автор : RA9W Дата : 02.04.2018 12:29 ТУТ (https://drive.google.com/drive/folders/1xun-yJK-QcGH6o7bPg1fy2dC31L6IyWJ?usp=sharing) записи - трансивер STORCH -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 439] Автор : Genadi Zawidowski Дата : 02.04.2018 15:35 записи Спасибо, понятно как у Вас эфир выглядит в SSB. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 440] Автор : RA9W Дата : 03.04.2018 11:58 удалите пожалуйста посты 433 434 436 437 и этот -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 441] Автор : RK9FBO Дата : 11.04.2018 14:47 Всем привет! Опробовал из Китая SDR свиток с Ярославским конвертером HF up. Чудеса! (http://www.cqham.ru/foto/data/1171/medium/SDR-_RTL2832U_R820T2.jpg) Читал.. вникал.. и приглянулся Storch. В тестах, года два не участвую, и расстался с IC756 PRO-3 (http://www.cqham.ru/foto/data/1171/medium/RK9FBO_11_.jpg). с расчётом перехода на sdr. Борис ra9w всё показал, рассказал, и забрал я у него Storch б/у. Дома собрал комп. из DNS пошустрее и с 32” экраном. Материнка с UEFI-BIOS только на win 10 и 64 bit работает. Но запустилось, и вплотную приобщился я к SDR технологиям. Однако, на столе остался, хоть и малышок, но 100 вт FT857D (пьезики потекли - новые на цанги поставил) и он ещё послужит. Так что, я в фан-группе Аиста! И будут вопросы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 442] Автор : UA0YAS Дата : 11.04.2018 15:07 :-P Welcome! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 443] Автор : Genadi Zawidowski Дата : 11.04.2018 15:20 RK9FBO, с пропадающим контактом в аттенюаторах-полосовиках-коммутации разобраться удалось? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 444] Автор : RK9FBO Дата : 12.04.2018 13:47 пропадающий контакт в несознанке :crazy: и оценивает шансы. 1. расположения элементов нет? 2. Notch-фильтр, как-бы, слабоват. Привык к авто. Давило, в про-3, полностью, иногда и не подозревал о тональнике. Всё-же, не лишнее, слышать, что подстраиваются. 3. всегда звук беру до унч и на активные колонки. Звук отличный! “NR” (шипение) часто покручивал. Из меню тут-долго? Служил в узлах связи Байконура :beer: С днём Космонавтики. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 445] Автор : Genadi Zawidowski Дата : 12.04.2018 14:36 файлы системы разработки вечером выложу, но там вроде реле видно.... Nr нет. Добавлено через 32 минут(ы): Нотчы да, не идеал... К сожалению на этом процессоре лучше не особо получится, ещё чтобы и не портить звук. Я так вообще не пойму зачем он нужен. ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 446] Автор : UA0YAS Дата : 12.04.2018 16:22 у меня NR как будто вообще не работает. А может и условия приема такие, что он не состоянии.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 447] Автор : Genadi Zawidowski Дата : 12.04.2018 16:32 У меня нет нойс редакшина или Нойс канцлера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 448] Автор : RK9FBO Дата : 12.04.2018 19:00 После переустановок HDSDR запросил DLL (http://www.cqham.ru/foto/data/500/2018-04-12_2_.png) ? С DLL под RTL-SDR-свисток рука набита, а тут... В диспетчере устр.. (http://www.cqham.ru/foto/data/500/2018-04-12_5_.png) хоть, нечего не пропало. Теперь, Валкодер, только и слушается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 449] Автор : Genadi Zawidowski Дата : 12.04.2018 19:59 Не нужна ему никакая dll. Вот мои настройки, попробуйте с них начать. ASIO4ALL, OmniRig (с испавлениями про DGU/DGL если надо с JTDX раьотать...). Не пройдет - тимвьювером за несколько минут поправим. Добавлено через 54 минут(ы): Малахитовая шкатулка от UA4PN. 286852 286853 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 450] Автор : RA9W Дата : 12.04.2018 21:55 RK9FBO, 286858 286856 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 451] Автор : RK9FBO Дата : 15.04.2018 02:49 Спасибо Борис! Win 10 обновилась.. и аудио входа-выхода слетали. ASIO драйвером бит-в-бит и без задержек, но надо проф. звук. карту? В старом компе Creative X-Fi Platinum (http://www.cqham.ru/foto/data/527/medium/S_B_X-FI.jpg) осталась. Сейчая надо PCI-E карту. И пытаюсь с UR5EQF подружить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 452] Автор : Genadi Zawidowski Дата : 15.04.2018 09:24 Для связи с Аистом звуковая плата не нужна. Демодулированный звук в UR5EQF для работы цифровых мод получаем напрямую. Стучите в скайп на мой позывной, настроим... Заодно, гляните в первые сообщения темы - там про то как следать чтобы звуковые устройства появились. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 453] Автор : RA9W Дата : 15.04.2018 16:15 RK9FBO,287058 287059 вот так нужно сделать-звуковая карта в компе не причем -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 454] Автор : RK9FBO Дата : 16.04.2018 14:09 Понравился звук из HDSDR с NR через колонки компа. Драйвера Asio4ALL, задержки могут убрать, а с внешней звуковой лучше встанут. Стоит ли с этими азио поразбираться? __________________________ SDR is the future! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 455] Автор : Genadi Zawidowski Дата : 16.04.2018 14:13 А из трансивера звук есть?/без хдсдр? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 456] Автор : RK9FBO Дата : 16.04.2018 14:51 Звук везде есть. HDSDR полностью подружился с трансивером. UR5EQF пока упирается. Разберусь. Куда бы вставить исправленный конфиг. файл TS-590.ini (http://www.cqham.ru/forum/attachment.php?attachmentid=273224&d=1508412685) ? почитал, как Загрузить OmniRig с **.ini файлом (http://forum.qrz.ru/275-ft-850/20900-omni-rig-konfiguratsionnyy-fayl-ft-850-a.html), и Методика регистрации в винде OmniRig (http://dxatlas.com/OmniRig/BetaTest.doc), как COM сервер. _______________ SDR is the future! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 457] Автор : Genadi Zawidowski Дата : 16.04.2018 14:59 Исправленный положить в каталог куда составился омнириг, в подкаталог rigs. Не понимаю что там нужно регистрировать. Все ставится автоматом. Асио4алл нужно для более качественного показа панорамы, на работу трансивера не влияет. Так же не влияет на работу демодуляторов и передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 458] Автор : Genadi Zawidowski Дата : 22.04.2018 22:03 Михаил RA1AGO сделал файлы для фрезеровки деталей корпуса из 1.5 мм фольгированного стеклотекстолита без применения алюминиевых деталей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 459] Автор : RU7L Дата : 22.04.2018 22:25 А где ручка настройки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 460] Автор : UT0UM Дата : 22.04.2018 22:36 где так вот жеж 287654 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 461] Автор : Genadi Zawidowski Дата : 22.04.2018 23:32 В приедидущий раз выглядело вот так: 287657 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 462] Автор : Genadi Zawidowski Дата : 24.04.2018 08:23 У кого применяются платы цветных индикатов TFT 4.3", убедитесь что перемычка (или низкоомный резистор) на плате стоит именно так, как в красном прямоугольнике на фотографии. Совместно с доработками прошивки, устраняет молочно-белую засветку индикатора (и рябь на изображении) после включения. Если этого эффекта нет, беспокоиться не стоит. 287733 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 463] Автор : Дата : 24.04.2018 11:23 Совместно с доработками прошивки, устраняет молочно-белую засветку индикатора (и рябь на изображении) после включения. Тоже было, переделал ок... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 464] Автор : Genadi Zawidowski Дата : 24.04.2018 11:38 Прошивку позже выложу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 465] Автор : Genadi Zawidowski Дата : 25.04.2018 08:02 Дачь, вот для Вас прошивка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 466] Автор : Дата : 25.04.2018 17:56 Залил, ок.Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 467] Автор : avbelnn Дата : 27.04.2018 21:55 Благодарность автору,Геннадию за его помощь в запуске этого замечательного трансивера.Этой весной оперяется ещё один аистёнок.287916 PS:Ничего настраивать не требуется,при без ошибочной запайке элементов работает сразу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 468] Автор : SVd2004 Дата : 30.04.2018 20:54 Спасибо Генадию, новая плата с 30 кнопочным управлением и меньшим размером. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 469] Автор : avbelnn Дата : 30.04.2018 21:09 В процессе...288155288156 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 470] Автор : Genadi Zawidowski Дата : 30.04.2018 21:16 SVd2004, а можно ссылочку на колпачки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 471] Автор : SVd2004 Дата : 30.04.2018 21:32 а можно ссылочку на колпачки? https://ru.aliexpress.com/item/100Pcs-Tactile-Push-Button-Switch-Cap-6mm-Applies-to-6-6-Self-locking-Switch-Button-Cap/32763659857.html?spm=a2g0s.9042311.0.0.7P1hZC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 472] Автор : Genadi Zawidowski Дата : 10.05.2018 22:28 Оперился еще один Аист - костюм шил Игорь UY5UM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 473] Автор : Genadi Zawidowski Дата : 12.05.2018 21:25 Тем, кто пользуется трансивером с ОДНИМ USB разъемом - и у кого трансивер предоставляет отдельные аудиоустройства для квадратур и VOICE - настрйоку аудио в WSJT ставить так: 288916 Во всех остальных случаях можно так же использовать такую настройку, можно и Left. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 474] Автор : Дата : 17.05.2018 23:17 Постоянно забываю отключать notch, при переходе на другой диапазон он не выклчается. А крутилка на ночь как на фото выше работает? У меня такой нет. Ну наверно и все... Заметил, что чаще начал слушать вещательные ам станции в место радиолюбительских. Жду прохождения на 27 мег...8-) проверить фм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 475] Автор : Genadi Zawidowski Дата : 17.05.2018 23:20 А крутилка на ночь У коллеги версия, в которой управление частотй NOTCH выведено на потенциометр. У Вас есть свободный разъем (я про третий разъем в том жеряду где и усиление)? при переходе на другой диапазон он не выклчается Этот опция режима работы, а не диапазона (как и фильтры, например). По крайней мере я пока так себе это вижу. Кстати, замечал что с помощью NOTCH просто подрезают полосу - "звучит лучше". А почму не воспользоваться регулировкй полосы фильтра основной селекции? зы: запись NFM есть тут http://www.qth.spb.ru/forum/viewtopic.php?f=19&t=11230&start=0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 476] Автор : Дата : 17.05.2018 23:48 А крутилка на ночь У коллеги версия, в которой управление частотй NOTCH выведено на потенциометр. У Вас есть свободный разъем (я про третий разъем в том жеряду где и усиление)? Цитата Сообщение от Дачь Посмотреть сообщение при переходе на другой диапазон он не выклчается Этот опция режима работы, а не диапазона (как и фильтры, например). По крайней мере я пока так себе это вижу. Кстати, замечал что с помощью NOTCH просто подрезают полосу - "звучит лучше". А почму не воспользоваться регулировкй полосы фильтра основной селекции?NOTCH пользуюсь только на 7055кгц, у меня там несущая от роутера под него NOTCH и настроил. На других диапазонах не пользуюсь. Но забываю отключать:oops:. Разьемы есть как на фото 2 трех пиновых а один 5 пинов. Иногда ставят несущие на 80м, но для NOTCH два параметра в меню нужно настроить... А крутилка то одна:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 477] Автор : Genadi Zawidowski Дата : 18.05.2018 00:02 но для NOTCH два параметра в меню нужно настроить...Ну уж и на полосу и на частоту крутилки? Посмотрел проект - Ваша версия третий потенциометр никак не использует... Или я что-то забыл? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 478] Автор : Дата : 18.05.2018 00:12 Посмотрел проект - Ваша версия третий потенциометр никак не использует... Или я что-то забыл?вернее 4, третий это скорость cw у меня на плате только 3 разьема под потенциометры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 479] Автор : Genadi Zawidowski Дата : 18.05.2018 00:17 третий это скорость cw Ясно... не Ваш вариант смотрел. Напомните кто Вы (можно в личку то чем Вас идентифицировать - e-mail, позываной или еще как). Судя по фото - то что под ксв-метр не используется. Так что еще два потенциометра подключаются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 480] Автор : Костя5656 Дата : 21.05.2018 20:28 Сегодня закончил сборку АИСТа. Конструкция аналогична моему приёмнику ВОРОНЁНОК пост #82 http://www.cqham.ru/forum/showthread.php?18744-%CF%F0%E8%B8%EC%ED%E8%EA-quot-%C2%EE%F0%EE%ED%B8%ED%EE%EA-quot/page9&highlight=%E2%EE%F0%EE%ED%B8%ED%EE%EA289439289440289441289442289443289444289445289446289447289448289449289450289451 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 481] Автор : UA3VBD Дата : 21.05.2018 20:56 Костя5656, красиво! Только, компьютерный разъём питания 220В и разъём антенны - на передней панели! Любопытно, почему Вы их так разместили? Были для этого какие-то причины? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 482] Автор : Костя5656 Дата : 21.05.2018 22:11 Любопытно, почему Вы их так разместили? UA3VBD, Юрий, спасибо за оценку моей работы. Стояла задача разместить всё исключительно в одной плоскости. Соглашусь что верхний ряд разъёмов лучше было бы разместить наверное внизу. Но все разъёмы размещены на одной плате. Ставить плату снизу я не стал потому как некоторые микросхемы сильно греются и нуждаются в хорошем доступе воздуха. Хотя потом я понял как можно это было сделать- между платой и алюминиевым шасси, в тех местах где распаяны микросхемы АЦП и один из сильно нагруженных стабилизаторов напряжения, я установил алюминиевые квадратики 13х13, толщиной на 0,2 мм больше зазора между платой и шасси. Таким образом часть тепла отводится на шасси, такой приём превзошёл все мои ожидания. Если до этого до радиатора АЦП горячо было дотрагиваться, то сейчас он тёплый, ну, или очень тёплый. Также значительно снизилась температура и стабилизатора напряжения. В общем трансивер доделан полностью, за что не могу не поприветствовать и автора АИСТа Геннадия Завидовского. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 483] Автор : Genadi Zawidowski Дата : 22.05.2018 00:09 Кстати, в следующих версиях переделано посадочное место под АЦП - уменьшен диаметр переходных отверстий, немного пореже поставлены - улучшился теплоотвод В ПЛАТУ, во внутренний слой. В версии платы Костя5656 под АЦП имелось отверстие для визуального контроля припайки дна (причем, на внутреннй слой замыкалось через термопад), что не способствовало теплоотводу. Теперь греется зона вокруг АЦП, стантиметра три-четыре в каждую сторону около 60 градусов. При припайке используется паяльная паста и нижний подогрев. Стабилизаторы тоже применяются с теплоотводом на земляном выводе (корпус D-PAK). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 484] Автор : R3LDA Дата : 22.05.2018 10:01 Конструкция аналогична моему приёмнику ВОРОНЁНОК Oтлично потрудились Константин:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 485] Автор : RA9W Дата : 22.05.2018 10:49 Все красиво! Но разъемы на передней панели-это провода которые будут мешать и портить вид передней панели-можно же наверное плату оставить вверху только разъемами назад? или я что то не понимаю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 486] Автор : RK4CI Дата : 22.05.2018 11:10 Стояла задача разместить всё исключительно в одной плоскости. Соглашусь что верхний ряд разъёмов лучше было бы разместить наверное внизу.Само выполнение довольно качественное, но само расположение органов управления какое то бестолковое. Внешний вид больше напоминает какой то прибор, но и там, сетевого гнезда на передней панели не ставили. У меня, гнездо с выключателем сети сзади, а на передней панели кнопка запуска. Гнёзда идущие поверху панели, конечно неудобно. Но если просто переместить всю платы вниз, ручка основной настройки окажется где то под потолком. А именно она, основной орган настройки, и она должна располагаться так, что бы ни она ничему не мешало, ни ей ничто не мешало. А так, законченная конструкция это очень здорово. Что в ней кому то что то не нравится, так это их проблемы. Главное, что бы она нравилась вам самому. А что покритиковать, находят даже в импортных аппаратах, стоимостью несколько тысяч долларов. Всем угодить не просто трудно, а попросту невозможно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 487] Автор : Костя5656 Дата : 22.05.2018 13:09 RK4CI, Николай, как оказалось позже, после борьбы с тепловым режимом, плату можно было бы разместить снизу, по габаритам она не высокая, поэтому, в частности, ручку настройки можно было бы разместить правильно. Но большая часть работы была уже проделана- поэтому как есть... RA9W, плату можно было разместить как Вы предлагаете, но, повторюсь - всё разъёмы и органы управления размещались исключительно в одной плоскости - так было задумано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 488] Автор : R7KD Дата : 24.05.2018 15:14 Костя5656,все отлично,как получилось согласно конструктива,так и есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 489] Автор : Костя5656 Дата : 27.05.2018 18:14 Спрашивали про дисплей. Он на моём АИСТе не цветной. Какого типа точно может сказать только Гена Завидовский.289786 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 490] Автор : Genadi Zawidowski Дата : 27.05.2018 18:32 У Вас стоит WINSTAR WO240128A-TFH# https://spb.terraelectronica.ru/product/983792 - управляется по SPI, на стекле контроллер UC1608 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 491] Автор : Genadi Zawidowski Дата : 28.05.2018 21:17 Кстати, есть готовый корпус, в поторый при наличии рук и инструмента можно поместить плату трансивера. Посадочные места на плате сделаты как раз под эту серию корпусов GAINTA. На фото G53A 260х180х85мм https://www.chipdip.ru/product/g753a -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 492] Автор : RX3M Дата : 28.05.2018 21:39 Я уже смотрел эти корпуса и мне приглянулся 733-й с высотой 105 мм, наверное должен подойти под Аист со 100-ваттным усилителем. К сожалению, плата РА в ожидании. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 493] Автор : Genadi Zawidowski Дата : 02.06.2018 10:48 Выяснилась интересная особенность некоторых программ работы программ цифровых видов - если формировани идет в одном из каналов. windows перед выдачей в моно аудио устройство (каким представляется версии трансивера с ОДНИМ USB разъемом) понижает уровень сигнала в каждом канале на 6 дБ - что приводит к четырехератному падению мощности в цифровых видах. Пришлось "поскрести по сусекам" и утоптать использование ресурсов (памяти USB FS контроллера в микропроцессоре), чтобы опять вернуться к стерео конфгурайии при одновременной передаче в компютер демодулированного сигнала и спектра 96 кГц. Удалось... В трансиверах с двумя USB разъемами этой проблемы нет изначально. Еще, удалось получать отображение спектра и панорамы на дисплее одновременно, на одной странице. Но это с USB не связано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 494] Автор : Genadi Zawidowski Дата : 02.06.2018 14:22 Вот так... 290244 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 495] Автор : SVd2004 Дата : 02.06.2018 14:50 Вот так... Края наверно обрезать надо, портят картинку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 496] Автор : Genadi Zawidowski Дата : 02.06.2018 14:52 Ты про пологую АЧХ? Да, не очень красиво. В "одноприемниковых" версиях там поплоще вершина. как сделаю масштаб переклбчаемым скаты уйдут за границу экрана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 497] Автор : Genadi Zawidowski Дата : 06.06.2018 20:07 Попробовал изобразить то, что "под капотом" трансивров отчечает за формирование телеграфа. То, что я пытался как-то изложить Евгению R3DI. Блоки, названные ENVELOP - формирователи огибающей из логического сигнала, работают в темпе интерфейса между прицессором и FPGA (или кодеком - у меня 48 кГц, над блоками данных по десятку сэмплов). Параметры формируемой огибающей задаются в милисекундах. Задержка - линия задержки на время перехода прием-передача, в большинстве версий с тактовой системного таймера (у меня - с периодом 5 милисекунд) - требуется для исключения "съедания" начала передачи при работе в режиме Break-IN. Электронные ключи - часть программы, выполненая в виде машины состояний, обрабатывается с периодом в 10 или 20 раз меньшим длительности точки - прерывание от отдельного таймера, не связанного с системным. Таймер программируется в зависимости от требуемой скорости передачи. SIDETONE - синусоидальный сигнал с выбранной пользователем частотой, из которого формируется сигнал самоконтроля, поступающий в аудиотракт приемника. Не показано на схеме - формирователь огибающей в тракте передатчика задействован так же и в остальных режимах работы - FM, AM, SSB. В двух первых гарантирует отсутствие щелчков "по всему диапазону" при переходе трансивера на передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 498] Автор : Genadi Zawidowski Дата : 11.06.2018 15:26 Про просьбам выкладываю схему усилителя UA1CEI. Схемв в части номиналов ФНЧ местами "слепая", не отражает текущего состояния дел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 499] Автор : RK4CI Дата : 11.06.2018 16:01 выкладываю схему усилителяИетнресно, какой толщины слой меди на плате. При мощности 100 ватт, даже без учёта потерь, импульс тока каждого транзистора будет достигать 16 А. Ну и не вижу блокировочных конденсаторов, со средней точки питания. Их удобно расположить прямо у симметрирующего трансформатора, к истокам выходных транзисторов. Симметрирующий конечно с хорошим запасом. Здесь и колечко бы справилось. И с трансформатором на входе не поскупились... Но здесь в минусах только цена. И при каком напряжении питания планируется использовать УМ? Просто при 20-24 В, эти транзисторы без проблем обеспечат ватт под 150, при гораздо лучшей линейности. При 12-14 В, 100 ватт можно выдавить, но на линейность в этот момент лучше не смотреть. Не то что -30, -20 дБс будет под вопросом... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 500] Автор : Genadi Zawidowski Дата : 11.06.2018 16:16 Те платы что были первыми, сделаны с 18 мкм фольгой. С обратной стороны блокировочных конденсаторов нет, 3 см пеермычка к блокировочному возле дросселя. Планируется обычное "13.8" вольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 501] Автор : RK4CI Дата : 11.06.2018 16:48 3 см пеермычка к блокировочному возле дросселя.У него же средняя точка симметрирующего трансформатора прямо у истоков. Здесь лучше всего и расположить блокировочные конденсаторы. Я для этих целей прикупил крупненькие СМД 0,1 мкФ. У меня даже при 50 В питании, по три штучки параллельно стоят. Подобные можно применить и в этой схеме. Ведь ухитряются же выполнять подобные схемы и без симметрирующего трансформатора. Правда, питание подают в середину первички выходного трансформатора. Но наверное, сам видел в параллельной теме, осциллограммы того, что получается на стоках транзисторов, когда забывают, для чего вообще ставится этот симметрирующий трансформатор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 502] Автор : Genadi Zawidowski Дата : 24.06.2018 13:40 Небольшой анонс. После некоторых доработок - в преобразователе подсветки индикатора - основная плата трансивера. Коллега avbelnn готовит оконечник с тюнером. Исходники программы как обычно тут (https://188.134.5.254/browser/trunk). Конфигурация CTLSTYLE_STORCH_V6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 503] Автор : RX3M Дата : 24.06.2018 13:46 Геннадий здравствуйте. Как дела с платой усилителя 100 ватт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 504] Автор : Genadi Zawidowski Дата : 24.06.2018 13:48 В понедельник отошлю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 505] Автор : RK4CI Дата : 24.06.2018 14:20 А где основная ручка настройки? Если та, на которую уже уже одета ручка... По моему, основные ручки должны идти по низу. И настройка частоты, это самая используемая ручка трансивера. А она где то "под потолком". Или основная настройка, всё же нижняя на фото? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 506] Автор : Genadi Zawidowski Дата : 24.06.2018 14:22 та, на которую уже уже одета ручка Она. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 507] Автор : avbelnn Дата : 24.06.2018 14:40 И настройка частоты, это самая используемая ручка трансивера. Их две А и В.Высота трансивера около 100 мм,поэтому "под потолком" получилось чуть выше середины,эргономически удобно руке.Изначально была одна,затем для удобства всё же добавил независимый валкодер VFO B. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 508] Автор : RK4CI Дата : 24.06.2018 14:43 Она.И не слишком привычно, и не совсем удобно. Сама передняя панель выглядит сыровато, но похоже, сверху всё накроется фальшпанелью. А рисунка, что должно получиться на выходе, не делали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 509] Автор : Genadi Zawidowski Дата : 24.06.2018 14:48 что должно получиться на выходе, не делали? Это не моё всё, я только основную плаьу сделал. Коснтрукция в корпусе это avbelnn. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 510] Автор : avbelnn Дата : 24.06.2018 14:52 А рисунка, что должно получиться на выходе, не делали? и не совсем удобно. Назначение VFO A и VFO B меняется в программе одной строкой,хоть снизу,хоть сверху.Кому то удобно крутить левой рукой,писать и набирать на компе правой,кому то наоборот.Сверху накладывается декоративная,лазерная резка и гравировка.Получится вроде того,что на аватаре. Например так291582291583с инверсией -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 511] Автор : Sysert Дата : 24.06.2018 16:50 А цвета панорамы можно под себя в меню выставить или нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 512] Автор : Genadi Zawidowski Дата : 24.06.2018 17:00 Сейчас нет таких пунктов. Первое что будет - отключение заполнения. 291594 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 513] Автор : UT0UM Дата : 24.06.2018 22:40 И не слишком привычно, и не совсем удобно. в польском Тюльпане так 291606 удобно, никаких проблем рука спокойно лежит на столе и оч удобно пальцами крутить ручку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 514] Автор : Genadi Zawidowski Дата : 24.06.2018 22:59 Кстати, у кого-нибудь сохранилось видео поляка, где он показывал этапы сборки "матрёшки" в виде трансивера Тюльпан? С ютюба удалено было стразу после того как тут начали волну поднимать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 515] Автор : UT0UM Дата : 24.06.2018 23:03 Кстати та вот оно http://www.youtube.com/watch?v=j0qAtJdv24Y& t=14s никуда и не девалось P.S. Если Аист будет сделан в таком же форм-факторе, то это будет оч перспективное радио -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 516] Автор : Genadi Zawidowski Дата : 24.06.2018 23:10 никуда и не девалось Оно! Просто оно было у меня в favorites и стало недоступно. К чему-то подобному подвести хочется... Но сверху по цене уже близко подступает IC-7300. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 517] Автор : R7KD Дата : 25.06.2018 00:22 Оно! Просто оно было у меня в favorites и стало недоступно. К чему-то подобному подвести хочется... Но сверху по цене уже близко подступает IC-7300. Геннадий,это точно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 518] Автор : SVd2004 Дата : 25.06.2018 08:49 Но сверху по цене уже близко подступает IC-7300. Очень странно... Посмотрел на ebay.de самый дешёвый 1265 евро. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 519] Автор : rolin Дата : 25.06.2018 09:14 Но сверху по цене уже близко подступает IC-7300 Вы хотите сказать к 1300 долларам подступает ? Ну да, что-то не так, не верится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 520] Автор : Genadi Zawidowski Дата : 25.06.2018 09:39 Упомянутый трансивер в местном магазине https://www.radioexpert.ru/product/kv-transiver-icom-ic-7300/ сейчас 89 тысяч, VisiAir по слухам около 70. За последний месяц-два цена ключевых комплектующих в местных магазиах выросла раза в два (FPGA, процессоры, мощные транзисторы, реле, трансформаторы). Играть в лотерею с перемаркироваанными FPGA на Aliexpress (оказываются 15-ти тысячные вместо 22) себе дороже. Подставить в bill of materials современные цены и сделать выводы может каждый - файл выложен ранее в теме. Разумеется, не вплотную подступает. Но даже полуторакратная разница для большинства потенциальных потребителей это уже повод предпочесть более ликвидный трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 521] Автор : avbelnn Дата : 25.06.2018 10:41 Практически самая дорогая деталь это ацп LTC2209(2217,2208).В Visair кстати какая установлена?14 bit только написано.Цена и доставаемость во многом проблематична.С ухудшением параметров,но с удешевлением например LTC2145(14bit 105 or 80MHz) .Про опорник не говорю,нужен хороший. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 522] Автор : Genadi Zawidowski Дата : 25.06.2018 10:46 Какая-то аномалия с ценой FPGA началась. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 523] Автор : SVd2004 Дата : 25.06.2018 10:51 Практически самая дорогая деталь это ацп Наверное многим нужна плата с инструкцией по сборке и контролю. Самая главная комплектующая это плата и разработчик имеет право получать с неё выгоду и развивать проект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 524] Автор : Genadi Zawidowski Дата : 25.06.2018 10:54 Нет, не самая дорогая. Еще раз - bom был выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 525] Автор : avbelnn Дата : 25.06.2018 11:00 Самая главная комплектующая это плата и разработчик имеет право получать с неё выгоду и развивать проект. Это да,но я например приобрёл плату у Геннадия чистую и спаял сам,спасибо конечно Геннадию за помощь с комплектацией (в том числе и с АЦП).Инструкции как таковой не понадобилось,спаяв по схеме заработало сразу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 526] Автор : Genadi Zawidowski Дата : 25.06.2018 11:18 Попробую сформулировать: Для пользователя покупка (например) Аиста полностью лишена экономического смысла, исключительно удовлетоворение интереса в самодельничании, возможно - получение возможности покопаться в обычно немодифицируемых устройствах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 527] Автор : ur7hfo Дата : 25.06.2018 15:23 Для пользователя покупка (например) Аиста полностью лишена экономического смысла Вкладывать в дорогие компоненты можно тогда, когда ка выходе будет гарантированно завершенный продукт, или кит как у поляка на видео. А позиционировать его как мобильный вариант айкома 7300, а конструктив полностью скопировать у тюльпана. Это просто мысли в слух... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 528] Автор : SVd2004 Дата : 25.06.2018 15:30 а конструктив полностью скопировать у тюльпана. Это просто мысли в слух... Бред. Что там есть общее? И чей конструктив родился раньше? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 529] Автор : ur7hfo Дата : 25.06.2018 15:40 Что там есть общее? Ничего общего, а может быть общее формфактор - корпус, размеры печатных плат, расположение кнопок, валкодера, динамиков, внешних разъемов. Вы просто в готовый корпус от тюльпана, ставите новую начинку, и тюльпан превращается в аиста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 530] Автор : SVd2004 Дата : 25.06.2018 15:43 Вы просто в готовый корпус от тюльпана, ставите новую начинку, и тюльпан превращается в аиста. Покажите этот корпус тюльпана, а то непонятно о чём вы говорите... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 531] Автор : ur7hfo Дата : 25.06.2018 15:45 Так выше пост от UT0UM (http://www.cqham.ru/forum/member.php?20703-UT0UM) 515 этой темы - http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%C0%E8%F1%F2-(Storch)&p=1541274&viewfull=1#post1541274 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 532] Автор : SVd2004 Дата : 25.06.2018 15:54 Так выше пост Так это польский Тулипан, конструктив которого взят от Monki. А малогабаритная плата Аиста, сделана Генадием по моей просьбе и её размеры никак не бьют с корпусом Тулипана, (сравните размеры). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 533] Автор : ur7hfo Дата : 25.06.2018 16:14 Так это польский Тулипан, конструктив которого взят от Monki. Я это безусловно знаю, вот и был бы Аист таким же мобильным аппаратиком, достойная замена для Monki и Тюльпана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 534] Автор : SVd2004 Дата : 25.06.2018 16:17 Я это безусловно знаю Так в каком месте там есть что то общее? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 535] Автор : R7KD Дата : 25.06.2018 16:32 Геннадий,как обстоят дела с трансивером.Удачи,творческих успехов. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 536] Автор : Genadi Zawidowski Дата : 25.06.2018 17:04 Заканчиваю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 537] Автор : UT0UM Дата : 25.06.2018 17:55 А малогабаритная плата Аиста, сделана Генадием по моей просьбе и её размеры никак не бьют с корпусом Тулипана, (сравните размеры). про Ваш вариант никто и не говорит имеется ввиду вот этот вариант (http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%C0%E8%F1%F2-(Storch)&p=1541153&viewfull=1#post1541153) Аиста тут только слепому не бросится в глаза сходство с польским Тюльпаном а размеры +/- пару сантиметров туда-сюда никакой роли не сыграют -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 538] Автор : SVd2004 Дата : 25.06.2018 18:00 тут только слепому не бросится в глаза сходство с польским Тюльпаном Только слепой не заметит, что плата управления не Генадия, а avbelnn -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 539] Автор : UT0UM Дата : 25.06.2018 18:05 Только слепой не заметит, что плата управления не Генадия, а avbelnn и что? какая разница чья плата? или это уже не Аист из-за этого? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 540] Автор : SVd2004 Дата : 25.06.2018 18:20 avbelnn купил одну плату, голую... Всё остальное делает сам, причём тут претензии к Генадию по конструктиву (А позиционировать его как мобильный вариант айкома 7300, а конструктив полностью скопировать у тюльпана. Это просто мысли в слух...) какая разница чья плата? Разница в конструктиве. С платами Генадия, конструктив совсем другой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 541] Автор : UT0UM Дата : 25.06.2018 18:24 претензии к Генадию где? мне, например,все равно, кто в итоге сделает готовый продукт я с удовольствием куплю основную плату у Геннадия, а остальные платы и корпус у того, кто это доведет до вида готового изделия, которое меня устроит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 542] Автор : SVd2004 Дата : 25.06.2018 18:33 где? Если, это было пожелание, тогда я его не правильно понял, и извиняюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 543] Автор : Genadi Zawidowski Дата : 25.06.2018 18:51 Сейчас уже можно "брать составные части" и при необходимости корректировать размеры, посадочные места... Анатолий подгонял под текущее изделие - по хорошему, надо бы всё в комплексе в каком-то САПР конструкторском связать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 544] Автор : SVd2004 Дата : 25.06.2018 18:58 по хорошему, надо бы всё в комплексе в каком-то САПР конструкторском связать Мне кажется, сделать бутерброд из 2-3 плат ты сам можешь. Что бы они стыковались без кабелей. А потом под эту сборку можно сделать корпус. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 545] Автор : avbelnn Дата : 26.06.2018 00:00 291647291648291649291650 После проверки работоспособности PA и ATU выложу разводку плат в DIPTRACE,думаю под себя поправить не составит труда PS:PA и ATU сделаны по мотивам трансивера SWxx -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 546] Автор : Genadi Zawidowski Дата : 26.06.2018 00:06 А 12-ти вольтовое питание куда подаётся? Упс, увидел на задней стенке... Короче, просто красота... Чёрный как автомобиль. ;) надпись, характеризующая функциональность изделия, без ошибки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 547] Автор : avbelnn Дата : 26.06.2018 00:21 transceiver или что? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 548] Автор : Genadi Zawidowski Дата : 26.06.2018 00:41 Да, у тебя точно правильно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 549] Автор : Radiotester Дата : 26.06.2018 02:29 avbelnn, Если не тайна каким способом изготовлены панели сиго корпуса красавца? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 550] Автор : avbelnn Дата : 26.06.2018 08:31 Да никакой тайны нет.Кожух-сталь 1мм,гибка,сварка,порошковая окраска.Основание под лицевую-дюраль 3мм.Лицевая-орг.стекло 2мм.Окраска изнутри автомалью,гравировка так же изнутри,резка CO2 лазером.Места гравировки подкрашены белым штрихом для большей контрастности. PS:использовать готовый профиль конечно будет технологичнее,но я не нашёл подходящего размера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 551] Автор : SVd2004 Дата : 26.06.2018 09:08 avbelnn, А валкодер какой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 552] Автор : avbelnn Дата : 26.06.2018 09:21 В идеале должны быть два Bourns,но я первый сделал из неисправного вентилятора,оптика 100 имп/об,подшипники,отсутствие люфта.Второй-механика с кнопкой,которая дублирует кнопку LOCK,удобно для блокировки основного. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 553] Автор : R3LDA Дата : 26.06.2018 09:22 avbelnn, Стальной экранирующий корпус, это самое оптимальное решение для цифрового трансивера:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 554] Автор : Genadi Zawidowski Дата : 26.06.2018 09:29 df9fxk, Александр: у Вас есть какие-то "любимые" решения по преобразователям подсветки TFT? Напряжение 25-30 вольт, ток 15 мА. Критерий - минимум помех приему, кпд не самое главное. Сейчас начал применять APW7136CCI (как в IC-7610). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 555] Автор : SVd2004 Дата : 26.06.2018 09:31 В идеале должны быть два Bourns Ну, это дороговато... Для Генадия, как мне кажется, лучше заложить народный, китайский. Плату управления и РА сделать шире на диаметр валкодера. А основную плату по возможности поуже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 556] Автор : avbelnn Дата : 26.06.2018 09:37 Да,помехи идущие от импульсных преобразователей хорошо видны на панораме.Были некоторые помехи от преобразователя подсветки,Геннадий решил эту проблему заменой микросхемы преобразователя на ту,что применяется в трансивере ICOM7610.Я просто вынес этот модуль на плату дисплея под экран с заменой на аналогичную м/с с меньшим шумом.В качестве 5 вольтового лучший результат показал модуль с али,работающий на частоте преобразования около 50кГц(хотя по datasheet для неё 150кГц).https://ru.aliexpress.com/item/Ultra-small-LM2596-power-supply-module-DC-DC-BUCK-3A-adjustable-buck-module-regulator-ultra-LM2596S/32650623174.html?spm=a2g0v.search0604.3.16.47ac23cfMmttaL&ws_ab_test=searchweb0_0,searchweb201602_1_10152_10151_10065_10344_10068_10342_10343_5722611_10340_10341_10543_10696_5722911_5711411_5722811_10084_5722711_10083_10618_10307_10301_10059_306_100031_10103_5711511_10624_10623_10622_10621_10620_5722511_10125,searchweb201603_31,ppcSwitch_5&algo_expid=ec0486ab-5a46-4a59-b265-595649167ee1-2&algo_pvid=ec0486ab-5a46-4a59-b265-595649167ee1&priceBeautifyAB=0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 557] Автор : R3LDA Дата : 26.06.2018 09:44 Александр: у Вас есть какие-то "любимые" решения по преобразователям подсветки TFT? Приветсвую Геннадий! Они общеизвестные, в первую очередь если возможно нужно отделить сам дисплей от платы синтеза экраном из белой жести. Питание тоже желательно разделить и пропустить через фильтры, они кстати есть и в СМД исполнении. Генератор подсветки простейший импульсный со всеми вытекающими. Сам синтез в отдельный отсек или в корпус. Для Аиста я уже предусмотрел раздельное расположение в корпусе. Если уже до конца извращаться придётся применить и отдельный узел с защитным стеклом, как проделал это с синетзатором DS-2004 от Дмитрия EU1CC. Фотографию уже выкладывал, здесь повтор. С твоим Аистом ещё не занимался вплотную. Как придёт помещу его в вышеприведённый корпус и прогоню в Безэховой камере, тогда будем знать больше:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 558] Автор : avbelnn Дата : 26.06.2018 09:47 И возможно сделать PA на RD100(RD70) соответственно с заменой колец T50 на T80 в ФНЧ и тюнере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 559] Автор : UT0UM Дата : 26.06.2018 21:59 подходящего размера. а какой размер получился? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 560] Автор : avbelnn Дата : 26.06.2018 22:12 Без радиатора 217x100x55 мм,радиатор добавляет 35 мм по глубине -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 561] Автор : Genadi Zawidowski Дата : 26.06.2018 22:27 если возможно нужно отделить сам дисплей от платы синтеза Те помехи даже при отсутствующем дисплее. А вот именно помехи от развертки - слышные при лежащем на плате дисплее исчезают в штатном для данной сборки отдалении примерно на один сантиметр. Кстати, дисплей имеет кожух из жести - его надо заземлять или оставить висеть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 562] Автор : UT0UM Дата : 26.06.2018 22:29 217x100x55 мм, ну вот польский Тюльпан, в корпусе из профиля, имеет габариты 105х55 получается, что такой профиль идеально подходит для Аиста п.с. имхо, надо как-то Артура заинтересовать Аистом :ржач: он все сделает в лучшем виде :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 563] Автор : R3LDA Дата : 27.06.2018 10:55 Кстати, дисплей имеет кожух из жести - его надо заземлять или оставить висеть? Заземлить обязательно. И лучше не отдельным проводком, а сплошным куском медной фольги "самоклейки" ну или обычную припаять, чем больше поверхность тем лучше. "Жиденький" заземляющий проводок можно считать какой то паразитной индуктивностью... Кстати такой "паразиткой" выполняют заземление и именитые производители РС техники и периферии от НР, Dell и т.д. У меня сейчас на рабочем столе 5 принтеров М477, 4 в одном. Сам собственно принтер, факс, сканер, рс с дисплеем и тачскрином. Изначально они фонили сверх "допустимых норм".., даже в состоянии бездействия, т.е. просто после подачи питания. С дисплеями пришлось повозиться отдельно.. Сейчас проблемы остались только со сканером и в рабочем режиме.. Хочу кстати добавить по поводу вышеприведённого "красивого" стального корпуса, сам материал это повторюсь очень хорошо, однако простой корпус из 2х П-образных половинок должен иметь дополнительные бруски обеспечивающие его жёсткость. Если скрутить его всего несколькими шурупами результаты будут ещё хуже чем с пластиком покрытым эл.проводящим лаком. Подобные корпуса обычно делают у разных FO конвертеров, свитчей и т.д. Довести до "норм" девайс расположенный в такой "жестянке" очень трудно, чаще всего проще сделать новый корпус, что обычно и делаем, а родные на выброс.. У таких корпусов очень хреновое свойство, они сами начинают резонировать на частотах выше 150-200мгц. Приходится делать дополнительный крепёж и применять разные ферромагнитные прокладки. На последнем фото один такой свитч, им безрезультатно занимались 2 человека 2е выходных.., мне удалось его вогнать в "допустимые рамки" за несколько часов, что сделано видно на фото, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 564] Автор : Kia2700d Дата : 28.06.2018 05:32 Довести до "норм" девайс расположенный в такой "жестянке" очень трудно, чаще всего проще сделать новый корпус, что обычно и делаем, а родные на выброс.. у вас нормы на EMI так сказать гестаповские жесткие. в некоторых других странах если неонка не загорается, то можно ставить штамп соответствия и все дела. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 565] Автор : SVd2004 Дата : 28.06.2018 08:24 у вас нормы на EMI так сказать гестаповские жесткие В каждую страну, всё поставляется в соответствии их норм. Так не бывает, что вначале поставляют, а потом приводят к нормам, это смешно... Это просто какой то маленький бизнес на предрассудках пользователей... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 566] Автор : R3LDA Дата : 28.06.2018 10:44 В дополнение к сказанному в посту ном. 563 хочу немного добавить. На фотографии разобранного свитча "NETGEAR" разумеется не видно из-за чего он производил повышенный уровень цифровых помех. Когда начал досконально разбираться, оказалось, что печатная плата не имеет одной общей "земли". Она разведена только в виде 4 "островков" в районе крепёжных винтов, это означает что земля теоретически должна была появиться только когда печатная плата прикручена к нижней половинке корпуса. Некоторые участки схемы "заземлены" с этими "островками" только через конденсаторы, всё остальное висело в воздухе. Соответсвенно фонило без меры. Половинки корпуса соеденены только 2мя винтами плюс вырезы одной половинки были вставлены в пазы другой. Всё это закрашено порошковой краской. Естественно при таком конструктиве каждая половинка корпуса живёт своей "жизнью". В дополнение слишком большой вырез для сетевых разъёмов. Между ними и стенкой возник резонанс и верняя крышка корпуса стала "активной" антенной.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 567] Автор : Genadi Zawidowski Дата : 28.06.2018 10:47 Мужики, раздавая эпитеты, представьте себе, что это происходит где-нибуь за столом в Иван-Городе... И мы сидим там все вместе. Что потом, "пойдем выйдем"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 568] Автор : UN7RX Дата : 28.06.2018 11:40 Хватит превращать авторскую тему в очередную помойку! :evil: Я без колебаний отправлю любителей поговорить на отвлеченные темы и тем более, поругаться, за пределы форума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 569] Автор : SVd2004 Дата : 29.06.2018 18:51 В качестве 5 вольтового лучший результат показал модуль с али,работающий на частоте преобразования около 50кГц Такой пробовали? https://ru.aliexpress.com/item/15950-Free-Shipping-10pcs-Model-aircraft-power-step-down-DC-DC-mini-360-power-supply-module/32356678600.html?spm=a2g0v.search0604.3.60.1806977dgsYaVV&ws_ab_test=searchweb0_0,searchweb201602_3_10152_5722813_10151_10065_10344_10068_10342_5722613_10343_5722913_10340_10341_5711413_10696_10084_10083_5722713_10618_10307_10820_10821_10303_10302_10059_306_100031_10103_524_5711513_10624_10623_10622_10621_10620_5722513_10125,searchweb201603_30,ppcSwitch_2&algo_expid=9c3230d8-2c69-4c31-8db2-be6d6a17b2f3-9&algo_pvid=9c3230d8-2c69-4c31-8db2-be6d6a17b2f3&priceBeautifyAB=0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 570] Автор : avbelnn Дата : 29.06.2018 19:46 Точно такой-нет.На частоте 50 кГц есть небольшая помеха,на следующих гармониках она уменьшается.Поэтому пусть лучше шумит в низу диапазона.Этот по ссылке работает на 340кГц,поэтому следует ожидать на 340,680,1020 и т.д.с уменьшением,на нечётных соответственно выше.Ну или меры по экранировке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 571] Автор : SVd2004 Дата : 29.06.2018 20:24 Ну или меры по экранировке. Артур такой использует в своём мини Монке. Между платами экран. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 572] Автор : avbelnn Дата : 29.06.2018 20:35 Интересно было бы посмотреть спектр монки,если это она на этих частотах.Для "кодековых" sdr тот преобразователь на LM как раз и не пошёл у меня.На частоте +-25 кГц от нулевой стояли палки от преобразователя,после замены на ST1S10 в полосе спектра всё стало ок,частота ушла в сторону даташитных ~900 кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 573] Автор : RK4CI Дата : 30.06.2018 21:14 PA и ATU сделаны по мотивам трансивера SWxxА управление тюнером внешнее? Какой из процессоров им управляет? По габаритам, в моём трансивере, вполне можно добавить и автоматический тюнер. Но что то полноценных разработок пока не попадается. Всё какие то полуфабрикаты. Которые под определение "автоматический" подходят очень мало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 574] Автор : Genadi Zawidowski Дата : 30.06.2018 21:29 Какой из процессоров им управляет Он вообще один на всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 575] Автор : avbelnn Дата : 30.06.2018 21:32 А управление тюнером внешнее? Какой из процессоров им управляет? Всем управляет сам процессор аиста,по spi с разъёма основной платы.Обратно приходят сигналы ксв метра ref и for и с датчика температуры.ФНЧ так же переключаются процессором.Вот ачх,снятая в трансивере.Схема была выше. Можно использовать любой PA как конструктивно,так и схематически,программа от этого не поменяется.Уровни с ксв метра регулируются потенциометрами.Программу Геннадий выкладывал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 576] Автор : Genadi Zawidowski Дата : 30.06.2018 22:56 Всё какие то полуфабрикаты. Которые под определение "автоматический" подходят очень мало. Как выглядит работа тюнера - два видео. Алогритм во всех трансиверах с моим софтом одинаковый, так что SW-шка тут как пример. https://www.youtube.com/watch?v=JS6H2yBJVOs https://www.youtube.com/watch?v=q7Mub8Vyfpk Какой из процессоров им управляет Основной и единственный. Работа тюнера не скоростная задача, вполне хватает. УТочняю - второй большой чип на фотографии это ПЛИС, усмеет только принимать и передавать квадратуры от основного процессора. Дисплей, телеграф, АРУ в двух приемниках, USB - все один поцессор. 291878 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 577] Автор : Genadi Zawidowski Дата : 01.07.2018 10:55 Нашлась вероятная причина прекращения обмена по USB CAT (нашел не на трансивере, в другом устройстве использующем мой код). Относится к трансиверам с единственным USB разъемом. Кроме того, доработки электронного ключа Ситуация – если формируется элемент знака «из памяти» - например – была нажата точка при передающемся тире. После окончания тире формируется точка. Так вот после этой точки нет времени нечувствительности. собственно после передачи тире по нажатию - есть время нечувствительности к повтороному нажатию тире (точки запоминают нажатие сразу). И наоборот. время нечувствительности - половина длительности точки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 578] Автор : R3LDA Дата : 01.07.2018 11:23 Относится к трансиверам с единственным USB разъемом. Геннадий, в моём уже не поправишь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 579] Автор : Genadi Zawidowski Дата : 01.07.2018 11:29 И про него. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 580] Автор : R3LDA Дата : 01.07.2018 11:34 И про него Я имел виду, ты сам ещё можешь поправить ошибку или девайс уже в пути?:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 581] Автор : Genadi Zawidowski Дата : 01.07.2018 11:47 Поправлю. А вообще перезаливка прошивки процесс безопасный, "окирпичивания" не может вызывть. Делается и на месте эксплуатации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 582] Автор : R3LDA Дата : 01.07.2018 12:07 Ок для меня это лучше, сейчас напишу на емаил посмотри.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 583] Автор : RK4CI Дата : 01.07.2018 12:36 Основной и единственный. Работа тюнера не скоростная задача, вполне хватает.А вынести тюнер в отдельный блок, желания не возникало? вроде всё относительно просто. Может даже чуть усложнить алгоритм настройки. Не перебор вариантов, а вычисление знака реактивности, и выбор вариантов уже из нескольких положений. Или подобное довольно сложно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 584] Автор : VFO Дата : 01.07.2018 12:41 Усложнением алгоритма не обойдёшься. Для этого нужен датчик фазы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 585] Автор : Genadi Zawidowski Дата : 01.07.2018 12:47 А вынести тюнер в отдельный блок, желания не возникало? вроде всё относительно просто. Тюнер управляется основным процессором, при этом ползуется всемии возможностями по запоинанию текущей конфигурации по диапазонам, по антеннам в случае их переключения. На мой взгляд, упрощение алгоритма снизило требования к качеству изготовлния узлов согласующего устройства. ps: доработки электронного ключа откатил назад, буква "F" на двухрычажном клбче перестала получатсья. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 586] Автор : Genadi Zawidowski Дата : 30.07.2018 13:13 Коллеги, у кого есть какие предложения - как назвать (какую аббревиатуру применить для) пункта меню с регулировкой мощности передатчика в режиме работы автотюнера? Основной регулятор называется TX POWER. Варианты для нового пункта - TU POWER, ATU PWR, PW MATCH например. Кстати, посвилась возможность добавить аппаратный вход - вроде PTT, но запрос на работу в режиме настройки для применения с внешними тюнерами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 587] Автор : Genadi Zawidowski Дата : 02.08.2018 11:09 1) очередной вариант от avbelnn (http://www.cqham.ru/forum/member.php?25337-avbelnn) (мини-плата скрыта под передней панелью). 2) обновленные прошивки для процессора STM32H743IIT6 мини-платы с TFT от Sony PSP-1000. Изменений в тракте обработки сигнала нет, некоторые доработки сервиса и USB CAT+AUDIO. Исходники как всегда доступны (https://188.134.5.254/browser/trunk). 3) Архив исходных текстов проекта на текущий момент вот (https://drive.google.com/file/d/11PDgTqcTFuVRX-SLuClF0kepYt_H6vR_/view?usp=sharing). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 588] Автор : Stranger Дата : 03.08.2018 08:48 Коллеги, у кого есть какие предложения - как назвать (какую аббревиатуру применить для) пункта меню с регулировкой мощности передатчика в режиме работы автотюнера? Основной регулятор называется TX POWER. Варианты для нового пункта - TU POWER, ATU PWR, PW MATCH например. TUNE PWR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 589] Автор : Genadi Zawidowski Дата : 03.08.2018 09:05 Есть еще кнопка "TUNE", которая просто несущую выдает без запуска автосогласования. Мне кажется, возникает запутывание... Пока рабочий вариант ATU PWR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 590] Автор : avbelnn Дата : 09.08.2018 23:18 Вариант на 4-x RD16HHF1(около 100 Вт при питании через преобразователь 12/24).В архиве схемы и платы в DipTrace,jpg.294094294095294096 https://youtu.be/hwTJSrCeW4k -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 591] Автор : Genadi Zawidowski Дата : 09.08.2018 23:38 Выложи еще архив с работающей у тебя прошивкой, на всякий случай, пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 592] Автор : avbelnn Дата : 09.08.2018 23:51 Прошивка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 593] Автор : R3DI Дата : 10.08.2018 11:19 День добрый, господа! Поскажите, у вас ФНЧ импульсники не ловит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 594] Автор : Genadi Zawidowski Дата : 10.08.2018 11:28 ФНЧ там только при передаче задействован. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 595] Автор : R3DI Дата : 10.08.2018 11:29 Именно по этой причине? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 596] Автор : Genadi Zawidowski Дата : 10.08.2018 11:33 Не понял вопрос тогда... На приеме полосовики и ФНЧ на 60 МГц на плате. Пара импульсников - питание FPGA 1.2 вольта и подсветка индикатора 28 вольт. Второй из них при отключенной антенне видно, это была проблема и вызвало необходимость изготовления нового варианта печатной платы с микросхемой APW7136CCI. В новом варианте эффект сильно (на 20-30 дБ) меньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 597] Автор : avbelnn Дата : 10.08.2018 11:40 В данной конструкции сигнал на RX через ФНЧ(который на выходе PA) не проходит,для приёмника отдельные полосовики сделаны.На передачу свой,не зависимый от приёмника фнч(после ЦАП) + фнч после PA.Помехи от импульсных преобразователей будут так или иначе,но на разных частотах и с разным уровнем.Поэтому я применил 5В преобразователь,работающий на частоте преобразования около 50 кГц.Все остальные(кроме подстветки,перенесённой под экран на отдельную плату) линейные стабы,за исключением 1,2В преобразователя для альтеры.(у меня применён линейный,хотя уровень помех не велик,минус есс-но доп нагрев лин.ст.) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 598] Автор : Genadi Zawidowski Дата : 10.08.2018 11:43 в моем варианте преобразователь на 1.2 вольта мне не показался таким уж заметным... avbelnn заменил его на линейный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 599] Автор : R3DI Дата : 10.08.2018 11:46 Геннадий, вот немного поясню в чем вопрос возник... Тоже решил освоить ddc. Собрал на основе модуля 1, с 14бит ацп, потом добавил увч на gali3 порядка 20дБ, получил нижнюю планку порядка -107дб, и все ровно и гладко на спектре везде, но подключая фнч на кольцах (плата ум+фнч) начинает ловить импульсники (... на ваших (у avbelinn, может еще у кого есть) фото увидел фнч и синий импулсник вот и возник вопрос по этой ситуации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 600] Автор : Genadi Zawidowski Дата : 10.08.2018 11:49 Калиброванные измерения - шум RMS в полосе 500 герц на -137 дБмВт. И над этим уровнем например может возвышаться на 30 дБ работающий преобразователь, занимая полосу частот около 20-30 кГц. Вот так это выглядит... Структура в данном радио LTC6401-20 и LTC2208/LTC2217 - схема от Hermes -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 601] Автор : R3DI Дата : 10.08.2018 11:54 Возможно это когда плату одну над другой ставлю, такой корпус. Не точно написал, вывожу параметр дб/Гц, -107дб/Гц (за 0 брал 50мВ rms на входе) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 602] Автор : Genadi Zawidowski Дата : 10.08.2018 12:47 Добавляем к вашему измерению -13 - итого -120. Вот так выглядит помеха от преобразователя подсветки. На антенном разъеме 50 ом. 294122 Вторая гармоника. 294124 Преобразователь 1.2 вольта. 294123 Ни одна из помех не видна, если заглушка на входе УВЧ (после полосовиков). т.е., на питание АЦП/УВЧ не наводится заметного уровня. Добавлено через 10 минут(ы): Вот еще помеха от USB 294125 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 603] Автор : R3DI Дата : 10.08.2018 12:59 Вот еще помеха от USB В смысле при подключении кабеля ? А защелка на кабеле хорошая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 604] Автор : avbelnn Дата : 10.08.2018 13:01 Помеха от 5В преобразователя.294126294127 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 605] Автор : Genadi Zawidowski Дата : 10.08.2018 13:25 В смысле при подключении кабеля ? А защелка на кабеле хорошая? Все скриншоты были сняты при подключенном USB. Защелка есть, но на дальнем от трансивера конце кабеля. Помеха только в районе 12 МГц и гармоник. на 6 МГц как ни странно нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 606] Автор : R3DI Дата : 10.08.2018 13:29 Помеха от 5В преобразователя если эта помеха только там, то и вряд ли она помешает :super:. Еще хотел поинтересоваться - как справляется ДПФ? На моем QTH вещалки прут за +50. ( Прошу меня извинить, если мои вопросы являются офтопом, есть вопросы но не знаю в какой более подходящей теме спросить компетентного мнения ) Защелка есть, но на дальнем от трансивера конце кабеля Геннадий, где-то в "столе" нешел защелку которая половинется, просто "нацепив" на кабель - не то, а вот сделав петлю из USB кабеля вокруг нее - результат сильно отличался, не пробовали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 607] Автор : Genadi Zawidowski Дата : 10.08.2018 13:30 Нет, сейчас нет возможности с USB кабелем экспериментировать. ДПФ может помочь например от средневолновых вещалок или от 31 метровых при приеме на 28 МГц... Он и помогает. Кстати, вот АЧХ. Помогает в строгом соответствии со своими характеристиками. 294128 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 608] Автор : avbelnn Дата : 10.08.2018 13:48 нешел защелку которая половинется У меня именно такая и установлена(на фото видно),применял их в запорном дросселе(5 штук) антенны city windom намотав 2-3 вит RG58,замеры показали 35-40ДБ ослабления по оплётке.Для USB очень сильно сказывается развязка по экрану,применение гальваноразвязки на м/с ADUM мне показалось лучшим вариантом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 609] Автор : R3DI Дата : 10.08.2018 14:13 Genadi Zawidowski, смотрю на фильтра в RFSim99, они рассчитаны на 200 Ом порт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 610] Автор : Genadi Zawidowski Дата : 10.08.2018 14:21 Да, идея подсмотрена в FT-450. Вот все модели и проектные файлы Nuhertz, которыми делалось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 611] Автор : R3DI Дата : 10.08.2018 14:25 Позволяет уменьшить потери на коммутацию? Ого файликов, Геннадий , когда Вы только все это успеваете ... еще и кучу других проектов вести ? :super: ( наверное у Вас есть машина времени 8-) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 612] Автор : Genadi Zawidowski Дата : 10.08.2018 14:31 И потери на коммутацию с p-i-n диодами и более доступные номиналы индуктивностей. Еще раз-АЧХ снята с работающего экземпляра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 613] Автор : Genadi Zawidowski Дата : 10.08.2018 16:48 есть машина времени Неа… Просто компьютер. Дома. Кровать напротив стола (в кадр не попала (https://music.yandex.ru/album/3113823/track/6024175)). На езду по городу время не тратится. 294140 Фото старое. Немного поменялся набор стоящего на столе - но площадь фиксированная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 614] Автор : alex_m Дата : 10.08.2018 17:22 Ого файликов, Геннадий , когда Вы только все это успеваете ... еще и кучу других проектов вести ? :super: ( наверное у Вас есть машина времени 8-) ) я тоже удивляюсь, как Геннадий столько всего успевает делать :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 615] Автор : avbelnn Дата : 11.08.2018 16:56 Небольшое видео работы тюнера в этом трансивере.Мощность 100Вт.При настройке преобразователь выключается,PA питается от 12В и плюс в меню пункт ATU_PWR задаёт мощность при настройке.Так же есть "ручной режим",позволяет установить L и C непосредственно из под меню. https://youtu.be/qDuHt-5YrSI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 616] Автор : rolin Дата : 11.08.2018 23:09 avbelnn, тюнер очень быстро работает, но непонятно с какого КСВ на какой настраивает. Там шкала 1-9 если желтым светит, то это 1-9 КСВ, а если зеленым, то это 1.1-1.9 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 617] Автор : Genadi Zawidowski Дата : 11.08.2018 23:59 Желтым - только шкала 1..4 - это КСВ. На приеме во всю ширину отображается S-метр (1..9 это баллы без плюсов). Вот тут https://youtu.be/qDuHt-5YrSI?t=136 моменты переключения прием-передача. Повторю просьбу к avbelnn - не снижать напряжение питания оконечника при настройке, достаточно того что уменьшатся уровень с ЦАП - я думаю, это причина того, что лучше полутора-двух не получается. На съемках версии для SW20xx (https://www.youtube.com/watch?v=q7Mub8Vyfpk)гораздо качественнее согласовывало. И посмотреть, не улучшится ли качество согласования при увеличении времени на шаг до 25 мс например. тюнер очень быстро работает, Одна из причин - не линейный перебор параметров реактивных элементов тюнера, а "логарифмическрй", что-ли. На больших емкостях/индуктивностях младшие разряды не меняются. Скажем так - при разработке алгоритма точность приносилась в жертву скорости. Добавлено через 5 минут(ы): сейчас у меня вот так формируются сигналы для перемножителей. Кто знает параметры того, что в Module1 стоит? 294237 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 618] Автор : rolin Дата : 12.08.2018 00:26 Одна из причин - не линейный перебор параметров реактивных элементов тюнера, а "логарифмическрй ", что-ли. На больших емкостях/индуктивностях младшие разряды не меняются. Скажем так - при разработке алгоритма точность приносилась в жертву скорости. Мой алгоритм гораздо сложнее, но он не работает от этого быстрее. Надо будет добавить этот логарифмический перебор. Кто знает параметры того, что в Module1 стоит? Там кордик, компактный приемник от VE3NEA, которые использовались в 8-ми приемниковой прошивке для Гермеса специально для работы со скиммером. Это лучший компактный приемник, который я где-либо встречал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 619] Автор : EU1SW Дата : 12.08.2018 00:44 сейчас у меня вот так формируются сигналы для перемножителей. Кто знает параметры того, что в Module1 стоит? Геннадий, на 14 битном АЦП шумовая дорожка расположена на уровне не хуже -153 дбфс/гц А у вас на 30 дБ хуже. Мы с Владимиром RX3QFM в результате пришли к сильно другим выводам, насчет компактных приемников, насчет разрядности кордиков/NCO. В общем 24 уже сильно лучше, а если места достаточно для 26 - то вообще отлично -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 620] Автор : Genadi Zawidowski Дата : 12.08.2018 00:50 В общем 24 уже сильно лучше, а если места достаточно для 26 - то вообще отлично О каком параметре CORDIC речь идет? Поставлю в симулятор квартуса и посмотрим... Кстати, какие есть онлайн тулзы для прогнозирования зависимости спуров от параметров CORDIC? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 621] Автор : R3DI Дата : 12.08.2018 01:02 Подскажите, что за параметр "дбфс" и если не затруднит, как вычисляется -153 дбфс/гц для 14 бит ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 622] Автор : rolin Дата : 12.08.2018 01:03 Genadi Zawidowski, параметры кордика в Модуле 1 input 16 bit output = 22 bit extra bit = 5 (spur reduction) NCO freq register = 32 bit NCO phase register = 32 bit Покажите результат симуляции если не сложно. Также рекомендую сравнить занимаемый обьем синтезированного кордика и кордика из Модуля 1 , скорее всего нет смысла тратить время на это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 623] Автор : Genadi Zawidowski Дата : 12.08.2018 01:47 input 16 bit Какое отношение к генерации сигнала имеет этот провод? В верилог я заглядывал, числа разглядел. Пока увидел что FTW округляют до 24 бит. Добавлено через 37 минут(ы): Как я понял, во всех наших радио самописный CORDIC одинаковый? Какой уровень спуров в районе частот 2..10 МГц при передаче несущей например на 27 МГц d ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 624] Автор : EU1SW Дата : 12.08.2018 10:31 каком параметре CORDIC речь идет Внутренняя разрядность = входная разрядность+экстрабит+1 Кстати, какие есть онлайн тулзы для прогнозирования зависимости спуров от параметров CORDIC? Без понятия... Мы ставили прямые эксперименты прямо на рабочем железе. Вместо АЦП - программная сущность, генерирующая отсчеты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 625] Автор : Genadi Zawidowski Дата : 12.08.2018 10:57 Спуры можно увидеть просто в режиме передачи CW на выходе ЦАП. В квартусовском "превью" для NCO при равных параметрах при использовании в качестве угол-в-синус преобразователя CORDIC более шумный спектр прогнозируется... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 626] Автор : EU1SW Дата : 12.08.2018 11:09 Геннадий, как предлагаете разделять спуры ЦАП и спуры кордика в режиме передачи? Или интересует только общий результат? В квартусовском "превью" для NCO при равных параметрах при использовании в качестве угол-в-синус преобразователя CORDIC более шумный спектр прогнозируется... Я посчитал достигнутый уровень шума кордика, иллюстрация на скрине, достаточным для того, что бы не очень сильно ухудшать характеристики АЦП, для использования в качественном приемнике ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 627] Автор : EU1SW Дата : 12.08.2018 12:13 что за параметр "дбфс" и если не затруднит, как вычисляется -153 дбфс/гц для 14 бит ? поскольку явно оффтопик, личку написал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 628] Автор : Genadi Zawidowski Дата : 12.08.2018 12:56 Я посчитал достигнутый уровень шума кордика, иллюстрация на скрине, достаточным для того, что бы не очень сильно ухудшать характеристики АЦП, для использования в качественном приемнике ) Вполне. Я так понимаю - это и применено в Ваших аппаратах в соседних темах? Попробую встроить в свой проект. Ссылку на актуальные исходники можете дать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 629] Автор : EU1SW Дата : 12.08.2018 12:59 Да, в последних публикациях, но та всякий случай уточню, речь о приемниках, с TX кордиком не экспериментировал особо, из явного ничего не запомнилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 630] Автор : Genadi Zawidowski Дата : 12.08.2018 13:11 Обновление от 10.02.2018 Hermes_Lite_22k_CW_sidetone_fix192_cwkey_input_tune_out_powe r_control.zip Это оно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 631] Автор : SVd2004 Дата : 12.08.2018 13:35 Это оно? http://www.cqham.ru/forum/attachment.php?attachmentid=285981&d=1522599911 (Hermes_Lite_HF_IF.zip) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 632] Автор : EU1SW Дата : 12.08.2018 13:49 Геннадий, ответил в личке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 633] Автор : Genadi Zawidowski Дата : 31.08.2018 14:49 На всякий случай, проект мини-аиста сюда выложу. Добавлено через 39 минут(ы): Новости в проекте: Возможно применение R820T как конвертора. Имеется перемычка перед входом АЦП (можно с конверторов подавать сигнал) для коммутации реле. На FPGA предусмотрен выход с формированием опорного сигнала для подачи на R820T (122.88 / 4 = 30.720 MHz), если она применяется как конвертор для частот до 1500 МГц (для получения точной настройки на частоту). Гетеродин конвертора работает в режиме целочисленного синтезатора с шагом 920 kHz, мелкий шаг обеспечивается самим приемником - что дает минимум побочных каналов и точность в настройке. Управление с I2C. Оператор просто настраивается на нужную частоту - при необходимости включается конвертор. R820T можно использовать например на плате USB "свистка". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 634] Автор : UA0YAS Дата : 31.08.2018 14:51 это только у микроАиста? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 635] Автор : Genadi Zawidowski Дата : 31.08.2018 14:55 К сожалению, в твоей версии что с двумя USB выход тактовой с FPGA не предусмотрен, можно попробовать вывести через один из сигналов на которых светодиоды припаяны... Или просто поделить счетчиком Джонсона на одном корпусе 74LVC47A. Или поднять от земли вывод 85 FPGA (сторона ближе к генератору). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 636] Автор : SVd2004 Дата : 31.08.2018 15:06 Возможно применение R820T как конвертора. Интересно... Если оно было, в каком то виде... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 637] Автор : Genadi Zawidowski Дата : 31.08.2018 15:09 Берется "свисток", лишнее сдувается... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 638] Автор : SVd2004 Дата : 31.08.2018 15:12 лишнее сдувается... Это для пионЭров... Лучше, когда платка, схема подключения и гарантия работы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 639] Автор : UA0YAS Дата : 31.08.2018 15:15 кстати, а перегрузка свитка при работе с полноценными антеннами- это в тракте до 820 происходит? Это сдуется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 640] Автор : SVd2004 Дата : 31.08.2018 15:16 Берется "свисток" Там же ещё и фильтры надо? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 641] Автор : Genadi Zawidowski Дата : 31.08.2018 15:18 Микросхемы закуплены, программа отлажена. перегрузка свитка при работе с полноценными антеннами- это в тракте до 820 происходит? В микросхеме R820T есть регулируемые каскады, не задирать усиление и все хорошо будет... Как при работе она себя ведет в свистке - так и у меня, скорее всего. Там же ещё и фильтры надо? То что в свистке есть оно и будет - фильтр там встроенный. Подавление зеркального канала (в стороне примерно на 10 МГц) сделано фазовым методом. Ставить батарею ПАВ и LC фильтров от Мюраты это кто как хочет, мне только этого не хватало... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 642] Автор : Genadi Zawidowski Дата : 31.08.2018 16:38 Не Мюраты а Минисёкетс… пины для управления предусмотрены. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 643] Автор : SVd2004 Дата : 01.09.2018 08:37 То что в свистке есть оно и будет - фильтр там встроенный. Интересно и так, Аист с приёмником от 50кГц до 1.5ГГц. А разводку под фильтры, возможно предусмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 644] Автор : Genadi Zawidowski Дата : 16.09.2018 18:03 пррверил прием wfm , выкинув из прошивки передатчик и второй приемник. на время работы в этом режиме отключается панорама. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 645] Автор : Genadi Zawidowski Дата : 17.09.2018 14:02 Еще народное творчество... 296614 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 646] Автор : Genadi Zawidowski Дата : 18.09.2018 11:52 Ввожу "профили" обработки сигнала перед модулятором. Есть сомнения, надо ли вносить в переключаемый целиком набор параметров (ограничение, параметры АРУ и т.д. ) еще и эти: выбор источника сигнала (микрофон, USB, двутональный сигнал, шум) - хотя, встроенные генераторы сигнала это скорее должно отдельно от всего. включение предусилителя сигнала микрофона (MIK BUST) - вместе с регулировкой усиления это под параметры микрофона - а не их оьбработка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 647] Автор : RV3DLX Дата : 18.09.2018 19:50 В одной из своих конструкций решил применить ДПФ разработанные Геннадием для Аиста. Заказал и получил индуктивности и конденсаторы, Развел отдельную платочку диапазонных фильтров и прежде чем запаивать элементы, решил все проверить на макете (для этого сделал отдельные маленькие платки, с той же топологией, что будет на плате с шестью фильтрами). Снял частотные характеристики двух фильтров (картинки под названием lpf2_smd и lpf6_smd). Видно, что у высокочастотного фильтра потери в полосе прозрачности около 2,5дБ. Потом попробовал заменить две SMD индуктивности (1,8uH) на индуктивности намотанные на колечках Т37-6, получилась более красивая характеристика и меньшее затухание в полосе прозрачности (картинка lpf6_ring). Вопрос: будет ли заметная разница в работе реального устройства с фильтром на SMD индуктивностях, или на фильтрах с колечками? Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 648] Автор : Genadi Zawidowski Дата : 18.09.2018 19:55 этот фильтр очень зависит от добротночти послелователтных индуктивнрстей. да лучше. а мржнр уднать какие именно типы вы заказали? nlv32 или более крупные? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 649] Автор : RV3DLX Дата : 18.09.2018 20:00 Геннадий, здравствуйте! Я заказал индуктивности по спецификации, что Вы привели для Аиста. Мне понравились Ваши фильтры тем, что довольно технологичная конструкция получается (хотя и не дешевая). Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 650] Автор : Genadi Zawidowski Дата : 18.09.2018 20:05 значит покрупнее... кльца улучшат. но если у вас получилось менее 3 децибел... получать 2 с большим трудом стоит? единственный критерий затухание. интермоды не измерял, но фильир широкополосный, детали крупные... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 651] Автор : RV3DLX Дата : 18.09.2018 20:19 Я испытаю эти макеты в уже готовой моей конструкции Hermes-lite из китайских модулей (трансивер работает пока без фильтров). Думаю этот фильтр на 10-ти метровом диапазоне не сильно уменьшит чувствительность, но уберет лишние палки от вещалок, которые появляются в вечернее время. Затухание в более низкочастотных фильтрах наверно не так страшно, там чувствительности с избытком. Запаяю все фильтры и тогда выложу их характеристики. Но на двух фильтрах видно, что характеристики очень похожи на те, что выкладывали Вы Геннадий. Правда потери у меня чуть меньше получились. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 652] Автор : Genadi Zawidowski Дата : 18.09.2018 20:45 я снимал парамеиры еще со входнм фнч и фвч. потму и потери н дацибел у меня побольше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 653] Автор : avbelnn Дата : 18.09.2018 20:48 200 Ом? Я тоже про согласование спросил... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 654] Автор : Genadi Zawidowski Дата : 18.09.2018 20:50 кстати, какие трансфорсаторы поставили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 655] Автор : RV3DLX Дата : 18.09.2018 20:58 Отвечая на вопрос про согласование: естественно на входе и выходе стоят трансформаторы. Геннадий, трансформаторы не фирменные, а самодельные, намотаны на ферритовых колечках (кстати, у меня есть малюсенькие фирменные трансформаторы 1:4, тип которых не помню уже, так вот самодельные трансфрматоры заметно лучше и по АЧХ и по КСВ). Да, ФНЧ и ФВЧ у меня нет, да наверно и не буду ставить в этой своей конструкции. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 656] Автор : Genadi Zawidowski Дата : 18.09.2018 21:08 кстати, какие трансфорсаторы поставили? ФНч он для 50 мгц нужен. Фвч для облегчения работы пин диодов. И трансформатрров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 657] Автор : RV3DLX Дата : 18.09.2018 21:16 кстати, какие трансфорсаторы поставили? На испытуемом макете самодельные, да и в окончательном варианте их и поставлю. Вот такой макетик. видно какие трансформаторы. Сейчас последовательные индуктивности на кольцах. В моих условиях ФВЧ совсем не нужен, вблизи нет станций средних и длинных волн. ФНЧ есть в составе трансивера перед АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 658] Автор : Stranger Дата : 19.09.2018 11:37 Ввожу "профили" обработки сигнала перед модулятором. Есть сомнения, надо ли вносить в переключаемый целиком набор параметров (ограничение, параметры АРУ и т.д. ) еще и эти: выбор источника сигнала (микрофон, USB, двутональный сигнал, шум) - хотя, встроенные генераторы сигнала это скорее должно отдельно от всего. Источник - должен быть привязан к режиму, если профили привязываются к режимам работы и предусмотрены отдельные режимы модуляции для "цифры" - то стоит микрофон и USB занести в профили, чтобы, например, при работе "цифрой" включался USB, а при SSB - микрофон. А генераторы - таки лучше отдельно, чуть ли не в "инженерное меню" спрятать :D включение предусилителя сигнала микрофона (MIK BUST) - вместе с регулировкой усиления это под параметры микрофона - а не их оьбработка? Скорей всего - да, ибо потребность в предусилителе - это "железячная" характеристика микрофона, которую может понадобиться менять только при подключении другого микрофона. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 659] Автор : Genadi Zawidowski Дата : 19.09.2018 18:01 Народное творчество. UT3NZ/A ВАСИЛИЙ УМ от SW-2016 296710 Внутренности позже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 660] Автор : Genadi Zawidowski Дата : 20.09.2018 09:42 Внутренности. 296724 296725 296726 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 661] Автор : R3LDA Дата : 20.09.2018 11:01 Внутренности. Xoрошо и оптимально сделано. Лишний раз убеждаюсь, что самый личший корпус получается если использовать шасси и кожух состоящий из 2х П-образных половинок.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 662] Автор : Genadi Zawidowski Дата : 23.09.2018 12:06 Доработки (не относятся к сигналу/качеству приема): Меню: При работе с меню добавлена строка с названием группы, всегда находящаяся на экране. Сделано для поддержки множества подменю с одинаковыми наборами параметров - например для микрофонных профилей (еще нет), АРУ разных режимов работы. 296875 296876 Подкорректировано USB: Все три аудиоустройства (прием звука, передача звука, получение спектра) теперь представляют из себя различные USB AUDIO устройства. Раньше прием и передача звука были разными потоками в одном устройстве. Сделано для устранения взаимовлияния в некоторых программах (HDSDR например) и для того, чтобы можно было при необходимости проще отключать не требующиеся устройства в конфигурации (например, в варианте ТОЛЬКО ПРИЕМНИК можно просто избавиться от устройства вывода звука на модулятор, а не модифицировать конфигурацию двунаправленного устройства до однонаправленного). Для пользователей с Windows 7 подкорректированный .INF файл, в котором для CAT и выделенного RTS/DTR порта сделаны разные устройства. Так же удалил зависимость serial number USB устройства от уникального идентификатора процессора - при смене разных экземпляров трансивера на одном компьютере не слетает конфигурация. Это скорее я о себе позаботился. Чтобы не возникло конфликта с ранее использовавшейся прошивкой в опознавании устройства компьютером, изменен USB DEICE PRODUCT ID. Так что один раз настроить обновившимся пользователям придется... Добавлено через 36 минут(ы): Немного плотнее расположил строчки на индикаторе - можно больше одновременно отображаемых состояний вывести. 296880 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 663] Автор : Genadi Zawidowski Дата : 23.09.2018 13:50 Пока такой макет. Не макет без плашек на месте отсутствующего текста. 296884 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 664] Автор : Genadi Zawidowski Дата : 25.09.2018 12:06 Продолжаем дневник программиста. Разметка на спектре. 296994 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 665] Автор : Genadi Zawidowski Дата : 01.10.2018 13:55 Дневник программиста: Исправлена давно сидевшая ошибка - теперь при двойном приеме могут быть установлены режимы с разной боковой и разной полосой пропускания (полоса пропускания привязана к режиму, т.е. USB/LSB или телефон и телеграф). АМ и FM тоже допустимы в комбинациях. К режимам A/B, B/A, A/A и B/B добавлен A&B - в оба канала (уха) выдается сумма сигналов с двух демодуляторов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 666] Автор : Дата : 01.10.2018 17:19 режимы с разной боковой и разной полосой пропускания (полоса пропускания привязана к режиму, т.е. USB/LSB или телефон и телеграф). АМ и FM тоже допустимы в комбинациях. К режимам A/B, B/A, A/A и B/B добавлен A&B - в оба канала (уха) выдается сумма сигналов с двух демодуляторов. Геннадий, прием А+В с разных диапазонов не работат, только внутри диапазона. Кстати, не отображается день недели, только часы, иногда важно, когда в полях, но это такое :smile: . Зум будет? Шумподавитель на чм так и не нашел, есть ли он? Есть еще функция отключения дисплея, sleeptim, но отключается только звук, я думал дисплей полностью будет черным. Ночью когда сплю в рубке с трансивером, звук нужен, а вот дисплей нет накрываю полотенцем чтоб не светил:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 667] Автор : Genadi Zawidowski Дата : 01.10.2018 17:27 Напомню границы полосовиков: [1] 1.60MHz-2.66MHz [2] 2.66MHz-4.42MHz [3] 4.42MHz-7.34MHz [4] 7.34MHz-12.20MHz [5] 12.20MHz-20.28MHz [6] 20.28MHz-33.70MHz [7] 33.70MHz-56.00MHz Если далеко от края (полосы с запасом) - то разумеется "B" будет приниматься тише. "обхода" как в SunSDR2 - с отключением полосовиков у меня нет. Зум будет, сейчас думаю про то как все функции типа notch и zoom удобно на дополнителтном валкодере разместить.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 668] Автор : Дата : 01.10.2018 17:44 Если далеко от края (полосы с запасом) - то разумеется "B" будет приниматься тише.Точно, я забыл что все через полосовики завязано, тогда все, вопрос сниматеся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 669] Автор : Genadi Zawidowski Дата : 01.10.2018 17:48 функция отключения дисплея, sleeptim, но отключается только звук В Вашей версии приделать гашение подсветки дисплея можно... Но потребуется припаять резистор... и правленная программа. Геннадий, прием А+В с разных диапазонов не работат Так у Вас вроде еще прошивка без всего что я сегодня рассказал тут? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 670] Автор : Дата : 01.10.2018 18:41 Так у Вас вроде еще прошивка без всего что я сегодня рассказал тут? ну да, я давно не обновлял... А если дисплей погаснет звук будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 671] Автор : Genadi Zawidowski Дата : 01.10.2018 19:41 DIMM TIME гасит дисплей (через указанное число секунд) SLEEP TIME гасит все и звук в том числе (тут кратно пяти минутам) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 672] Автор : Дата : 01.10.2018 20:56 DIMM TIME гасит дисплей (через указанное число секунд)Попробовал нет не гасит, может у меня не все распаяно на плате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 673] Автор : Genadi Zawidowski Дата : 01.10.2018 21:09 может у меня не все распаяно на плате? Доработки потребуются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 674] Автор : EU1SW Дата : 01.10.2018 22:35 Добрый вечер! Геннадий, видел недавно Вашу ссылку на любительский ддси, не запомнил где, с канальной обработкой в фпга, там еще что то было кроме видео? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 675] Автор : Genadi Zawidowski Дата : 01.10.2018 22:52 В теме (http://www.cqham.ru/forum/showthread.php?38383-Трансивер-R0SAB) еще появилась ссылка на рассказ автора о том что там под капотом. Да и сам автор отметился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 676] Автор : EU1SW Дата : 01.10.2018 23:07 Вопрос снят. )Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 677] Автор : Genadi Zawidowski Дата : 03.10.2018 14:21 Продолжение доработок дизайна. Справа сверху - два поля: отображение названия параметра и его значения, изменение которого производит второй валкодер. Режим редактирования обозначается более ярким шрифтом. Если не выбрано ничего - второй валкодер как обычно меняет частоту "B". 297638 Пока не реализовано, просто макет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 678] Автор : RV3DLX Дата : 04.10.2018 16:17 Напомню границы полосовиков: [1] 1.60MHz-2.66MHz [2] 2.66MHz-4.42MHz [3] 4.42MHz-7.34MHz [4] 7.34MHz-12.20MHz [5] 12.20MHz-20.28MHz [6] 20.28MHz-33.70MHz Сделал для своего СДРа маленькую платочку ДПФ по данным и схеме Геннадия. На плате 6 фильтров, вот такие характеристики получились: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 679] Автор : Genadi Zawidowski Дата : 07.10.2018 04:25 Немного расширился набор доступных номиналов - попробовал рассчитать самый проблемный фильтр с другими, более подходящими параметрами. Если у Вас получится проверить в сравнении с тем, что есть сейчас - было бы здорово... Заметил, что на модели увеличение емкости в среднем параллельном контуре фильтра дает эффект выравнивания КСВ и более ровной АЧХ. Попробуйте вместо 68 пФ в том что у Вас собрано поставить 75 или накинуть 4.7 пФ параллелью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 680] Автор : RV3DLX Дата : 07.10.2018 06:42 Геннадий, добавил емкость по Вашей рекомендации, характеристика стала чуть лучше. Слушал приемник на разных диапазонах, заметный эффект на 10-ти метровом диапазоне, совершенно пропали паразитные "палки" в вечернее время, уменьшения чувствительности не заметил (без инструментальных измерений конечно). Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 681] Автор : Genadi Zawidowski Дата : 07.10.2018 12:21 А вот подавление за полосой хуже стало.. я вот смотрю на уприающийся в край экрана ВЧ скат - на 7 дБ хуже... Примерно как потеряли порядок на единичку. А это от всяких УКВ вещалок помогает (вместе с отсутствующим у Вас ФНЧ). Так что лучше ставить теоретически расчитаные номирлы. Для последнего что я выкладывал это 75 а не 82 пФ. А так красиво все с идеальными элементами! 297977 совершенно пропали паразитные "палки" в вечернее время В основном это и было целью добавления. Очень раздражают ложные сигналы. Не только на ten, на 20 метровом тоже - на спаде дневного прохождения, когда самое интересное можно услышать - а вешалки с НЧ уже в полный рост. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 682] Автор : RV3DLX Дата : 07.10.2018 13:26 Спасибо, Геннадий! Да я это заметил, все верну назад. Правда у меня ФНЧ есть, один стоит непосредственно перед АЦП, второй перед УВЧ. Да и в моей местности нет УКВ вещания, самые близкие и не очень мощные станции в 15-ти километрах. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 683] Автор : RV3DLX Дата : 07.10.2018 15:56 Поставлю в фильтре на 10-ку вот такие индуктивности (последовательные) на колечках. Характеристика лучше и затухание меньше. И на этом пока успокоюсь. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 684] Автор : Genadi Zawidowski Дата : 18.10.2018 00:51 Добавил работу со вторым валколером, как с "FUNC" или "M.SEL" Начальное состояние - второй валкодер меняет рабочую частоту (в случае включенного SPLIT - частоту второго тракта). 298696 После кратковременного нажатия - переходит в режим выбора редактируемого параметра. 298697 После повторного кратковременного нажатия - в режим редактирования параметра. Изменения значения при вращении сразу отражаются на работе - т.е., можно крутить NOTCH и сразу слышать результат, регулировать мощность передатчика или скорость электронного телеграфного ключа... Еще одно нажатие возвращает к выбору параметра. 298698 Длинное нажатие - возвращает к началу, работа как второй орган управления частотой. Состояние редактирования и выбранный для редактирования параметр сохраняются при выключениях питания. Сейчас в список редактируемых вторым валкодером параметров входят: CW SPEED TX POWER NOTCH FRQ CTCSS FRQ Пожелания принимаются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 685] Автор : Stranger Дата : 18.10.2018 09:59 Сейчас в список редактируемых вторым валкодером параметров входят: CW SPEED TX POWER NOTCH FRQ CTCSS FRQ Пожелания принимаются. SHIFT, WIDTH полосы пропускания тракта, RIT,XIT, VFO-B (не только при включенном SPLIT). И выбор параметра крайне неплохо было бы сделать не столь экстравагантным, а прямым нажатием кнопки, кнопок-то - вагон :) А то оперативность теряется. Если CW SPEED в вышеупомянутом списке - какая функциональная нагрузка осталась переменнику на XS25? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 686] Автор : UA0YAS Дата : 18.10.2018 10:13 Переменник занимает ценный вход АЦП. Его можно задействовать под какое нибудь измерение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 687] Автор : Genadi Zawidowski Дата : 18.10.2018 11:29 Если CW SPEED в вышеупомянутом списке - какая функциональная нагрузка осталась переменнику на XS25? Если программа сконфигурирована для регулировки какого-то параметра через потенциометр - в меню он не появляется. Кстати, WIDH. Когда режим работы - телеграф с вариантами узкого фильтра - полоса регулируется одним числом. В случае SSB у нас есть верхний и нижний скаты. Что крутим? Или WIDH перебирает по списку возможных для данного режима работы полос, выставленных в меню? Кстати, еще один параметр (грядет) - профиль микрофонного тракта. RIT & XIT при наличии сплита зачем? Добавлено через 53 минут(ы): Еще "подарочек" тем, кто использует дисплеи в которых нет управления подсветкой (например на видеоконтроллере EPSON) - перевод дисплея или всего трансивера в "спящий" режим убирает изображение с экрана, кроме строчки с часами... Кроме возможности зарядки смартфонов от трансивера, еще и ночник получился... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 688] Автор : Дата : 18.10.2018 12:18 Еще "подарочек" тем, кто использует дисплеи в которых нет управления подсветкой (например на видеоконтроллере EPSON) - перевод дисплея или всего трансивера в "спящий" режим убирает изображение с экрана, кроме строчки с часами... Кроме возможности зарядки смартфонов от трансивера, еще и ночник получился...То что нужно... Геннадий, а есть возможность запоминание не одной частоты вне диапазонов, а две или несколько? Будет ли функция, при включенной split, во время приема, трансивер через минуту,или несколько минут, сам менял частоту приема без нажатия кнопки? Это актуально когда нужно прослушивать частоту, на другом диапазоне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 689] Автор : Genadi Zawidowski Дата : 18.10.2018 12:58 трансивер через минуту,или несколько минут, сам менял частоту приема Пожалуй нет, такого рода функции требуют еще кучи параметров или интерфейса для из задания... Легко делается управлением от компьютера. В трансивер вводить не буду. а есть возможность запоминание не одной частоты вне диапазонов, а две или несколько? Сейчас сделано - запоминается две частоты вне любительских диапазонов, граница проходит по 32 МГц - т.е. одна выше и одна ниже... Сделать три-четыре таких зоны наверное возможно, но мне кажется начнет мешать... К сожалению это не параметр, программа в этом месте написана давно и я не очень сейчас готов этим заниматься. Кстати, если нужно частоту внутри СиБи диапазона - его можно включить в меню BAND 27. А вещательный - включить BAND BC (тогда любительские скроются). зы: если кто возьмётся за задачу - файл tc1.c, функции getnext_ham_band и getprev_ham_band, массив bandsmap. Они не только к ham относяться, это не в них определяется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 690] Автор : Дата : 18.10.2018 13:43 Кстати, отображение спектра как в спектролабе, черный фон и желтым ачх? под меню, как класика. Ну это такое...:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 691] Автор : R7KD Дата : 18.10.2018 21:03 Геннадий,очень радуют дополнения.:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 692] Автор : Genadi Zawidowski Дата : 19.10.2018 11:47 вот так выглядит экран в режиме ночника. 298777 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 693] Автор : cqdx Дата : 19.10.2018 14:17 Ещё бы позывной пользователя большими буквами Большинство любителей телевизоров любят тешить своё самолюбие когда экране позывной высвечивается при пуске или в вашем случае в режиме ожидания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 694] Автор : Genadi Zawidowski Дата : 19.10.2018 14:28 Я думаю решаемо… Поскольку большая часть пользователей сейчас уже использует кастомные варианты прошивок, добавить прошитый текст не составит особой проблемы (на латинице!). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 695] Автор : Genadi Zawidowski Дата : 20.10.2018 02:05 Характеристика лучше и затухание меньше. И на этом пока успокоюсь. Только сейчас присмотрелся... Если в последовательных и верхней цепи стоят резисторы по 120 ом, внизу должен быть на 240 = нижний ряд p-i-n диодов получает смещение через 240 ом, верхние - через последовательные 120 у трансформатора и 120 между входным и выходным параллельными контурами фильтра. понятно, они между контурами для лучшей развязки стоят. Какое питание платы? Катушки дучше тоже сильно не подмагничивать… Если 12 вольт - я бы по 220 и 430 ом поставил. Так в районе 30 мА будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 696] Автор : RV3DLX Дата : 20.10.2018 19:51 Геннадий, я поставил резисторы 120 и 220Ом (номинала 240 не было под рукой). Питание 12 Вольт при этом ток 66 миллиампер. Попробую запаять резисторы как Вы порекомендовали, думаю сопротивление открытых диодов при этом не возрастет. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 697] Автор : Genadi Zawidowski Дата : 20.10.2018 20:38 катушкам точно легче станет... 66 на оба или на каждый диод ? 30 мА катушкам еще нормально -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 698] Автор : RV3DLX Дата : 20.10.2018 21:10 66 на оба или на каждый диод ? Ток потребляемый от источника напряжением 12 Вольт при включении фильтра, значит на два диода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 699] Автор : R3LDA Дата : 22.10.2018 17:50 Genadi Zawidowski, Приветствую Геннадий! Тут файл передней панели моего 1 варианта "Аиста" в .PDF формате. Возможно кому то будет интересен:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 700] Автор : Genadi Zawidowski Дата : 03.11.2018 19:39 Обнаружил источник дискретных помех на приеме и причину некоторого (около 6..10 дБ) ухудшения чувствительности трансивера в разных участках диапазона (и на 120 кГц и на 28.5 МГц). Недавно с этим же боролся RA1AHL. Было покрытие порошковой краской под стоечками: 299782 Подогрел феном, поскреб скальпелем, потом наждачной бумагой: 299783 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 701] Автор : Genadi Zawidowski Дата : 04.11.2018 01:25 Новости в программном обеспечении: добавлена индикация перегрузки микрофонного тракта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 702] Автор : R3LDA Дата : 04.11.2018 10:05 Было покрытие порошковой краской под стоечками:Да распространённая ошибка при "малярке". Раньше тоже часто приходилось сдирать порошковую краску в районе крепления плат или соединения перегородок корпуса. Сейчас , после изготовления партии корпусов, приходится самим заклеивать такие участки специальным "высокотемпературным" пластиковым "скотчем" и только потом отдавать на покраску.. Кстати в тех местах где снял краску желательно наклеить кусочки медной самоклеящейся фольги. Она "выжмется" при установке стоечек и контакт будет лучше. Критичным соединением является даже если метал в этих местах оцинкован..:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 703] Автор : Genadi Zawidowski Дата : 04.11.2018 10:37 стоечки нержавейка вроде... в этой партии скотч был но не везде где хотелось бы... у барашка для заземления. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 704] Автор : R3LDA Дата : 04.11.2018 10:59 стоечки нержавейка вроде.. Не знаю какие у тебя, обычно они выглядят как бы из нержавейки. На самом деле если их подпилить надфилем видно что они латунные, а сверху просто покрыты никелем. Кстати у тебя похоже появилась возможность проверять твою аппаратуру на более серьёзных приборах? Замечаю раньше на многие нюансы монтажа цифровой техники ты не обращал внимания:smile: :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 705] Автор : Genadi Zawidowski Дата : 04.11.2018 11:21 не поменяялллось ничего. как и в техникн разводки. чуть больше прро отдаачуу. на контрактную сьоркку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 706] Автор : R3LDA Дата : 04.11.2018 12:17 не поменяялллось ничего. как и в техникн разводки. Понятно, я не имел ввиду разводку твоих плат. Там у тебя всегда было неплохо.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 707] Автор : Genadi Zawidowski Дата : 09.11.2018 22:12 Тем кому интересно про полосовики: в терраэлектронике удачно удалось заказать коилкрафит (https://spb.terraelectronica.ru/product/2628372)1.8 мкГн 5% в размере 1812. Пока данный тип катушек рекордсмен по добротности type Q SRF 1812CS-182XJLC 68@50MHz 190 MHz NL453232T-1R8J-PF 50@7.96MHz 60 MHz NLV32T-1R8J-PF 30@7.96MHz 80 MHz Цель получить около 3 дБ затухание в полной схеме полосовиков в диапазоне 28..29.7 МГц Добавлено через 25 минут(ы): судя по документации, катушка без сердечника, пррвод тоньше и на интересующих частотах добротность конечно ниже. но высокач частота srf вседяет надежду, что это будет не обмен шила на мыло... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 708] Автор : UT4UBK Дата : 09.11.2018 22:59 Новости в программном обеспечении: добавлена индикация перегрузки микрофонного тракта. Гена, а зачем это показывать? Разве ару не справляется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 709] Автор : Genadi Zawidowski Дата : 09.11.2018 23:09 Если перегрузили микрофонный усилитель до АЦП то АРУ уже делать нечего... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 710] Автор : Genadi Zawidowski Дата : 10.11.2018 11:44 максимум что можно в таком случае отключить mike bust в кодеке или укрутить поменьше регулятор уррвня перед микрофонным ацп. вручную.но еще раз - если с микрофона идет слишком много - об этом хотя бы надо быть в курсе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 711] Автор : UT4UBK Дата : 10.11.2018 15:14 максимум что можно в таком случае отключить mike bust в кодеке или укрутить поменьше регулятор уррвня перед микрофонным ацп. вручную.но еще раз - если с микрофона идет слишком много - об этом хотя бы надо быть в курсе. Понятно, в моем кодеке aic3100 исрользуется встроенное ару и нойс гэйтер, работают очень прилично и таких проблем не возникает. Что ты думаешь об использовании таких кодеков в новой конструкции аиста? В 3100 можно использовать встроенные наборы фильтров ( эквалайзер итд)Есть варианты пин ту пин с встроенным минидсп, на котором можно делать и запускать алгоритмы компрессии итд . Стоит копейки, на алиэкспрессе навалом. С быстрым портированием кода помогу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 712] Автор : Genadi Zawidowski Дата : 10.11.2018 15:29 эквалайзер есть и в нувотоне.в меню есть управление им. правда он сос воими пятью полосами расположился в оснрвном за нужным нам диапазоном (при 48000 кгц). ы тексасовском немнрго смущает класс d усилителя динамиков. для радиоприемного усиройства еще и с этим бороться... его можно переключить в AB? эквалайзер в программе есть где применитт в тракее... но почему бы и не попробовать... в проекте управление кодеками у каждого в своем файле... гляньте на файл nau8822.c -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 713] Автор : UT4UBK Дата : 10.11.2018 15:43 эквалайзер есть и в нувотоне.в меню есть управление им. правда он сос воими пятью полосами расположился в оснрвном за нужным нам диапазоном (при 48000 кгц). ы тексасовском немнрго смущает класс d усилителя динамиков. для радиоприемного усиройства еще и с этим бороться... его можно переключить в AB? эквалайзер в программе есть где применитт в тракее... но почему бы и не попробовать... в проекте управление кодеками у каждого в своем файле... гляньте на файл nau8822.c По пунктам 1. Класса D бояться не стоит, он только на динамик. У меня небольшой горб на 3600 , после подключения Lc фильтра 100мкгн+10 мкф пропало. Единственное замечание динамик надо подключить экранированными проводом 2. Наушники работают в нормальном линейном режиме. Очень удобное электронное переключение 3. Для микрофона несколько опцию напряжения смещения и без него. 4. В наборы фильтров можно подгрузить свои коэффициенты. Есть специальная утилита для их расчета 5. Обработка птт кнопки, которая подключается параллельно микрофону через резистор . 6. Для ару и нос гэйтера куча настроек. И по поводу семинара по адаптивным предискажениям для рпу . Я его успел прослушать. Есть готовые матлабовские тулбоксы для него, на выходе можно получить код для фпжа. Было бы интересно его пощупать в железе , если надо дам материалы. Все равно собираюсь его ковырыть глубже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 714] Автор : R7KD Дата : 10.11.2018 17:34 Геннадий с выздоровлением !!! Творческих успехов,здоровья. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 715] Автор : Genadi Zawidowski Дата : 10.11.2018 18:53 кстати по поводу смещения электретных микрофонов. все что в местных ларькак как компютерные гарнитуры продается расчитано прд пятивольтовое питание. от встроенных 3 вольт едва-едва начинают рабооать, чувствителтности ниакой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 716] Автор : UT4UBK Дата : 10.11.2018 19:16 Ну не знаю, работаю вот с этой гарнитурой https://rozetka.com.ua/tesoro_ts_a2_2_0/p19341175/ Пробовал и другие. Особой разницы, пробовал на 2.5, 3, 3,3 вольта не заметил. Другое дело, что нос гейтер нужен обязательно, тк при отсутствии сигнала уже слышны шумы усилителя, если включаешь внутренний лоопбэк -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 717] Автор : Genadi Zawidowski Дата : 10.11.2018 22:50 Вот может быть потому и слышны, что "недокормленный" микрофон не отдает положенный уровень и приходится разгонять? Когда с этим занимался, попалась вот такая картинка (ключевой момент +5 вольт): 300257 Пока не нашел ничего про это в описаниях стандарта AC97. Все кодеки и фронтенды (вроде TPA6166A2) подают на микрофон до 3 вольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 718] Автор : avbelnn Дата : 11.11.2018 09:44 Так в Аисте от 5В запитка,или это про другое?Для "наших" питание тоже разное,здесь табличку нашёл http://lib.qrz.ru/book/export/html/2919 В основном комповые все 4,5в https://asenergi.com/catalog/akustika/mikrofony-dg-ecm-em.html (https://asenergi.com/catalog/akustika/mikrofony-dg-ecm-em.html) (https://asenergi.com/catalog/akustika/mikrofony-dg-ecm-em.html) Добавлено через 11 минут(ы): В общем не всё так печально,вот datasheet для распространённого компового электретника,напряжение питания от 1,5В.Скорее определяется параметрами полевика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 719] Автор : Genadi Zawidowski Дата : 11.11.2018 09:58 Так в Аисте от 5В запитка Да, +5 фильтруется и оставшиеся приблизительно 4.6в через 4.7 килоом питают электретник. Привет пользователям Гермеса с его 2.5 вольта на электретнике и 10 мкФ разделительными конденсаторами на наушниках... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 720] Автор : Genadi Zawidowski Дата : 13.11.2018 03:06 Конфигурационные экраны для работы в WSJT (JTDX) без OmniRig и без ручного переключения в DATA MODE: 300353 ключевой момент - указать rear / data как источник звука. ps: а все-таки крутую пррграмму придумали... на 5 ватт и полтора метра вертикал европа отвечает на сороковке... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 721] Автор : Genadi Zawidowski Дата : 15.11.2018 10:49 Архив пары последних версий проекта печатной платы перед тем как начал делать с TFT 4.3" прямо с процессора. Новые проекты вот тут (http://www.cqham.ru/forum/showthread.php?38177-Аист-2). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 722] Автор : R7KD Дата : 15.11.2018 17:13 начал делать с TFT 4.3" прямо с процессора Геннадий,прогресс на глазах.Приятно такое продвижение.Удачи. 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 723] Автор : rolin Дата : 15.11.2018 19:05 Genadi Zawidowski, https://www.digikey.com/products/en/audio-products/microphones/158?k=microphone&k=&pkeyword=microphone&pv183=2705&pv183=7903&sf=0&FV=ffe0009e&quantity=&ColumnSort=0&page=1&pageSize=25 99% микрофонов могут быть запитаны от 2 Вольт. Отдельные экземпляры от 1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 724] Автор : Genadi Zawidowski Дата : 15.11.2018 19:28 Понял. Я говорил про то что изделие из ларька "гарнитура для скайпа" или "микрофон для веб конференций" изначально на 4.5 вольта (как в компьютерах) заточено. И при питании от 2.5 вольта работает едва-едва (с уменьшившийся на 20-30 дБ чувствительностью). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 725] Автор : UT4UBK Дата : 15.11.2018 23:51 Понял. Я говорил про то что изделие из ларька "гарнитура для скайпа" или "микрофон для веб конференций" изначально на 4.5 вольта (как в компьютерах) заточено. И при питании от 2.5 вольта работает едва-едва (с уменьшившийся на 20-30 дБ чувствительностью). Геннадий, добрался до компа. Кидаю рабочие сырцы для AIC3100 +микрофона (АРУ+noise gate ). Все процедуры инициализации восстанавливались по линуксовским драйверам. Здесь (http://www.ti.com/product/TLV320AIC3100/toolssoftware) - программа для расчета коэффициентов встроенных фильтров. Вообще - в них очень неплохие встроенные DSP. На старших моделях можно реализовать несложный сверхдешевый QRP трансивер. :-P Вот графический IDE для них http://www.ti.com/tool/aicpurepath_studio (http://www.ti.com/product/TLV320AIC3100/toolssoftware) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 726] Автор : Genadi Zawidowski Дата : 18.11.2018 01:19 Небольшая доработка цепей термостабилизации тока оконечного каскада. резистор 4.7 килоом (в красном прямоугольнике на снимке), стоящий последовательно с терморезистором, заменить на 5.6 килоом. Сейчас если выставить в прогретом каскаде ток 1 ампер оказывается при включении в холодном состоянии 1.2 ампера. Увеличение указанного резистора устраняет эту перерегулировку. 300642 После замены придется увеличивать смещение (потенциометр вращать вправо) до получения тока покоя 1 ампер по индикатору. Добавлено через 31 минут(ы): Немного тестирования передатчика. https://youtu.be/EOaRDjjYfbE -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 727] Автор : UT4UBK Дата : 26.11.2018 13:58 Геннадий, некоторое время назад я пересылал тебе приглашение на очень интересный вебинар по теме "Компенсация нелинейностей с помощью цифровых предыскажений DPD". Я в нем поучаствовал, думаю и тебе будет интересно посмотреть. В Аисте загрузка FPGA производится с STM32 и это очень удобно для загрузки этого блока. https://www.youtube.com/watch?v=A2x-F_aN6Bc https://www.youtube.com/watch?v=fBOb8Lebi-4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 728] Автор : UA0YAS Дата : 01.01.2019 04:11 Тестирование последней прошивки.. все работает отлично! Дяде Гене респект!! А возможен ли zoom что бы рассмотреть некачественный сигнал( спектр) одного корреспондента? https://youtu.be/oLEdBopFyCI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 729] Автор : Дата : 09.01.2019 18:15 Тестирование последней прошивки..А под какую версию платы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 730] Автор : Genadi Zawidowski Дата : 09.01.2019 18:22 То, что UA0YAS заснял - это вид на вариантах с отдельным контроллером дисплея. На "Аист-2" там более развесистое отображение. Тут же для ускорения отображения спектра (передача данных в дисплейный контроллер по SPI) он сделан двуцветным. Вот прошивка для Вашего случая ("sv3+Epson@50MHz"). Zoom вскоре будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 731] Автор : Дата : 09.01.2019 23:20 Вот прошивка для Вашего случаяЗалил только что, все норм, буду тестить, спасибо. Залез в меню и потерялся:smile: смотрится все по взрослому... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 732] Автор : R7KD Дата : 11.01.2019 00:29 Геннадий,все замечательно продвигается ! Как дела с трансивером ? Удачи. 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 733] Автор : Genadi Zawidowski Дата : 11.01.2019 04:42 Коллега UA1CEI пару дней назад сообщил, что блок усилителя мощности готов. Теперь работает. Дачь выяснил программную проблему, которую я сейчас решаю. Выглядит как повисание трансивера практически без возможности выхода из этой ситуации кнопками. Решаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 734] Автор : R7KD Дата : 11.01.2019 16:46 мощности готов Теперь ждем финал ? Геннадий,а с корпусами как дела обстоят. Genadi Zawidowski,выхода из этой ситуации кнопками. Решаю.Удачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 735] Автор : UA0YAS Дата : 11.01.2019 16:46 ,,,--,, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 736] Автор : RX3M Дата : 11.01.2019 17:05 Genadi Zawidowski, Добрый день, а окончательные данные по РА можно получить, т.е. намоточные данные, ноиналы ФНЧ и доработки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 737] Автор : RA1AGB Дата : 11.01.2019 18:11 Пока вот так. Рабочий макет. Pвых=45ватт, от 500кГц до 60МГц. Uпит=50вольт. Фото UA1CEI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 738] Автор : Genadi Zawidowski Дата : 11.01.2019 19:22 это еща один... компанион продукт для мини. а тот про которяй спрашивали - на 2*rd100 - данняе выложу свежие позже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 739] Автор : R4DZ Дата : 11.01.2019 20:27 Пока вот так. Рабочий макет. Pвых=45ватт, от 500кГц до 60МГц. Uпит=50вольт. Фото UA1CEI Мне кажется не разумно такое питание использовать в трансивере с таким УМ , есть же низковольтные транзисторы в природе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 740] Автор : Genadi Zawidowski Дата : 11.01.2019 20:43 Основное "за" +50 на полста ватт - это выходной трансформатор 1:1 (симметрирующий, мотается 50 ом кабелем) - выходная цепь широкополосная. По усилителю 2*RD100 (если будут уточнения по обвязке транзисторов, напишу): Данные фнч отсюда. http://www.ut2fw.com/node/278 Я все таки прибором проверял. АЧХ смотрел, и сопротивление антенным анализатором мерял. на 20м пришлось скинуть по 1 витку т. к. завал начинался на 14200. возможно зависит от партии колец Сейчас попробую описать изменения при монтаже платы: 1. С2 и С14 не ставить,а если поставили то удалить. 2.Аттенюатор на входе(R4, R5, R9) - убрать(заменить перемычкой) 3. Вместо резисторов R3 и R12 поставить SMD конденсаторы 1210 0.1mkF 4. Подобрать конденсаторы на обмотках входного трансформатора (у меня на первичной обмотке 39pF, на вторичной 75pF) 5. заземлить среднюю точку вторичной обмотки(из оплетки кабеля какая) 6. Вместо трансформатора поставить резисторы 51 ohm 2W.(КАК НА ФОТО) 7. Со стоков транзисторов на корпус поставить конденсаторы (у меня стоят по 2700pF) 9. Параллельно первичной обмотке выходного трансформатора поставить конденсатор (у меня 100pF) 10. На среднюю точку первичной обмотки (та что из медной трубки) выходного трансформатора подать питание (пин на плате предусмотрен) Обратите внимание на номиналы элементов стабилизатора напряжения смещения и термостабилизации С31 - нет R23, R20 - 0 ohm R25 200 ohm R26 360 ohm остальное все как на схеме 160m – T50-2, 27 turns, 0,65mm; 80m – T50-2, 20 turns, 0,71mm; 40m - T50-2, 15 turns, 0,73mm; 20m – T50-6, 11 turns, 0,73mm; 15m – T50-6, 10 turns, 0,78mm; 10m – T50-6, 8 turns, 0,78mm; 6m – diameter mandrel 6mm, 6 turns, 0,78mm; -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 741] Автор : RA1AGB Дата : 11.01.2019 20:53 Основное "за" +50 на полста ватт - это выходной трансформатор 1:1 (симметрирующий, мотается 50 ом кабелем) - выходная цепь широкополосная. Кроме того: выше Imd3 (не более -40дБ), выше коэффициент усиления каскада (применен один каскад, а в случае с питанием 13,6 вольт потребовалось бы 2 каскада, что бы получить аналогичный Ку). А выбор транзисторов на 12 вольт ОГРАНИЧЕН, практически кроме Мицубиси RD16HHF1, RD70HHF1, RD100HHF1 предложений нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 742] Автор : R4DZ Дата : 11.01.2019 21:10 появляется дополнительная потребность в стабилизаторе на 12в -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 743] Автор : Genadi Zawidowski Дата : 11.01.2019 21:16 Тут скорее речь о повышающем таком преобразователе с 12 в 50, включающемуся при передаче. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 744] Автор : R7KD Дата : 14.01.2019 19:09 преобразователе с 12 в 50 Геннадий,вот это самый приемлемый способ,лишь бы от преобразователя не было помех. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 745] Автор : uy5um Дата : 14.01.2019 22:13 Так сказано же что преобразователь включается только на передачу . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 746] Автор : Genadi Zawidowski Дата : 14.01.2019 22:48 Для Вадима Олег UA1CEI уже собрал на 2*RD100HF1 плату - там нет преобразователей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 747] Автор : ra4lch Дата : 10.02.2019 08:32 Может кому-то пригодится. Расположение некоторых СМД на плате мини АИСТа306956 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 748] Автор : Genadi Zawidowski Дата : 10.02.2019 09:58 Спасибо.... Номиналы индуктивностей преобразователя подсветки не те. И еще, я Вам посылал улучшенную схему диплексора на выходе цап передатчика. Полярности электролитов лучше пометить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 749] Автор : ra4lch Дата : 10.02.2019 22:02 Исправил. 307022 Прошу модератора удалить вкладку в 747 сообщении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 750] Автор : R4DZ Дата : 11.02.2019 10:34 307042 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 751] Автор : R7KD Дата : 11.02.2019 17:59 Вил,это эскизы,пример,или ты уже изготовил такого красавца ? Какой размер дисплея и корпуса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 752] Автор : UA1ASB Дата : 11.02.2019 18:28 to R4DZ: ошибка в наименовании трансивера. (файл Screenshot_1.png) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 753] Автор : R4DZ Дата : 11.02.2019 18:50 я заметил, уже не исправить панель готова:-| размер 105х278 мм , цвет серебристый металик , дисплей 7" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 754] Автор : R4DZ Дата : 11.02.2019 20:08 Какой размер дисплея и корпуса. Планирую в корпус от ic m700, есть вых ум и фнч ,пробовал с аистом все отлично выход более 100вт, сам трансивер отдавал 150 вт до этого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 755] Автор : R3LDA Дата : 14.02.2019 14:27 Я его вижу таким..:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 756] Автор : R4DZ Дата : 16.02.2019 18:38 Я его вижу таким.. все размеры как у автора взяты с готовой передней панели, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 757] Автор : Genadi Zawidowski Дата : 16.02.2019 18:42 Если у птички отрезать крылья... если у передней панели отрезать все кроме ушей крепления то возможно и получится вариант Александра. А вообще все что касается семи дюймов хотелось обсуждат в теме аиста 2 или еще не существующей теме аист Х -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 758] Автор : R3LDA Дата : 17.02.2019 14:58 все размеры как у автора взяты Это понятно, тоже сделал переднюю панель под дисплей 4,3" в авторском варианте исполнения. 7" размер для QRP трансивера, заявленного в заголовке темы, явный перебор. Я просто подкорректировал имеющийся у меня файл от передней панели трансивера HiQSDR чтобы посмотреть что будет вырисовываться. Однако это совсем другой аппарат со встроенным РС под управлением Windows или Linux типа МB-1..:smile::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 759] Автор : R4DZ Дата : 22.02.2019 22:41 7" размер для QRP трансивера, заявленного в заголовке темы, явный перебор. для qrp возможно большой дисплей, но в данном случае будет 100 вт, с тюнером и встроенным бп. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 760] Автор : SVd2004 Дата : 23.02.2019 07:42 Размер дисплея, определяет только комфортность для зрения одного конкретного человека. Что бы не изобретать велосипед, достаточно посмотреть на телефоны и смартфоны. Разрешение дисплея (независимо от размера) определяет количество воспроизводимой информации. Если на картинке пусто, то нет необходимости в большом разрешении. На пустом дисплее нет необходимости и в таче. Когда на дисплее много информации, есть тачь управляемые элементы, вот тогда нужно большое разрешение, а размер опять же индивидуально. Разрешение и размер уже согласовано на заводе изготовителе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 761] Автор : R4DZ Дата : 23.02.2019 12:45 применение большего дисплея вызвано не данью моде, а появлением дополнительной информацией . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 762] Автор : R3LDA Дата : 23.02.2019 14:31 R4DZ, Понятно, что при желании из QRP трансивера всегда можно сделать более мощный стационарный аппарат. Как пример берёте классически QRP аппарат типа FT-817 "привязываете" к нему вес равный 2 "кирпичам" т.е. РА тюнер и т.д. плюс ещё как миниум вес ещё 2 "кирпичей" аккумуляторов и получаете 100ваттный якобы "QRP" :smile: Любому понятно что это полный абсурд. Аргумент, что на 7" дисплее может быть больше информации довольно слабый, поскольку QRP версия Аиста прекрасно работает с РС через CAT и при желании к нему можно подключить ноут или планшет и получить все те же расширенные функции что и в стационарном трансивере. На мой взгляд Геннадий зря откликается на "хотелки" делать стационарный аппарат, это только потеря времени, стационарными девайсами сегодня мало кого удивишь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 763] Автор : RA1TEX Дата : 23.02.2019 17:10 Вопрос скорее не размере диагонали а размере аппарата. qrp не qrp... может кроме этого дисплея и нету ничего кроме валкодера и разъемы все сбоку. 7дюймов позволяет иметь удобный тач для пальцев- сосисек и детальную панораму шире полосой. правда с этими дисплеями стационарники все как телевизоры. а мобильные как планшеты становятся...наметился кризис жанра. Аппараты становятся похожими друг на друга. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 764] Автор : R4DZ Дата : 23.02.2019 18:07 Где вы увидели кризис? у всех схемотехника разная, вы хоть вникайте в тему, а то что используются дисплеи, это совсем не плохо.Авто тоже все имеют по четыре колеса, по классу отличаются друг от друга, с трансиверами таже история! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 765] Автор : R3LDA Дата : 23.02.2019 20:10 де вы увидели кризис? у всех схемотехника разная, вы хоть вникайте в тему Не понял к кому обращён Ваш "пламенный" пост..:smile: Если ко мне, Вы сами прочитайте название и главное вникните в тему.. Повторюсь она посвящена QRP трансиверу. В них на первом месте стоит их габариты, экономичность, т.е. возможность автономной работы за городом, в отпуске и т.д..:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 766] Автор : Genadi Zawidowski Дата : 23.02.2019 22:49 Попробую напомнить историю: Было множество версий Аистов, рассчитанных на подключение двухстрочинков или графических дисплеев с управлением по SPI. Платы отличались доработками системы питания, оконечника, улучшениями полосовиков. Когда со стороны все сильнее стали появляться пожелания спектр/водопад, появился Аист-2 (и аист-мини). Благодаря подоспевшему вовремя процессору STM32H743 появилась возможность отображать всякие видеоэффекты на дисплее 4.3" без ухудшения производительности DSP части. Кроме того, стало понятно что наличие такого дисплея не сказывается на приеме (помехи). Аист-X сперва появился как версия старой платы на Renesas (так же под двустрочники) - как полигон с ВОЗМОЖНЫМ подключением дисплея с большим чем 272*480 разрешением и для проверки работы с 14 битным АЦП на КВ. В свое время процессор был далеко впереди STM32F7, по производительности, сейчас уже из преимуществ пожалуй объем встроенной памяти, активная работа дисплея с которой не мешает DSP части. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 767] Автор : RA1TEX Дата : 24.02.2019 00:08 Где вы увидели кризис? у всех схемотехника разная, вы хоть вникайте в тему Уважаемый вы меня не поняли. Я имел ввиду только передние панели расположение ручек кнопок как одну из важнейших пользовательских свойств. В основном диктуется эргономикой и технической эстетикой. Может я нечетко выражаю свою мысль..но если развернуть - то большие дисплеи занимая на передних панелях большой процент площади диктуют схему расположения и количество ручек кнопок. Разработчики все больше нагружают GUI с тачем, уменьшая количество кнопок на панелях. Причем на дисплеях балансируют между количеством информации и количеством управляющих элементов. Этот баланс приводит только к одному выходу - увеличению диагонали иначе не расширить функционал не ухудшая эргономику. Так сказать это дыхание прогресса. да я отвлекся.. Почему кризис? потому что увеличивать больше 7-10 дюймов значит делать гигантский аппарат. Для самоделок под себя - все равно. Для коммерции - ниша на рынке себестоимость трудоемкость коммерческий эффект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 768] Автор : vadim_d Дата : 24.02.2019 00:10 В них на первом месте стоит их габариты, экономичность, т.е. возможность автономной работы за городом, в отпуске и т.д.. Александр, если замахнуться на 100 Ватт, то дисплей с диагональю 7 дюймов общее потребление своей подсветкой уже не сильно увеличит :). Но соглашусь, чисто формально такое решение под тему QRP не попадает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 769] Автор : R4DZ Дата : 24.02.2019 01:56 Повторюсь она посвящена QRP трансиверу. В них на первом месте стоит их габариты, экономичность, т.е. возможность автономной работы за городом, в отпуске и т.д.. Как быть с трансивером типа FT1000 с 10вт выходным УМ ? при всем желании на природу не взять! если только на авто загрузить. Советчиков много, каким должен быть QRP трансивер, думаю это будет решать автор! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 770] Автор : ra4lch Дата : 16.03.2019 17:56 Пока из Китая идет комплектация, пилю железо...309710309712 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 771] Автор : Genadi Zawidowski Дата : 16.03.2019 17:59 Сколько кварцев погибло! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 772] Автор : SVd2004 Дата : 16.03.2019 18:11 Сколько кварцев погибло! Или, они прошли модернизацию и им дали новую жизнь... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 773] Автор : Genadi Zawidowski Дата : 24.03.2019 06:37 Вслед за rx9cim (и с помощью от него) начал возню с кодеком Sipex от коллектива авторов (исходники лежат тут (https://github.com/xiph/speexdsp.git)). Первая попытка послушать что получилось. Правый канал с шумоподавлением, левый без. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 774] Автор : R4DM Дата : 24.03.2019 08:49 ra4lch, как делали надписи на передней панели? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 775] Автор : ra4lch Дата : 24.03.2019 10:40 Лазерная гравировка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 776] Автор : SVd2004 Дата : 24.03.2019 10:48 Первая попытка послушать что получилось. Правый канал с шумоподавлением, левый без. Не уловил, пробовал через динамики и наушники... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 777] Автор : Genadi Zawidowski Дата : 26.03.2019 04:16 Запустил на Renesas два независимых канала шумоподавления с окном 1024. Обработчик работает в user mode. В АМ очень прилично. Ни бульканий, ни хрипов... Запись с переклбчением режимов сделаю, но позже. Вот такое распределение памяти (с 0x20000000 находится 3 мегабайта памяти): .text 0x5daee 0x18000000 .ARM.exidx 0x8 0x1805daf0 .data 0x4adc0 0x20000000 .bss 0x92de0 0x2004ae00 .noinit 0x177a00 0x200ddbe0 т.е. осталось примерно 512 килобайт. Это 7" TFT конфигурация -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 778] Автор : SVd2004 Дата : 26.03.2019 09:39 В АМ очень прилично. Ни бульканий, ни хрипов.. Я правильно понимаю, что такое шумоподавление? В отсутствии полезного сигнала, мы не слышим эфирные шумы. Или же, это обработка полезного сигнала для лучшей разборчивости. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 779] Автор : Genadi Zawidowski Дата : 26.03.2019 09:46 Второе. В отсутствии сигналв шцмы мне не понравились. Но возможно все не так... пока не могу проверять с отулючкнием на ходу. Учтройство без кнопок. К cat еще не подключил. Хотя... шумы падают вместе с разборчивостью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 780] Автор : SVd2004 Дата : 26.03.2019 09:56 Второе. Просто я как то привык к первому, когда часами прослушиваешь эфир и он совсем не напрягает никакими шумами, естественно при этом теряется некоторая чувстительность... И только, если нужна более дальняя связь, на короткое время выключаешь шумоподавитель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 781] Автор : SVd2004 Дата : 26.03.2019 11:38 В проекте Teensy Convolution SDR есть пример подавления шумов. https://www.youtube.com/watch?v=yBgj4Gxog8o -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 782] Автор : Genadi Zawidowski Дата : 26.03.2019 13:20 Да, похоже на это. В версиях аиста на STM32H7xx до Аист-2 (т.е. со внешним дисплеем) в одноканальном варианте очень может поместиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 783] Автор : Genadi Zawidowski Дата : 26.03.2019 23:00 Вот пример... Писалось через микрофон с наушников. На второй секунде включил NR. (у speex параметр степени подавления -16 dB). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 784] Автор : Genadi Zawidowski Дата : 27.03.2019 00:04 В наборе команд Кенвуда есть подходящая команда NR; NR0; NR2; но нет управления степенью шумоподавления... 310508 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 785] Автор : Genadi Zawidowski Дата : 27.03.2019 01:31 в предыдущей записи был в компьютере на микрофоне включен шумодав… потому эффект был не такой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 786] Автор : Genadi Zawidowski Дата : 28.03.2019 18:46 А какая программа для windows умеет спектр показывать в real time, без предварительной записи как это с adobe audition требуется? Происходит переделка программы на постобработку принимаемого сигнала в частотной области, для контроля результата. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 787] Автор : LZ1AO Дата : 28.03.2019 18:59 Я пользую Visual Analyzer 64 http://www.sillanumsoft.org и SpectrumLab DL4YHF. Баловался Speex-ом примерно 8 лет назад. Его препроцесор - неплох, но если запустить и VAD - в SSB будеть лучше. Я бь ограничил подавление на примерно 10dB максимум - артефактов будет меньше. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 788] Автор : Genadi Zawidowski Дата : 28.03.2019 19:08 Огромное спасибо! То что надо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 789] Автор : Genadi Zawidowski Дата : 30.03.2019 03:11 Как и ожидалось, на препроцессор speex можно возложить коррекцию АЧХ. Пока не начисто встроился, но вот пример NOTCH. Наклон АЧХ на 10 дБ к верхней частоте диапазона - работа еще оставшегося в программе фильтра. Будет перенесено под speex. 310766 Как результат этих переделок ожидается существенное облегчение нагрузки на процессор - и более качественные фильтры НЧ. Как "плата" за это - задержка принимаемого сигнала на 60..70 мс (три буфера по 1024 сэмпла на частоте 48 кГц). Добавлено через 39 минут(ы): Еще картинка 310768 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 790] Автор : LZ1AO Дата : 30.03.2019 22:10 Генадий, В наборе команд Кенвуда есть подходящая команда NR; NR0; NR2; но нет управления степенью шумоподавления RL; не подходит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 791] Автор : Genadi Zawidowski Дата : 30.03.2019 22:14 Подходит. Не очень большое количество градаций переведем в децибелы. Кстати, похоже arcp-590 эту команду не передает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 792] Автор : LZ1AO Дата : 30.03.2019 23:08 Да Бог его знает что он передает.:-P Попрошу завтра LZ1RF посмотреть , нет у меня 590 сейчас в расположении.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 793] Автор : Genadi Zawidowski Дата : 31.03.2019 00:57 Крупная переделка проекта для поддержки Noise Reduction и с улучшениями по постобработке звука дошла до того момента, что этом можно пользоваться. Исходники на GitHub (https://github.com/ua1arn/hftrx.git), пока бранч add_equalizer_to_speex. Те у кого плата Storch V.7 (это с 4.3" индикатором, напрямую подключенным к процессору), могут пробовать. Длинное нажатие на BW переключает шумодав (индикация NR) Один из пунктов на втором валкодере NR LEVEL – регулирует степень Все так же в меню. Пока не сделано запоминание этого пункта для разных режимов работы – «глобальное». но если запустить и VAD - в SSB будеть лучше Не заметил сразу совет - попробую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 794] Автор : Дата : 31.03.2019 09:39 На моей плате заработает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 795] Автор : Genadi Zawidowski Дата : 31.03.2019 12:29 Когда я решу проблему с Вашим и подобными индикаторами (Epson) - тогда и для Вас будет прошивка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 796] Автор : Genadi Zawidowski Дата : 07.04.2019 21:52 Вопрос к читающим ветку владельцам TS-590S: Настройка уровня squelch запоминается индивидуально по каждому режиму работы (AM/SSB/FM) или одна на всех? И то же самое про NR - состояние выкл/вкл1/вкд2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 797] Автор : LZ1AO Дата : 07.04.2019 22:35 Squelch в 590 - потенциометр. Нет памяти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 798] Автор : Genadi Zawidowski Дата : 07.04.2019 22:40 А вот интересно... есть cat команда установить уровень squelch. Как оно с потенциометром взаимодействует? И остался вопрос про NR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 799] Автор : LZ1AO Дата : 07.04.2019 22:58 Не знаю как с CAT-oм вместе работают, но squelch и notch - сдвоенный потенциометр, VR951 в Display Unit, только что посмотрел схему TS590S. Запоминание NR по режимам что то не припоминаю, но не уверен да и не могу сейчас посмотреть. IMHO, лишнее ето. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 800] Автор : Kia2700d Дата : 07.04.2019 23:27 остался вопрос про NR в тс-590 NR - индивидуально по модам, но не по диапазонам сквелч - тупo потенциометром. что делает команда по CAT не знаю, у меня кабель из усб выпал .. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 801] Автор : Stranger Дата : 08.04.2019 09:42 А вот интересно... есть cat команда установить уровень squelch. Как оно с потенциометром взаимодействует? И остался вопрос про NR. Не уверен по поводу именно TS-590, но в некоторых иных аппаратах используется принцип "кто последний - тот и прав": если пришла команда по CAT - запоминается её значение, если тронул ручку потенциометра - его значение становится приоритетным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 802] Автор : R7KD Дата : 08.04.2019 19:11 Нет,в 590 не запоминает по диапазонам.Необходимо при переходе на другой диапазон устанавливать заново. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 803] Автор : Genadi Zawidowski Дата : 08.04.2019 19:18 Nr по режимам работы запоминается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 804] Автор : R7KD Дата : 08.04.2019 21:06 Nr по режимам работы запоминается? Геннадий,нет не запоминается.Здоровья,удачи. 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 805] Автор : RA9W Дата : 10.04.2019 20:49 Заголовок : STORCH *панорама 311669 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 806] Автор : Genadi Zawidowski Дата : 10.04.2019 21:02 Это с дисплеем на контроллере Epson… Старав версия, еще с разрывами в линии графика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 807] Автор : Дата : 14.04.2019 12:39 Около двух недель тестил прошивку с NR. Наверно это самая удачная версия на сегодня. Не думал, что можно слышать слабые станции с полностью вырезанными шумами эфира. Особенно на нч диапазонах, где постоянно слышен звук "жареной картошки", теперь полная тишина... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 808] Автор : UA0YAS Дата : 14.04.2019 13:15 Сделайте запись? хочу послушать перед обновлением.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 809] Автор : Дата : 14.04.2019 20:06 Держите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 810] Автор : UA0YAS Дата : 15.04.2019 11:49 Обновился. Работа шумодава впечатляет!!! Спасибо Автору!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 811] Автор : Genadi Zawidowski Дата : 15.04.2019 11:56 На всякий случай - применен кусок проекта speex (https://github.com/xiph/speexdsp.git). Показал на него Георгий RX9CIM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 812] Автор : LZ1AO Дата : 15.04.2019 14:34 http://www.cqham.ru/forum/showthread.php?31453-dsp-%E8-%E0%EB%E3%EE%F0%E8%F2%EC%FB&highlight=speex Обсуждали в 2015 :) Кстати, хорошая книга по теме - Benesty et al. , Speech Enhancements -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 813] Автор : RX3M Дата : 15.04.2019 14:43 Genadi Zawidowski, добрый день Геннадий. А на мой вариант прошивка подойдет или другую надо? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 814] Автор : Genadi Zawidowski Дата : 15.04.2019 14:49 А на мой вариант прошивка подойдет или другую надо? Вот под Вашу плату. Пока отключена SD карта (память в дефиците...). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 815] Автор : R4DZ Дата : 27.04.2019 19:27 312662 Новый Аист правда не qrp уже 100вт. Спасибо Геннадию за новый трансивер ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 816] Автор : Genadi Zawidowski Дата : 28.04.2019 00:30 Спасибо за фото! Дизайн напомнил милые сердцу 80-к годы: 312669 to all: на фотографии R4DZ (http://www.cqham.ru/forum/member.php?32207-R4DZ) Storch-X -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 817] Автор : ikSS Дата : 28.04.2019 01:39 Новый Аист Немного непривычно, под левую руку. Тем не менее реализация выглядит вполне достойно. Респект. (не обошлось без огрехов. отгадайте в каком слове на лицевой панели ошибка) Спасибо Геннадию за новый трансивер ! Присоеденяюсь к вам. Геннадию спасибо ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 818] Автор : R7KGA Дата : 28.04.2019 07:52 отгадайте в каком слове на лицевой панели ошибка) В двух как минимум ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 819] Автор : R4DZ Дата : 28.04.2019 09:44 Ошибки в грамматике, на работу трансивера не повлияли:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 820] Автор : Genadi Zawidowski Дата : 28.04.2019 12:26 Спасибо от RX3M Подошло? Работает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 821] Автор : RX3M Дата : 28.04.2019 14:06 Подошло? Работает? Геннадий, добрый день. Пока не обновлял, бытовуха и болячки навалились, чуть позже буду обновлять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 822] Автор : R7KD Дата : 29.04.2019 20:55 Новый Аист правда не qrp уже 100вт Вил,ошибки чепуха,все исправимо.А вот Геннадию,огромное спасибо за Аист.Жду :пиво::пиво::пиво:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 823] Автор : Genadi Zawidowski Дата : 04.05.2019 00:43 Олег UA1CEI запускает еще один вариант усилителя (планируется 1 мВт -> 100 Вт 1.5..50 МГц). 312946 Плата примерно 100*200 мм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 824] Автор : UN7RX Дата : 04.05.2019 02:10 В чем его отличие от других многочисленных клонов на RD? Они по моему даже выглядят как под копирку. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 825] Автор : Genadi Zawidowski Дата : 04.05.2019 03:00 Наверное в том, что под те комплектующие что у нас есть и с теми фичами что нам были нужны. Широкополосность ожидается гарантированая. Стабильность. В вч части понятно, особо ничего не сделаешь нового. Приблизительно это для работы с аистом мини. Тут расположили питание 5 вольт для трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 826] Автор : Stranger Дата : 04.05.2019 10:15 Приветствую! Только собственно усилитель? Без интерфейсных цепей к основной плате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 827] Автор : Genadi Zawidowski Дата : 04.05.2019 11:56 Разъем в верхней части платы это управление и датчик. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 828] Автор : Piter_Kor Дата : 17.05.2019 09:50 Добрый день Геннадий. Прошу уточнить, было ли обновление версии "sv3+Epson@50MHz " из поста #730 http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%C0%E8%F1%F2-(Storch)&p=1599867&viewfull=1#post1599867 с добавлением функции подавления шумов ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 829] Автор : Genadi Zawidowski Дата : 17.05.2019 10:43 Разумеется будет. Сейчас с UA3REO пытаемся заменить шумоподавитель SPEEX на менее ресурсопотребляющий LMS. Они не хуже и не лучше, LMS кажется с меньшим уровнем артефактов. Т.е. SPEEX хорошо заметно, а LMS себя не проявляет - но шумы на слух уменьшаются. Небольшая сводка по изменениям за последнее время: Многострочное меню (сколько на экране помещается с перелистыванием). Регулировка параметров "чувствительности" по вертикали спектроанализатора. Регулировка ZOOM спектроанализатора и водопада с пропорциональным увеличением разрешения (а не с крупным "зерном"). Добавлен отдельный набор параметров полосы пропускания для цифровых режимов, расширена полосы пропускания (и пределы ее регулировки). Общее изменение проекта - переход от использования своих собственных функций сигнальной обработки на CMSIS DSP. Не только в Cortex-M но и в Cortex-A9 версии Storch-X. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 830] Автор : Piter_Kor Дата : 17.05.2019 11:02 Спасибо ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 831] Автор : UT4UBK Дата : 17.05.2019 11:31 Разумеется будет. Сейчас с UA3REO пытаемся заменить шумоподавитель SPEEX на менее ресурсопотребляющий LMS. Они не хуже и не лучше, LMS кажется с меньшим уровнем артефактов. Т.е. SPEEX хорошо заметно, а LMS себя не проявляет - но шумы на слух уменьшаются. Небольшая сводка по изменениям за последнее время: Многострочное меню (сколько на экране помещается с перелистыванием). Регулировка параметров "чувствительности" по вертикали спектроанализатора. Регулировка ZOOM спектроанализатора и водопада с пропорциональным увеличением разрешения (а не с крупным "зерном"). Добавлен отдельный набор параметров полосы пропускания для цифровых режимов, расширена полосы пропускания (и пределы ее регулировки). Общее изменение проекта - переход от использования своих собственных функций сигнальной обработки на CMSIS DSP. Не только в Cortex-M но и в Cortex-A9 версии Storch-X. Геннадий, с LMS (точнее NLMS) много работал, SPEEX на порядок лучше. Сейчас работаю только со включенным SPEEX. У меня уровень шума 9 баллов, утомляемость от шума значительно уменьшается, реально стало провести QSO при очень низком СШ. Так что постарайтесь его вернуть обратно, в городских условиях - незаменимая вещь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 832] Автор : Genadi Zawidowski Дата : 17.05.2019 11:33 Так что постарайтесь его вернуть обратно, На старых платах SPEEX плохо совмещается с наличием двух приемников в трансивере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 833] Автор : UR3IQO Дата : 25.05.2019 18:29 Геннадий, с LMS (точнее NLMS) много работал, SPEEX на порядок лучше. Не знаю на порядок ли, но получше, поддерживаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 834] Автор : Genadi Zawidowski Дата : 25.05.2019 19:53 У кого какие параметры? На 48000 нормально получилось только при размере блока 1024. На 512 булькает посильнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 835] Автор : UT4UBK Дата : 25.05.2019 20:52 Децимация до 12к и размер блока 256. Зачем на 48 это обрабатывать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 836] Автор : LZ1AO Дата : 25.05.2019 21:14 У меня, хотя не в Speex и не на ARM, другое, но тоже препроцессор вокодера, обработка шла на 8к. Для одного дешевого устройства попробовали LMS, NLMS, RLS и т.д из за ограниченных ресурсов процессора, но быстро забросили ету идею. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 837] Автор : Genadi Zawidowski Дата : 25.05.2019 21:33 Зачем на 48 это обрабатывать? С выхода дециматоров & FIR в FPGA идет 48 кГц. Для обеспечения работы с широкополосными модами типа DRM. Снижать в процессоре с 48к до 12к ресурсов на FIR нету. А использовать IIR в звуковом тракте оочень не хочу. Конечно, после SPEEX там уже не до качества... Но плодить разные частоты звука тоже не очень удобно архитектурно. Самоконтроль, sidetone, выход в USB - все на одной частоте работают. Децимация до 12к и размер блока 256 Кстати то на то и вышло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 838] Автор : UT4UBK Дата : 25.05.2019 21:45 А зачем в дрм шумоподавитель? В quisk так и сделано для различных мод различный сэмплрэйт. Ну то так, хозяин барин.:-P Кстати фир дециматора на 2 там каждый второй коэффициент равен нулю, требования к памяти меньше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 839] Автор : Genadi Zawidowski Дата : 25.05.2019 22:00 В DRM шумодав не нужен... Нужно 9..12 кГц полосы перенести на 12 кГц центральную на выход. А менять произвольно sample rate на USB AUDIO устройство не может на ходу... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 840] Автор : rx9cim Дата : 25.05.2019 23:45 NLMS является по сути ФНЧ с подстройкой частоты среза. Естественно такая вариативность достаточно грубая для относительно широкополосных сигналов, для голосовых мод NLMS не сильно помогает. и Speex гораздо лучше. NLMS пригоден для узкополосных мод типа цифры и телеграфа. Но и это не лучший вариант. Я использую у себя RACE фильтр - автокорреляционный адаптивный фильтр. работает лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 841] Автор : Genadi Zawidowski Дата : 26.05.2019 00:04 Rx9cim, можешь ранжировать по качеству работы для ssb и для телеграфа отдельно разные типы фильтров без оглядки на ресурсы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 842] Автор : rx9cim Дата : 26.05.2019 00:44 В ssb: 1. Speex и подобные адаптивные спектральные шумодавы 2. RACE 3. NLMS Для CW: 1. RACE 2. NLMS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 843] Автор : Genadi Zawidowski Дата : 15.07.2019 15:01 Прошу. Не забудьте отписаться о результате. Если проблемы - стучите в скайп/вотсап/телеграм (пока есть стенд на котором проверять). Шумодав на BW длинным нажатием. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 844] Автор : Piter_Kor Дата : 16.07.2019 10:40 Спасибо, начинаю испытывать ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 845] Автор : UA0YAS Дата : 16.07.2019 11:23 Дядь Ген, а нам, а мне? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 846] Автор : Genadi Zawidowski Дата : 16.07.2019 12:11 Вот. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 847] Автор : UA0YAS Дата : 16.07.2019 13:22 Попросил не знаю что.. там новый алгоритм шумодав а? На что обращать внимание? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 848] Автор : Genadi Zawidowski Дата : 16.07.2019 13:43 Тут такое дело... На показ панорамы и водопада и шумодав даже на одном приемнике ресурсов STM32F746/STM32f767 уже не хватает. Дисплей же по SPI обновляется. В выложенном шумодава нет но нормальный ZOOM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 849] Автор : Genadi Zawidowski Дата : 17.07.2019 23:24 Поправил - один приемник и SPEEX с правильными параметрами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 850] Автор : Genadi Zawidowski Дата : 12.08.2019 23:41 делал доработку усилителя мощности... Приходящее с основной платы питание +3.3 в месте питания опоры АЦП MCP3208 превращаюсь в 3.1 - соответственно завышая все показания. Вводить калибровку еще и этого напряжения уже стало совсем лень. Решил поставить первый попавшийся стабилизатор на 3.3 вольта рядом. https://www.chipdip.ru/product/lp2950acz-3.3-nopb 318527 Снят резистор между 15 и 16 выводами АЦП и подано со стабилизатора. Резисторы делителя датчика напряжения питания стоят с допуском 1% -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 851] Автор : Genadi Zawidowski Дата : 14.08.2019 22:02 Аист-2: сделал плавное движение s-метра не только в сторону нуля, но и в плюс - убрал мельтешение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 852] Автор : Eduard_Flint Дата : 18.08.2019 20:55 Совсем недавно стал владельцем "Аист Х" aka STORCH HF DDC/DUC SDR transceiver отличный аппарат с большим набором настроек для гибкой настройки трансивера, звук просто песня! Огромное СПАСИБО Геннадию Владимировичу, за прекрасный(Отечественный) аппарат! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 853] Автор : Genadi Zawidowski Дата : 27.08.2019 22:58 Проверил спектр программного NCO (частота 700 герц, fs=48k). Две версии - выход функций arm_sin_q31 и arm_sin_cos_q31 из CMSIS DSP (с интерполяцией) и табличный (4 квадранта по 4097) без интерполяции. Победил CMSIS DSP. 319309 319310 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 854] Автор : Stranger Дата : 28.08.2019 09:20 Да, разница заметна невооруженным глазом :) Что, в общем-то, вполне ожидаемо. А как по ресурсоемкости? Интерполяция и "кушает" больше, наверное? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 855] Автор : Genadi Zawidowski Дата : 28.08.2019 09:50 Скорее всего да. Но это не массовая операция. Нужна один..три раза на такт 48 кгц. Запас есть. Изменений поведения аппарата нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 856] Автор : Stranger Дата : 29.08.2019 08:50 Да, запас - это хорошо :) А проект всё развивается и развивается, ещё раз спасибо Вам, Геннадий! Вот ещё свою "птичку" довести бы до ума... :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 857] Автор : R7KD Дата : 11.09.2019 02:29 Совсем недавно стал владельцем "Аист Х" aka STORCH HF DDC/DUC SDR transceiver отличный аппарат с большим набором настроек. Да действительно великолепный трансивер,Спасибо Геннадию ! Жду свой. :пиво: Добавлено через 10 минут(ы): Совсем недавно стал владельцем "Аист Х" aka STORCH HF DDC/DUC SDR transceiver отличный аппарат Спасибо Геннадию,тоже вот - вот жду свой.:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 858] Автор : Genadi Zawidowski Дата : 14.09.2019 19:30 Кто будет собирать - транзисторы PD55008-E на Али https://www.aliexpress.com/item/PD55008-PD55008TR-E/32825356810.html подделка. Выводы отформованы вручную, сошлифована старая маркировка. Открыл спор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 859] Автор : Genadi Zawidowski Дата : 21.09.2019 17:50 Открыл спор. На автомате (без ответов/возражений от продавца) решили в мою пользу - вернули деньги без возврата товара. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 860] Автор : rolin Дата : 21.09.2019 17:57 Genadi Zawidowski, это не подделка, а попытка восстановить старые выпаянные детали до состояния новых. Очень много сейчас в Китае такого. Есть смысл припаять и проверить, вдруг рабочие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 861] Автор : UN7RX Дата : 21.09.2019 18:04 Дэвид, думаю Гена прав, если шлифовали поверхность, 100% перемаркер. Сталкивался с этим много раз. б/у сразу видно - их облуживают в ванне и отмывают, пластик остается нетронутым. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 862] Автор : rolin Дата : 21.09.2019 18:35 UN7RX, конечно перемаркированные, но это не значит, что это какие-то другие транзисторы. Я видел много таких микросхем, которые нормально работали как им положено. В таком корпусе совсем немного наименование транзисторов, нет смысла что-то химичить. Предлагаю Геннадию запаять и опробовать их. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 863] Автор : Genadi Zawidowski Дата : 21.09.2019 18:42 Чуть меньше усиление. Т.е. пяти ватт не получить. Или ток задирать раза в полтора... В таком корпусе кроме этих 8 вт транзисторов есть еще 3 16 25 35 вт. Каждый выпускается в вариантах с формоваными и с прямыми выводами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 864] Автор : Genadi Zawidowski Дата : 21.11.2019 05:27 Победил CMSIS DSP. CMSIS наносит ответный удар. Выяснилось, что косинусоидальная компонента квадратурного NCO на базе arm_sin_cos_q31 содержит много спуров. Пока применил раздельные arm_sin_q31 и arm_cos_q31 Надо авторам баг писать. (https://github.com/ARM-software/CMSIS_5/issues/744).. 324431 324432 Проявлялось как "борода" на сигнале (даже после отлключния speex). Исправления в проект внес. Шумодав так же починил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 865] Автор : Genadi Zawidowski Дата : 17.03.2020 22:31 На Украину посылка поехала... Транзисторы для оконечников приползли "на волах", но приползли в пятницу. 331556 ps: прошу прощения, какая из тем именно интересует? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 866] Автор : Piter_Kor Дата : 08.04.2020 20:23 Геннадий, Добрый день. Скажите пожалуйста, есть ли прогресс в продвижении платы PA http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%C0%E8%F1%F2-(Storch)&p=1507270&viewfull=1#post1507270 Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 867] Автор : Genadi Zawidowski Дата : 08.04.2020 22:05 Та плата (оконечный усилитель без согласующего устройства) разработана и изготовлена Олегом UA1CEI в количестве четырех или пяти штук. Насколько я знаю, есть еще один рабочий экземпляр, собирал R4DZ. Вот сейчас последние имеющиеся платы кончатся и ничего не будет мешать делать вариант от Александра US4IJR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 868] Автор : Piter_Kor Дата : 08.04.2020 22:21 Написал на почту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 869] Автор : Piter_Kor Дата : 26.05.2020 13:13 Добрый день Геннадий ! Скажите пожалуйста, как правильней подключить дополнительный широкополосный УВЧ 1. перед антенным входом 2. или после преселектора используя технологический разъем перед LTC6401 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 870] Автор : Genadi Zawidowski Дата : 26.05.2020 14:12 Конечно перед антенным входом. Правда у меня есть сомнения что это необходимо, если только это не часть активной антенны. А если ощущается нехватка чувствительности, возможно просто требуется ремонт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 871] Автор : Piter_Kor Дата : 26.05.2020 15:13 Используемая антенна типа "пол-веревки" (Hi) ! Спасибо ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 872] Автор : Genadi Zawidowski Дата : 03.06.2020 16:31 Коллега Олег UA1CEI из подручных материалов (макеты УМ и тюнера, старая плата трансивера c STM32F746 (первый мини-АИСТ), индикаторный блок ПАВЛИН с контроллером EPSON и 4.3" дисплеем от PSP-1000, бывший вольтметр разобранный на корпус) сделал красивый трансивер. За фальшпанель tnx R4DZ: 336875 336876 336877 336878 Короче антиквариат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 873] Автор : Sysert Дата : 03.06.2020 18:28 Симпатичный дизайн. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 874] Автор : Stranger Дата : 04.06.2020 21:58 Короче антиквариат. Ну, может оно и антиквариат, но выглядит - вполне себе прилично :) Мне вот свой антиквариат - дай, Боже, хотя бы до такого состояния довести когда-нибудь :crazy: А платы УМ и ФНЧ/тюнера как-то даже и не выглядят макетами, очень даже смахивает на платы заводского изготовления. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 875] Автор : Genadi Zawidowski Дата : 08.09.2020 14:03 На данный момент доработки: FFT сделано "с перекрытием". Несколько увеличилась скорость и улучшилась плавность водопада (на 157-м процессоре протестиовано 25 кадров в секунду, 1024 точки). Renesas работает с 512-ю точками. На нем порядка 20 кадров в секунду. ra4asn сделал показ НЧ спектра (переключается с видом с-метра). 342518 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 876] Автор : Genadi Zawidowski Дата : 19.09.2020 18:48 Немного про подключение трансивера для использования с компьютернымипрограммами цифровых мод. При подключении аудиоустройства появляются, но становятся "скытыми". Чтобы была возможность их включить, правой кнопкой мыши на списке устройств включаем показ скрытых и отключенных: 343113 Затем на двух закладках включаем все, что относится к Аисту. Устройтвом по умолчанию делать его не надо, верните на то, что было до этого в системе - микрофон и динамики (или наушники). А то вотсап/телеграм/скайп перестанут работать с голосом (кое где можно указать явно с чем работать, но в общем так). 343114 343115 После этого в WSJT-X выбрать так: 343116 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 877] Автор : Voevoda73 Дата : 19.09.2020 21:01 Аналогично в Маламуте, на семерке, на десятке это не нужно. Как у Аиста на Вин 10? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 878] Автор : Genadi Zawidowski Дата : 19.09.2020 21:21 Это все на десятке я снимал. Ну если аудио устройство радиоприёмник или радиопередатчик появилось не скрытым это если очень повезёт . Добавлено через 12 минут(ы): Для лучшего использования возможностей Аиста в цифровых режимах используем OmniRig c приложенным тут файлом конфигурации. Лучшее использование в том, что транствер будет автоматически переключаться в режим DGU - с расширенной полосой, ослабленными настройками АРУ и сразу с присоединением к компьютеру как источнику звука для передачи. 343121 343122 343125 343124 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 879] Автор : Genadi Zawidowski Дата : 19.09.2020 21:39 Все уже... думаю в понед-вторник (21..22 число) полетит. ----------- Некоторые версии аппарата (те что на разных STM32) позволяют одновременно со звуком передавать в трансивер панораму. Вот настройки HDSDR для просмотра (файл сохраненной конфигурации с моего компютера в приложении, возможно у Вас что-то типа имен устройств или компортов будет другим): 343126 343128 Как источник звука я использую драйвер ASIO4ALL: 343129 343130 Вот так оно разом все работает: 343131 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 880] Автор : RA4ASN Дата : 25.09.2020 16:22 Чтоб разбавить поток негатива, вот фото установленного в Аист трансвертора UR3LMZ. Опора взята 122,88 МГц от генератора платы. Пробовал подать через коаксиальный кабель - ПЛИС не стартует, интересно, почему. 343442 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 881] Автор : Genadi Zawidowski Дата : 25.09.2020 16:33 RA4ASNне стартует значит генератор 122.88 засадили... предлагаю резистор последлвательно ом 200 и керамику разделительную. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 882] Автор : Eduard_Flint Дата : 10.10.2020 05:38 Вчера был один из счастливых дней, приехала основная(усовершенствованная) плата трансивера Storch X! Установил в корпус, включил на суточный прогон, всё отлично, замечаний нет! Заметил, прошивка основательно переработана, изменился интерфейс, спектр и водопад, стали более чёткими и подробными. С появлением 16-и битного АЦП, увеличилась динамика и это хорошо заметно. В общем, новая модель Аиста как всегда удалась Геннадию Владимировичу! Аист и так был крутой "птицей", стал ещё круче!:super: ИМХО!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 883] Автор : Piter_Kor Дата : 15.12.2020 18:18 Прошу помощи. Как в HDSDR сделать одинаковыми частоты LO и TUNE ? Кликаю по споту, трансивер перестраивается , но сигнал оказывается в смещенным от нового положения маркера настройки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 884] Автор : Genadi Zawidowski Дата : 15.12.2020 19:52 Чуть выше http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%C0%E8%F1%F2-(Storch)&p=1768279&viewfull=1#post1768279 лежит файл настроек. Поможет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 885] Автор : Piter_Kor Дата : 15.12.2020 21:04 УРЯяя Заработало ! Спасибо большое ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 886] Автор : Genadi Zawidowski Дата : 29.12.2020 23:08 Странные пожелания после иных пожеланий только что... полагаю, провокация. Пожалуй, поддамся. Из проверенных продавцов есть один, которого я всем советую (и например R4DZ там же покупал) - продает RD100HHF1C (слегка обновленный вариант старого RD100HHF1). Приишли (и их удалось получить на почте!) конденсаторы для тюнеров. Адрес продавца из темы тюнера N7DDC. 348623 Новые доработанные платы передней панели. Проект, внутри монтажная схема от Nadir. 348625 Аналогичная монтажка сделана им же для основной платы на 157-м - выложу в соответствующей теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 887] Автор : Eugene163 Дата : 30.12.2020 08:13 Новые доработанные платы передней панели. Геннадий, а в чём интересно состоит доработка? Транзисторы RD100 хорошие с запасом, учитывая что в IC-7300 стоят RD70... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 888] Автор : Genadi Zawidowski Дата : 30.12.2020 08:19 Доработка в более правильном расположении разъёмов под резисторы и валкодеры, добавлен сигнал прерывания от тачпада, вырез внизу для возможности варьировать положение панели при сборке для подгонки под расположение выреза под экран в корпусе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 889] Автор : RA4ASN Дата : 30.12.2020 09:31 Жаль, что не добавлен разъем для подключения емкостных тачей на gt911. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 890] Автор : Genadi Zawidowski Дата : 30.12.2020 14:23 Даташит с оазмерами и расположением. Следующая итерация под него сделаем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 891] Автор : Piter_Kor Дата : 11.07.2023 17:38 Подскажите с Thetis можно настроить работу, на днях появился Thetis 2-10. Раньше работал только с HDSDR ? (c Thetis-ом не пробовал). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 892] Автор : Genadi Zawidowski Дата : 13.07.2023 19:40 Я не подскажу. Если есть какие-то общие моменты (установка источника звука для обработки) - должно быть по аналогии.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 893] Автор : Serg Дата : 13.07.2023 19:46 Piter_Kor, "тетрис" не работает с звуко-картовыми СДР, которые АИСТ эмулирует собой. Там нужны аппараты на протоколе Hermes. Можно попробовать устаревшую программу NAP3 - она предшественник повер-сдр-тетриса, еще под звуко-картовые варианты, надо будет только разобраться, как частоту по САТ синхронизировать. http://www.telepostinc.com/NaP3.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 894] Автор : Piter_Kor Дата : 13.07.2023 21:10 Просто я наткнулся на хвалебные отсчеты по Thetis, поставил его, но заставить работать не смог. В опциях железа там указаны только различные Ананы. Всем спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 895] Автор : romanetz Дата : 13.07.2023 21:21 Piter_Kor, "тетрис" не работает с звуко-картовыми СДР, которые АИСТ эмулирует собой. Там нужны аппараты на протоколе Hermes. Можно попробовать устаревшую программу NAP3 - она предшественник повер-сдр-тетриса, еще под звуко-картовые варианты, надо будет только разобраться, как частоту по САТ синхронизировать. http://www.telepostinc.com/NaP3.html Насколько помню, Геннадий писал поддержку сетевой карты по usb в Аисте, уж не знаю, чем закончилось (а значит, протокол hpsdr можно внедрить потом обновления прошивки). И прослойку где-то в интернете находил (или даже сам писал, по мотивам), она подключается к звуковухе и cat и эмулирует собой hpsdr локально -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 896] Автор : Serg Дата : 13.07.2023 21:46 romanetz, в соседней тем про усб-звук я намекал что пора бы уже попробовать эмулировать гермес, может Геннадий не понять или не заинтересован. А прогр. прослойка-эмулятор звуковуха-udp/tcp (с эмуляций гермема) под винду готовая - мне и сейчас была был интересна для некоторых своих экспериментов. Но пока такого не видел, может у линуксников что-то, но это не интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 897] Автор : Genadi Zawidowski Дата : 13.07.2023 22:08 не заинтересован Пока так. писал поддержку сетевой карты по usb в Аисте, уж не знаю, чем закончилось web страницку показывает, нужность такого развития не особо понятна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Downloaded from Форум CQHAM.RU (http://www.cqham.ru/forum) at 28.03.2024 16:16.