* * * Форум CQHAM.RU Тема * * * -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Тема : DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али Started at 03.07.2017 21:12 by EU1SW Visit at http://www.cqham.ru/forum/showthread.php?t=35923 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1] Автор : EU1SW Дата : 03.07.2017 21:12 Заголовок : DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али EU1SW В этой теме предлагается конструкция SDR трансивера из готовых модулей на основе исходных кодов OpenHPSDR & Hermes-Lite, протокол обмена совместим с Hermes, управляющая программа PowerSDR mRX PS, проект предоставляет функционал "один приемник с обзором 192 кГц + один передатчик" в полном дуплексе, совместим с программой HermesVNA с функционалом векторного анализатора. Основные компоненты: демоплата Altera Cyclone IV EP4CE10, демоплата AD6645 14 бит АЦП, ЦАП - 14 битный DAC904e, модуль PHY Ethernet на чипе LAN8720, из необязательных компонентов - УВЧ на BFG591, ДПФ. Для тактирования применен внешний CMOS КГ 96 МГц, который непосредственно распаян на плате АЦП и питается от собственного стабилизатора 3,3 В. FPGA PLL используется для внутреннего тактирования. Плата АЦП модифицирована, установлен антиалиас LC фильтр 35 МГц и трансформатор 1:2. Кш приемника без преампа порядка 30 дБ, с преампом на BFG591 - лучше 8 дБ. С нонейм КГ 96 МГц RMDR в 10 кГц порядка -120 дБ, IMD3 на выходе ЦАП лучше -70 дБ dBc на всех КВ диапазонах, спуры и прочий мусор - лучше -80 dBc, выход ЦАП - +5 дБм. Предусмотрен вывод демодулированного аудио непосредственно из железа, для минимизации задержек, реализация дельтасигма ЦАП, внешний кодек не нужен, наушники непосредственно с пинов ПЛИС через цепочку из ВЧ дросселя + электролит, отсечь постоянку. Распиновку лучше сразу смотреть в архиве проекта, там же и готовые файлы .sof для текущей распиновки, для постоянной прошивки нужно конвертировать в .jic Единственный нюанс с пинами, так как входом PLL могут быть только специально предназначенные для этого пины, один из них - 23-й уже занят тактовым генератором 50 МГц, но формально он не нужен, поэтому можно либо отпаять генератор и подать DRY с АЦП на этот 23-й пин, скорректировав проект, либо подать на 24-й, на обратной стороне моей платы есть площадка для второго генератора, именно на ней и выведен 24-й пин. Возможен вариант использования как 2 независимых приемника с полосой 96 кГц, с одним либо 2-мя АЦП. UPDATE 02.01.18 Добавлен код формирования огибающей CW непосредственно в FPGA Самоконтроль CW в наушниках, подключенных к FPGA Управление реле преампа от значения аттенюатора Гермес, аттенюация больше -20 выключает реле З.Ы. пины по умолчанию изменены для совместимости с платой, перепаяной на 22к ПЛИС, измените под свою распиновку Hermes_Lite_96_RXTX_CW_sidetone_10k.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=269999&d=1504452547) Hermes_Lite_22k_CW_sidetone.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=271996&d=1506926051) Обновление от 10.02.2018 Hermes_Lite_22k_CW_sidetone_fix192_cwkey_input_tune_out_power_control.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=281786&d=1518265734) Обновление для 22k Расширеные кордики RX/TX (low spurs) Фиксированный выходной рейт 192 кгц 2RX+ 1TX cwkey input - вход для вертикального ключа или датчиков CW, semi break, время задержки ptt выставляется в powersdr активное состояние - пин на массу, поэтому сразу рекомендую в целях сохранности входов ПЛИС цеплять транзисторный оптрон, а светодиод либо подтягивать к плюсу, если у вас ключ, либо выход датчика с ОК, либо соответственно на массу, если ваш датчик выдает логический уровень. Аналогично поступить и со входом ptt_in. tune output - выход индикации режима tune, в powersdr необходимо включить опцию apollo (tnx rolin) регулировка выходной мощности в режиме Гермес, будет работать как регулировка с передней панели софта, так и калибровка в сетапе по диапазонам. DAC_ALC - шимированный выход 0-3,3 вольта На выходном пине ПЛИС монтируем делитель, например 4,7 и 3 кОм, что бы привести верхнюю границу к опорному ЦАП 1,25 вольта, и фильтрующую емкость. Изменения в обвесе DAC: пин INT/EXT (16) поднять с массы и подключить к аналоговому плюсу DAC, сигнал с выхода делителя подать на референсный вход REFIN (17), вход высокоомный, дополнительная блокировка по ВЧ будет не лишняя. Не забудьте пожалуйста расставить пины согласно своего хардверного дизайна. todo: аудиокодек, медленный АЦП Обновление от 11.02.2018 Hermes_Lite_22k_CW_sidetone_fix192_cwkey_input_tune_out_power_control_WM8731_OK.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=281859&d=1518335364) Обновление для 22k (Tnx Василий UR5KIM! за тестирование и багфикс ) Теперь микрофон и наушники на борту аппаратный I2S кодек Wolfson WM8731, использовалась платка с Али наподобие такой https://ru.aliexpress.com/item/FREE-...674210328.html (https://ru.aliexpress.com/item/FREE-SHIPPING-Wm8731-module-audio-module-mcu-fpga-music/1674210328.html) требует доработки, нужно поднять 2 ножки, для перевода контрольного интерфейса в режим SPI Для совместимости параллельно оставлен текущий код дельтасигма модуляторов для наушников из предыдущих версий О доработке немного позже Пожалуйста не забывайте выставлять распиновку в соответствие со своей хардверной конфигурацией. Обновление от 16.04.2018, полный фарш от Сергея :up: http://www.cqham.ru/forum/images/misc/paperclip.png Вложения http://www.cqham.ru/forum/images/attach/zip.gif Hermes_Lite_10k_96_MHz_full.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=287137&d=1523883340) (199.6 Кб, Просмотров: 34) http://www.cqham.ru/forum/images/attach/zip.gif Hermes_Lite_22k_96_MHz.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=287138&d=1523883340) (221.2 Кб, Просмотров: 26) Апдейты для обоих плат, в версию 10К включены все последние обновления, увеличена раскачка в телеграфе, вместо отдельного выхода на наушники теперь там живет кодек, ШИМ выход на наушники пришлось убрать, ибо не компилировалось, и больше туда все... ) в обоих прошивках MCP3202 активен, название сигналов согласно схеме, проверяйте распиновку всех сигналов согласно вашему монтажу, компилируйте и наслаждайтесь отличным приемом ) Добавлено через 12 минут(ы): для того, что бы поправить, в файле hermes_lite_core.v ищем кусок текста, и соответственно убираем палки // где надо, и добавляем где не надо Код: //// w/o slowADC //assign AIN1 = 0; //assign AIN2 = 0; //assign AIN3 = 0; //assign AIN4 = 0; //assign AIN5 = 200; //assign AIN6 = 1000; //// end //// ADC78H90CIMT //Hermes_ADC ADC_SPI(.clock(pll_12288), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), // .AIN1(AIN1), .AIN2(AIN2), .AIN3(AIN3), .AIN4(AIN4), .AIN5(AIN5), .AIN6(AIN6)); //// end //// MCP3202 tnx N7DDC Angelia_ADC ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), .AIN1(AIN1), .AIN2(AIN2)); assign AIN3 = 0; assign AIN4 = 0; assign AIN5 = 200; assign AIN6 = 1000; //// end //// MCP3204 //Angelia_AD4 ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), // .AIN1(AIN1), .AIN2(AIN2)); //assign AIN3 = 0; //assign AIN4 = 0; //assign AIN5 = 200; //assign AIN6 = 1000; //// end Информация от RX3QFM Добавлен хелп по работе с Quartus (версия 15.0 Web Edition) и программированию FPGA Пост УВЧ (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1417552&viewfull=1#post1417552) Информация от UR5KIM Схемы и рисунки печатных плат модуля усилителя ВЧ приемника и модуля ЦАП передатчика. Файлы корректировались мною после изготовления плат для устранения замеченных недостатков, так что возможно чего-то не учел. Но, надеюсь, что все нормально. Схемы в spl, а печатные платы в lay6 форматах. На всякий случай прикладываю файлы схем в графическом виде, а рисунки печаток в pdf файлах. За масштаб при их распечатке не ручаюсь. В схеме DAC модуля нарисовано два варианта подключения питания аналоговых узлов микросхемы DAC904E - 3,3 Вольта или 5 Вольт. Эти цепи нарисованы штриховой линией. На печатной плате это подключение нужно сделать, запаяв соответствующую ферритовую бусину. Одновременно должна быть запаяна только одна бусина из двух, помеченных красной точкой на рисунке платы в файле формата lay6! Печатки довольно простые, но для облегчения монтажа при наведении указателя мышки на любой элемент в программе SprintLayout подсвечивается номинал этого элемента. Платы двухсторонние. Нижняя сторона сплошная фольга, которая используется как общий провод. Она зенкуется в нужных местах под выводы разъемов и перемычки, которая есть на плате усилителя. Подключение элементов к нижнему слою фольги производится короткими отрезками провода или монтажными пистонами, которые пропаиваются с двух сторон платы. При изготовлении плат способом ЛУТ рисунки нужно печатать зеркально. Подключение микросхемы ЦАП к выводам Альтеры должно соответствовать вашему варианту компиляции программы. Я указал на схеме свой. Что непонятно - спрашивайте, постараюсь ответить. DAC_Preamp_spl_lay.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=273375&d=1508591111) (162.5 Кб, Просмотров: 16) DAC_Preamp_graf.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=273376&d=1508591111) (94.5 Кб, Просмотров: 24) Готовый для повторения вариант от UR5KIM (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1454456&viewfull=1#post1454456) HL-LAN - подключение к компьютеру для начинающих. (http://www.cqham.ru/forum/attachment.php?attachmentid=274628&d=1510301478) Обновление от 11.02.2018 - доработка аудиокодека (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1497262&viewfull=1#post1497262) Полная схема соединений малосигнальной части готового варианта и описание от 14.02.18 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1498728&viewfull=1#post1498728) Модификация кода для использования аудиокодека по протоколу I2C от 4.11.18 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1578895&viewfull=1#post1578895) От RA4UKL. Update 02.01.18 Желающим собрать плату ADC самостоятельно, пост (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1474701&viewfull=1#post1474701). Схема даташитная, плата обновлена. (http://www.cqham.ru/forum/attachment.php?attachmentid=277270&d=1513715590) 6654new.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=278671&d=1515239073) Всех предупреждаю - авторские ветки на CQHAM будут модерироваться предельно жестко. Если вам что-то не нравится, вы в них просто не заходите, а за наезды на авторов будут баны вплоть до пожизненных. Никаких шуток! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2] Автор : DeXter Holland Дата : 03.07.2017 22:54 интересно взглянуть на тестовую плату -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3] Автор : EU1SW Дата : 03.07.2017 23:20 рабочий макет, ФНЧ PA на другой плате -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4] Автор : DerBear Дата : 04.07.2017 12:14 Проект компьютера для Hermes на RaPi3 + Touch : http://g0orx.blogspot.ru Думаю сюда этот проект как нельзя кстати подойдёт. Цена вопроса 3 т.р. RPi3 и примерно столько же ТАЧ-экран (https://ru.aliexpress.com/item/7-inch-Capacitive-Touch-Screen-LCD-HDMI-interface-supports-various-systems-for-Raspberry-pi2-and-pi/32663510880.html). Операционка Debian под RaPi3, крайняя версия. Программа gHPSDR. Изначально она для гермесов и ананов пишется. Загрузку в процентах не проверял, но 384кГц показывает. Проверял зимой на красной плате. Размер экрана трансивера не изменяется, т.к. оптимизирован на применение фирменного 7" тач-экрана для RaPi с разрешением 800х480 точек. http://www.cqham.ru/forum/attachment.php?attachmentid=250844&d=1482410854 http://www.cqham.ru/forum/attachment.php?attachmentid=251420&d=1483003587 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5] Автор : EU1SW Дата : 04.07.2017 13:57 крайнюю версию piHPSDR можно собрать из исходников, раскомментировав ключ RADIOBERRY, тогда он будет работать с фронтендом по SPI, не трогая езернет, значительно экономит ресурс ПЛИС, приемник с передатчиком влазят в 6К, и ожидается крайне небольшое и весьма бюджетненькое чемоданное радио ) Для большей универсальности можно вместо piHPSDR запускать софтину оригинального Radioberry, тогда это будет езернетный гермес специально для этого заказал таки на Али AD9866, для маленького "чемоданного" трансивера DDC/DUC ее перфомансу (там реально ближе к 10 битам) думаю вполне хватит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 6] Автор : EU1SW Дата : 05.07.2017 10:50 нашел вот фото, еще мартовское, вышеописаный фронтенд + распберри пи2 + 5" тачскрин 800х480 + USB звук -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 7] Автор : DerBear Дата : 05.07.2017 13:29 Это по SPI или LAN? Надо пересобирать проект? Интересует архидешовое, по по протоколу гермеса, что бы собрать такой чисто приёмник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 8] Автор : EU1SW Дата : 05.07.2017 14:13 Если интересует только прием, Ethernet и протокол Гермес, то все равно нужна плата FPGA c EP4CE10 и модули АЦП и PHY. Я запускал такое, получается 2 независимых приемника с обзором по 96кгц каждый если езернет не критичен, то достаточно и 6К ПЛИС, и тогда по SPI сразу на Малину, и надо пересобрать piHPSDR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 9] Автор : Livas60 Дата : 06.07.2017 15:21 Сергей, можно ли для тактирования АЦП использовать генератор частотой 100MHz, например такой: www.crystek.com/crystal/spec-sheets/vcxo/CVHD-950.pdf (http://www.crystek.com/crystal/spec-sheets/vcxo/CVHD-950.pdf)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 10] Автор : EU1SW Дата : 06.07.2017 16:08 Василий, формально да, я проверял тактирование от 50 МГц, но только на прием, приемник может работать от такого генератора, и от 100 МГц сможет, но дело тут в том, что 50 и, соответственно, 100 МГц нацело не делятся на 48 кГц(и кратные ей). А значения коэффициентов децимации/интерполяции могут быть только целые, по итогу ошибка невелика, 15 герц, PowerSDR работает на прием без проблем, но надо проверять в железе, заработает ли передатчик нормально без выпадений и шума внеполосного. 96 МГц удовлетворяет, 122,88 тоже, но с АЦП AD6645 это уже лотерея, заработает/незаработает... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 11] Автор : UF3K Дата : 11.07.2017 08:13 Причем, если судить по моим тестам на частотах около 122,88 МГц на трех разных платах АЦП, то скорее не заработает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 12] Автор : Livas60 Дата : 13.07.2017 08:37 Схемы УВЧ и PA чуть позже. Сергей, продолжение будет? Ждем. :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 13] Автор : Livas60 Дата : 15.07.2017 12:56 Сергей, если можно, дайте, пожалуйста, проверенную ссылку где покупали DAC904e. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 14] Автор : EU1SW Дата : 15.07.2017 16:17 я покупал 1,5 года назад, все ссылки будут не актуальны спустя столько времени... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 15] Автор : RA4UKL Дата : 16.07.2017 22:59 Я тут брал. Приехало быстро, 2 штуки: http://www.ebay.co.uk/itm/2PCSX-DAC904-DAC904E-Brand-TI-/332233621008?hash=item4d5aaabe10:g:yMIAAOSwX61ZJR9X -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 16] Автор : UF3K Дата : 17.07.2017 05:58 Схема УВЧ для приемника 272609 (http://prntscr.com/fwjv8s) IP3 выхода около 40 дБм, усиление около 16 дБ 272610 Транзистор BFG591 - недорогой и приятный. Если кому-то захочется лучше, можно Олега UR3IQO вопросами помучить, он вроде схему Нортона на нем делал, там должно быть все еще лучше примерно на 10 дБ по IP3, да и чувствительность потенциально может быть выше. Но для AD6645 и этого достаточно. При разводке площадку коллектора желательно хотя бы 15х15 мм делать - 9В, 110 мА почти Ватт рассеивается. Диод в базе для защиты от статики, аля КД522, КД514, КД509 и иже с ними. На входе желателен дроссель (защита от статики) и ФНЧ 5-го порядка с частотой среза 35 МГц. На выходе у меня ФНЧ 3-го порядка, но лучше бы 5-го. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 17] Автор : UF3K Дата : 17.07.2017 07:31 PS: Пока сам не пробовал, но подозреваю, что такой усилитель после ЦАП тоже будет вполне к месту. Усилит сигнал до 2В эфф., или 40 мВт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 18] Автор : EU1SW Дата : 17.07.2017 10:00 Была такая мысль, ЦАП вполне можно притушить, токозадающий резистор увеличить, а иначе как он у меня выдает до +5-7 дБм да еще 16 дБ усиления = 200 мВт Ну и соответственно посмотреть, что там будет по ИМД в итоге -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 19] Автор : UR3IQO Дата : 17.07.2017 11:02 можно Олега UR3IQO вопросами помучить, он вроде схему Нортона на нем делал, там должно быть все еще лучше примерно на 10 дБ по IP3, да и чувствительность потенциально может быть выше. Да, делал. Получилось +44дБм (причем возможно ограничен он был полосовиками на выходе УВЧ), Кш менее 2дБ, усиление 8.5дБ. На счет достаточного IP3o УВЧ для AD6645 - тут смотря с какой стороны посмотреть. Если исходить из IMD3 DR АЦП порядка 100дБ относительно FS (что согласно датащиту вполне реально), входной дифференциальный сигнал 2.2В, на входе трансформатор 1:9 (по сопротивлению), то выйдет максимальная входная мощность +1.3дБм. Соответственно IP3i АЦП будет +51.3дБм. Если же подойти более традиционно, то MDS без УВЧ будет где-то -120дБм, а IP3i (для DR3 100дБ относительно MDS) около +30дБм. Кстати, а по части интермодуляции третьего порядка кто-нибудь замеры делал? Особенно интересно поведение при изменении уровня тестовых сигналов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 20] Автор : EU1SW Дата : 17.07.2017 11:19 на входе трансформатор 1:9 (по сопротивлению) 1:9 по сопротивлению не пробовал, но в аппнотах от аналоговыхдевиц присутствует и такой пример поставил 1:4, потому как побоялся "завалиться" на верхах, ну и наводок нахватать на более высокоомный вход, а этого добра там как грязи осенью ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 21] Автор : UF3K Дата : 17.07.2017 11:27 Олег, делали, делали ))) Там ну очень все мутно и необычно. Дима RZ3QD на работе смотрел на нормальных приборах. Там все "плавает" на 30-40 дБ по IMD причем от разводки платы. По этой причине цифр вменяемых пока произнести неможно ((( Типа "в принципе неплохо", но топовых параметров нет. Я понимаю, насколько все это некрасиво звучит. Могу одно сказать, что усиление (УВЧ) IMD не ухудшает (в отличие от аналоговых схем), да это и в симуляторе AD видно. Когда мы будем готовы назвать какие-нибудь цифры, мы назовем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 22] Автор : EU1SW Дата : 17.07.2017 11:28 по части интермодуляции третьего порядка кто-нибудь замеры делал не, мне пока нечем, увы... Владимир, опередил ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 23] Автор : UR3IQO Дата : 17.07.2017 11:45 Владимир, спасибо за информацию! Там все "плавает" на 30-40 дБ по IMD причем от разводки платы. Кстати, а тестовые платы с АЦП сколько слоев были? P.S. Это я подумываю все не переехать ли мне на DDC, но похоже, чтобы решить нужно самому поиграться с этим делом... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 24] Автор : UF3K Дата : 17.07.2017 12:27 Олег, платы у китайцев 2-хслойные. В теории там и на 2-х слоях развести - нет особых проблем. Вот и чтобы решить - точно, именно надо самому поиграться. Нам очень понравилось, но это опять же не топовый трансивер для КВ. Идея была не про КВ (ну это у меня лично), а про много недорогих ПЧ для УКВ, и в этом применении, просто супер. Если надо серьезное КВ, то наверное нужно более серьезный АЦП, или делать ДПФ. С ДПФ, я полагаю, вообще в КВ диапазоне будет все нормально (или самодостаточно). У всех условия разные, и одно дело слушать 20 м на штырь, и совсем другое на 5 над 5-ю на высоте 40-60м. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 25] Автор : EU1SW Дата : 17.07.2017 13:29 но это опять же не топовый трансивер для КВ. Олег, Владимир, но вот по финалу, после того как разобрались с фазовыми шумами PLL FPGA, как я и писал в старт топике, у меня теперь этот же АЦП стоит с отключаемым предусилителем в 24 дБ (я BFG591 разогнал по усилению, 0 dBFS при этом равен -20 дБм) с одним ФНЧ на 35 МГц, оффсетный диполь на 80-метров, сигналы вещалок 40 метрового под -30. В этих условиях пока еще не представился случай отключать УВЧ, хотя и без него начиная от 14 МГц и ниже по частоте шум антенны превышает шум АЦП от 6 до 30 дБ, в зависимости от диапазона. А при выключенном УВЧ 0 dBFS на +4,8 дБм. MDS (500) -117/-138 дБм. КМК вполне себе выше средней температуры по палате ). совсем другое на 5 над 5-ю на высоте 40-60м. И это тоже верно! ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 26] Автор : R1AIT Дата : 18.07.2017 13:04 ...+ распберри пи2 + ...А я правильно понимаю, что и на апельсине тоже должно получиться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 27] Автор : EU1SW Дата : 18.07.2017 15:58 Добрый день, честно говоря, не имею ни малейшего понятия, что бы что либо утверждать, библиотеки, совместимость, и все такое... Я не знаком с той платформой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 28] Автор : Sinus Дата : 18.07.2017 16:21 EU1SW, можете сделать подробную инструкцию как сделать просто ддс трансивер покупая блоки на али, как соединять как шить и всё всё для самых начинающих? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 29] Автор : rx9cim Дата : 18.07.2017 17:02 Кстати, а по части интермодуляции третьего порядка кто-нибудь замеры делал? Особенно интересно поведение при изменении уровня тестовых сигналов. Олег, я делал в свое время замеры по ИМД для HiQSDRminiV2- подавал на вход приемника все как положено сигнал с двух генераторов. Получил 117дБ по блокированию, 92 дБ по интермодуляци (разнос не помню, но точно по АРРЛ, 10 или 20кГц) третьего порядка. Причем 92дБ возможно немного заниженная цифра т.к. есть подозрения что ограничения связаны с взаимомодуляцией генераторов (сумматор стоял сразу на входе генераторов, а не после аттенюатора). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 30] Автор : UR3IQO Дата : 18.07.2017 18:35 92 дБ по интермодуляци (разнос не помню, но точно по АРРЛ, 10 или 20кГц) третьего порядка. Спасибо! Но у Вас немного другой АЦП, и очень интересно было бы в силу особенностей быстрых АЦП снять зависимость уровня IMD3 от уровня испытательных сигналов. AD6645 не смотря на свою древность все же весьма приличный АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 31] Автор : EU1SW Дата : 18.07.2017 18:35 Судя по симулятору от АналогДевайсес, для ad6645 ожидаются аналогичные значения, да и даташиты у них похожи, с тексасовским... Олег, Вам может быть интересно, если не видели http://beta-tools.analog.com/virtualeval/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 32] Автор : UR3IQO Дата : 18.07.2017 18:37 Судя по симулятору от АналогДевайсес, для ad6645 ожидаются аналогичные значения Исходя из датащита можно побороться за лучшее, но есть много но... А так более 90дБ по интермодуляции получается даже с AD9236. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 33] Автор : EU1SW Дата : 18.07.2017 18:39 Ух, пока пост редактировал, уже ответили... ) я в предыдущий пост ссылку добавил ) А симулятор явно ворскейс показывает, в реале должно быть лучше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 34] Автор : Livas60 Дата : 28.07.2017 12:05 Собираюсь повторить этот проект. Для себя набросал сигнальную часть межмодульных соединений. Может будет кому-то еще интересно. Выкладываю как есть. Возможны неточности. Надеюсь, что более знающие участники форума укажут на них. Немного по поводу комплектующих. Продавец АЦП модулей долго не отправлял заказ после оплаты. На 10-ый день пришлось пообщаться с ним на повышенных тонах. Он начал рассказывать, что модули заказывает на заводе и в связи с погодой, вернее, непогодой пока невозможна доставка модулей с завода для рассылки. Тем не менее через день после общения с китайцем посылка начала отслеживаться. Микросхемы ЦАП DAC904E заказывал здесь: https://ru.aliexpress.com/item/Free-shipping-DAC904E-DAC904E-2K5-10pcs-lot-100-NEW-and-ORIGINAL/32802481079.html?spm=a2g0v.10010108.1000016.1.Yoadjc&isOrigTitle=true Честно говоря, был приятно удивлен. Через 10 дней после заказа курьерская служба бесплатно доставила посылку прямо в дом. Пришлось заказывать 10 штук, так как лотов с меньшим количеством на Али небыло. Могу поделиться по Украине с желающими повторить конструкцию. Прошу прощения за оффтоп. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 35] Автор : EU1SW Дата : 28.07.2017 12:19 Мануал по сборке проекта в Квартусе, и программированию FPGA от Владимира RX3QFM https://yadi.sk/i/SQ4lRtxR3LBuoD -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 36] Автор : Livas60 Дата : 28.07.2017 13:33 Исправил замеченные неточности, допущенные при редактировании файла: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 37] Автор : andybezh Дата : 18.08.2017 22:31 Получил при компиляции в Quartus 15.0 web такие ошибки Error (127001): Can't find Memory Initialization File or Hexadecimal (Intel-Format) File /media/andy/8FF8B66F0E3DA5F1/My Doc/circuit/Hermes_Lite_DRY_96_pll_tx_restored/coefEa.mif for ROM instance ALTSYNCRAM Error (12152): Can't elaborate user hierarchy "hermes_lite_core:hermes_lite_core_inst|receiver:receiver_inst0|firX2R2:fir3|fir256d:A|firromH:roma|altsyncram:altsyncram_component|altsyncram_k891:auto_generated" Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 2 errors, 40 warnings Error: Peak virtual memory: 1109 megabytes Error: Processing ended: Fri Aug 18 22:23:39 2017 Error: Elapsed time: 00:01:28 Error: Total CPU time (on all processors): 00:01:09 Error (293001): Quartus II Full Compilation was unsuccessful. 4 errors, 40 warnings Что я сделал не так? Заранее спасибо 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 38] Автор : EU1SW Дата : 20.08.2017 08:06 Что я сделал не так? Установить сие не представляется возможным, очевидно лишь то, что в Вашем случае квартус не находит один из файлов инициализации, а конкретно coefEa.mif Upd: вот только что скачал архив, распаковал в новую папку, нажал кнопку скомпилировать - все работает для тех, кто запускает на той же борде, с теми же пинами, специально, с самого начала, положил в архив уже скомпилированный файл прошивки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 39] Автор : Livas60 Дата : 20.08.2017 09:57 Похожую ошибку я получал под линуксом. А у Вас же линукс? Для него файлы с именами coefEa.mif и coefea.mif это не одно и тоже. После переименования coefea.mif, coefeb.mif, coeffa.mif, coeffb.mif в coefEa.mif, coefEb.mif, coefFa.mif, coefFb.mif ошибка исчезла. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 40] Автор : EU1SW Дата : 20.08.2017 11:51 Про линукс вообще не смогу ничего подсказать, сижу под окнами -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 41] Автор : Livas60 Дата : 20.08.2017 14:57 Я адресовал сообщение andybezh. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 42] Автор : EU1SW Дата : 20.08.2017 15:00 Я тоже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 43] Автор : andybezh Дата : 20.08.2017 19:56 Большое спасибо! Совсем забыл про caps в linux- переименовал и все получилось. У меня Ubuntu 16.04 сборка Skywave 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 44] Автор : EU1SW Дата : 20.08.2017 20:00 Ok, спасибо за информацию, в след. релизе обращу внимание на капс, что бы и под линукс собиралось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 45] Автор : EU1SW Дата : 03.09.2017 18:31 UPDATE Добавлен код формирования огибающей CW непосредственно в FPGA Самоконтроль CW в наушниках, подключенных к FPGA Управление реле преампа от значения аттенюатора Гермес, аттенюация больше -20 выключает реле З.Ы. пины по умолчанию изменены для совместимости с платой, перепаяной на 22к ПЛИС, измените под свою распиновку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 46] Автор : newradiofanat Дата : 03.09.2017 20:08 Напишите пожалуйста попунктно что надо купить на алиэкспрессе чтоб это собрать в железе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 47] Автор : Livas60 Дата : 04.09.2017 15:44 newradiofanat Нужны: 1. Модуль Altera. Я заказывал здесь вместе с программатором: https://ru.aliexpress.com/item/EP4CE10-altera-fpga-board-fpga-altera-board-fpga-development-board-USB-Blaster-fpga-kit-altera-kit/32812945851.html?spm=a2g0s.9042311.0.0.x8VhUR 2. Модуль АЦП: https://ru.aliexpress.com/item/1PC-14-105M-high-speed-ADC-module-data-acquisition-module/32730197994.html?spm=a2g0s.9042311.0.0.x8VhUR 3. Модуль LAN: https://ru.aliexpress.com/item/LAN8720-Ethernet-network-module-RMII-Interface-to-send-and-receive-LAN8720-ETH-Board-development-board/32454448649.html?spm=a2g0s.9042311.0.0.x8VhUR 4. Микросхема ЦАП DAC904E для реализации режима передачи: Ссылка, где я заказывал уже недоступна, но есть много других лотов с этой микросхемой. Можно заказать готовый модуль с DAC904E, например, такой, но выйдет дороже: https://ru.aliexpress.com/item/DAC-DA-module-14-bit-high-speed-digital-to-analog-converter-module-DAC904-Maximum-conversion-speed/32818154782.html?spm=a2g0v.10010108.1000014.1.38f7f731qUr8dZ&traffic_analysisId=recommend_3035_null_null_null&scm=1007.13338.80878.000000000000000&pvid=0d835f31-81f7-405d-b945-1cee14b71f7d&tpp=1 5. Плата-переходник для DAC904E: Я заказал лот в котором таких плат аж 30 штук. Может пригодятся еще куда. Есть лоты с 5-ю платами, но с оплатой за доставку. Выгоднее взять 30 штук с бесплатной доставкой. :smile: https://ru.aliexpress.com/item/30pcs-SOP28-SSOP28-to-DIP28-Pinboard-DIP-To-SMD-Adapter-0-65mm-1-27mm-to-2/32770010645.html?spm=a2g0v.10010108.1000016.1.38f7f731BC0qWo&isOrigTitle=true К сборке еще не приступал и не могу ничего сказать о качестве полученных модулей и микросхем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 48] Автор : UN7RX Дата : 10.09.2017 15:20 А можно как-то реализовать аппаратное управление? То есть, нормальную ручку настройки, кнопки для управления основными режимами и т.д? Все-таки, посмотришь на тот же Тюльпан - удобно! Да и не зря даже в уже ставший классикой SDR-1000 народ таки добавил аппаратную панель. И еще, он с компьютером (Atom, Win7) только по LAN стыкуется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 49] Автор : EU1SW Дата : 10.09.2017 19:33 Конечно! Для PowerSDR понаделали и панелек и крутелок. Даже больше скажу, эта та же панель, что и для sdr-1000 ) Ну да, LAN, в этом и был весь прикол. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 50] Автор : EU1SW Дата : 10.09.2017 20:42 Насчет LAN это с чего вдруг такие выводы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 51] Автор : EU1SW Дата : 10.09.2017 20:58 Да, так и работает, через рутер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 52] Автор : UN7RX Дата : 10.09.2017 20:59 Что-то я и впрямь совсем забыл про коммутаторы и роутеры. :oops: Протупил основательно. :ржач: Хотя в оправдание могу сказать, что просто не знаю как адресуется сам аппарат, может он сам выдает адрес, как сервер. Ну, а раз это обычный клиент, вопрос отпал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 53] Автор : EU1SW Дата : 10.09.2017 21:04 Не, он по dhcp ip адрес от рутера получает. Либо, если dhcp нет, то используется фиксированный apipa. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 54] Автор : Livas60 Дата : 11.09.2017 22:35 Нужно скачать и установить патч. https://www.altera.com/content/dam/altera-www/global/en_US/kdb/rd05272015_426/quartusii-15.0-0.01we-windows.exe -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 55] Автор : UN7RX Дата : 14.09.2017 20:13 Все выписал, пока постараюсь найти время и займусь аппаратной панелью. Есть просьба к тем кто уже собрал "в железе", выше Василий, Livas60, уже выкладывал схему межблочных соединений (tnx!), а можно чуть подробнее, вернее, полную? Или разбираться с темой о приемнике? Я имею ввиду простые вещи - где вход RХ, что за два аудиопорта, куда они идут, что означают порты userout[x] и так далее. Опа, генератор кварцевый на 96МГц забыл выписать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 56] Автор : Livas60 Дата : 14.09.2017 20:53 По порядку: Ниже привожу схему модуля ADC. На ней разъемы J6 или HEADER2 являются входом приемника. Нужно установить недостающие элементы (верхний трансформатор, L1, C1) и удалить операционник с относящимися к нему цепями. Сергей пишет, что установил по входу антиалиас LC фильтр 35 МГц. Подробности он сообщит, надеюсь. 270787 Два аудиовыхода (audio_l, audio_r) это выход на наушники. Сергей в первом сообщении темы пишет об этом. Порты userout[x] это выходы управления диапазонными фильтрами RX и TX. Конфигурируются из управляющей программы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 57] Автор : UN7RX Дата : 14.09.2017 21:25 Спасибо Василий! :beer: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 58] Автор : EU1SW Дата : 14.09.2017 21:52 Насчет крутелок, надеюсь Владимир RX3QFM не будет против анонса )Он написал для ардуины скетч, надо только залить его в дурину, припаять валкодер, и получаится внешняя панелька с подключением по USB для управления частотой по протоколу САТ для PowerSDR. Буду тестировать на выходных. А насчет ФНЧ, то я завтра дорисую на Вашем рисунке, Василий, если Вы не будете против. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 59] Автор : UN7RX Дата : 14.09.2017 22:07 По САТ довольно спорное решение, особенно при использовании дополнительных external устройств. У Николая, RA3PKJ есть преотличное решение (http://www.ra3pkj.ru/page17.shtml), в том числе для версии PowerSDR mRX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 60] Автор : Livas60 Дата : 14.09.2017 22:08 Сергей, я только за. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 61] Автор : EU1SW Дата : 14.09.2017 22:32 Тут каждый уже сам определяет оптимальность для себя ) Чем больше вариантов, тем лучше для всех. Я пока не вижу там ничего спорного. Добавлено через 17 минут(ы): Есть, кстати, вариант на распберри, там и крутелки, и тачдисплей, и компьютер вообще не нужен, на первой странице темы упоминался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 62] Автор : UF3K Дата : 15.09.2017 06:32 По САТ довольно спорное решение, особенно при использовании дополнительных external устройств. Роберт, согласен - не очень оптимально, но мое решение "для ленивых". У PowerSDR mrx 4 CAT-порта, т.ч. один можно задействовать под валкодер. Там нужно код немного "причесать", думаю, за несколько дней справлюсь. Вообще говоря, есть еще один путь - запустить на ардуино эмулятор MIDI-устройства и попробовать прикрутить к PowerSDR, но пока "в лоб" не получилось. Сергей EU1SW попросил выложить схемы и доработки, что публиковали на УКВ-портале. Схему рисовал Александр R3YA. Как получу от него разрешение, сразу выложу. Пока некоторые доработки. Добавлено через 5 минут(ы): Что "сдувать" с АЦП 270798 Что припаивать к АЦП 270799 Еще 1 конденсатор 120 pF на нижней части платы прямо на входном разъеме. Таблица соединений FPGA ( 10к или 22к), 2 АЦП, ЦАП, PHY Поскольку у FPGA 22к меньше i/o, то учтено это, и нюансы с быстрыми/медленными входами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 63] Автор : UN7RX Дата : 15.09.2017 06:59 Парни, подскажите по такому моменту. По поводу ethernet, есть чисто физическое неудобство, если собирать все в виде моноблока. Вариант на распберри и SPI пока не рассматриваю, потому что чисто визуально Windows/PowerSDR мне нравится гораздо больше аналогов да и я в свое время сильно изменил интерфейс этой программы, подогнав его по нужное мне разрешение дисплея моноблока, благо исходники доступны. Так вот, весьма неудобно подключать этакий моноблок двумя патчкордами к роутеру, фигня так еще получается. Да и вообще быть привязанным к сети не радует. Как еще можно решить вопрос подключения к компьютеру? Через преобразователь USB Ethernet никак? И еще, поскольку обработка сигнала на компьютере не происходит, требования к нему самые минимальные, как я понимаю? Просто есть множество nanoATX и прочих миниплат на том же Али, поставить что-нибудь этакое, лишь бы чипсет поддерживался Win... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 64] Автор : EU1SW Дата : 15.09.2017 08:19 И еще, поскольку обработка сигнала на компьютере не происходит, требования к нему самые минимальные, как я понимаю? Видимо неправильно понимаете. Очень даже происходит. Просто сейчас уже производительности какого нить Атома, не сильно древнего, уже может оказаться достаточно. А по поводу моноблоков сходу 2 решения могу предложить, не прибегая к разработке "нестандартных" решений. Первое - использовать мать с двумя портами Езернет, вариант - в обычную мать воткнуть USB езернет/wifi адаптер для связи с внешним миром. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 65] Автор : VFO Дата : 15.09.2017 08:25 поскольку обработка сигнала на компьютере не происходит Как это не происходит. А где же она происходит? FPGA предварительно снижает скорость потока данных до примерно соизмеримого с потоком от звуковой карты, дальше всё как обычно. P.S. Уже ответили. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 66] Автор : RZ1ZR Дата : 15.09.2017 08:28 Вообще говоря, есть еще один путь - запустить на ардуино эмулятор MIDI-устройства и попробовать прикрутить к PowerSDR, но пока "в лоб" не получилось. можно так сделать 270804 270805 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 67] Автор : UN7RX Дата : 15.09.2017 08:57 Просто сейчас уже производительности какого нить Атома, не сильно древнего, уже может оказаться достаточно. Полагаю, нечто подобного (https://ru.aliexpress.com/item/Bay-Trail-j1900-mini-itx-motherboard-dual-core-2-42Ghz-HD-MI-VGA-LAN-3-USB/32390107522.html?spm=a2g0v.search0104.3.11.s2dExW&ws_ab_test=searchweb0_0,searchweb201602_1_10152_10065_10151_10068_10344_10342_10343_10340_10341_10307_10137_10060_10155_10154_10056_10055_10054_10059_100031_10099_10338_10339_10103_10102_440_10052_10053_10142_10107_10050_10051_10170_10326_10084_10083_10080_10082_10081_10110_10111_10112_10113_10114_143_10312_10313_10314_10078_10079_10073_10125,searchweb201603_20,ppcSwitch_5&btsid=4c0bcc93-9954-4dc8-a58f-216e21e27dc9&algo_expid=abec8e33-430d-4c85-b181-6d2e716f231a-1&algo_pvid=abec8e33-430d-4c85-b181-6d2e716f231a) хватит? Первое - использовать мать с двумя портами Езернет, вариант - в обычную мать воткнуть USB езернет/wifi адаптер Ну с сетью в любом случае будет WiFi, разъем LAN свободен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 68] Автор : UF3K Дата : 15.09.2017 08:59 можно так сделать Сейчас примерно так и сделано (сервиса побольше). Но где тут MIDI? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 69] Автор : EU1SW Дата : 15.09.2017 09:00 Ну с сетью в любом случае будет WiFi, разъем LAN свободен. Ну вот!, и никаких "двух шнурков" от моноблока Но где тут MIDI? вопрос форумно риторический... ))) часто отвечают не читая тему, а только последнюю страницу/пост -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 70] Автор : UF3K Дата : 15.09.2017 09:08 Добавлено через 5 минут(ы) вопрос форумно риторический... ))) часто отвечают не читая тему, а только последнюю страницу/пост За то RZ1ZR привел хорошую библиотеку обработки энкодера. Я ее сегодня утром тоже нашел, и переписал код под нее - и учетверение нормально работает, и проблемы обмена по COM-порту решились. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 71] Автор : EU1SW Дата : 15.09.2017 09:12 у меня есть виндо планшет на атоме, я пытался на нем запустить PowerSDR + декодер JT65, с трансивером через WiFi формально оно работает, PowerSDR грузит примерно 20-40%, точно не помню... декодер ессно 100% но удовольствия такая работа точно не доставляет ))) чувствуется что тяжело ему, маленьких насиловать не хорошо ))) если просто поалекать голосом - то прокатит За то RZ1ZR привел хорошую библиотеку обработки энкодера ну, вам виднее, раз понравилось ) я ничьих заслуг не умаляю ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 72] Автор : r0sal Дата : 15.09.2017 09:28 Сбылась мечта идиота (моя), года три назад думал на тему а почему бы не сделать трансивер из отладочных плат. Сергей огромное спасибо за работу! Пойдет ли такой кварцевый генератор? https://ru.aliexpress.com/item/116MHz-96MHz-104MHz-114MHZ-160MHz-high-precision-temperature-compensation-crystal-oscillator-TCXO-0-1ppm-high-stable/32794136550.html?spm=a2g0v.search0104.3.1.9NFfaN&ws_ab_test=searchweb0_0,searchweb201602_5_5570020_10152_10065_10151_10068_5490020_10344_10342_10343_10340_10341_10307_10301_10137_10060_10155_10154_10056_10055_10054_5470020_10059_303_100031_10099_5460020_10338_10339_10103_10102_440_10169_10052_10053_10142_10107_10050_10051_5380020_10326_10084_10083_10080_10082_10081_10110_10111_10112_10113_10114_143_10312_10313_10314_5560020_10078_10079_10073_10125,searchweb201603_1,ppcSwitch_5&btsid=85e7f12b-1ae2-4622-a3bd-645a93085464&algo_expid=723fa25b-7de9-4d8c-9de2-24fe679c6826-0&algo_pvid=723fa25b-7de9-4d8c-9de2-24fe679c6826 В качестве усилителя можно такой. http://eb104.ru/internet-magazin/shirokopolosnye-usiliteli-moschnosti/shpu-10-vt-v-klasse-a/power-amplifier-sdr-1-8-160-mhz-fm-ssb-cw-digital-modes-10w Я такой себе на HiQSDR поставил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 73] Автор : r3ya Дата : 15.09.2017 11:35 r0sal. У меня работает нормально... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 74] Автор : khach Дата : 15.09.2017 12:25 Подскажите пожалуйста, проект в EP4CE6 поместится или обязательно надо EP4CE10? А то имеется платка http://www.waveshare.com/coreep4ce6.htm а 10 надо заказывать и ждать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 75] Автор : EU1SW Дата : 15.09.2017 13:11 ресурсы 10К ПЛИС использованы на 98% с другой стороны если вы попробуете закомментировать передатчик и скомплировать я отнюдь не буду против, может и влезет у меня тоже есть 6к ПЛИС, но я ее планирую по другому использовать, выход по SPI в распберри, для маленького "чемоданного" трансивера в автомобиль -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 76] Автор : UF3K Дата : 15.09.2017 13:28 Схема для 10к в Splan 7, tnx Александру R3YA. Я из нее убрал схемы УВЧ и драйвера (они на мой взгляд были там сильно не оптимальные). Про 22к я немного погорячился (под 22к подходит таблица соединений, что выкладывал выше). Пойдет ли такой кварцевый генератор? https://ru.aliexpress.com/item/116MH...2-24fe679c6826 Обратите внимание, что нужен генератор именно на 96 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 77] Автор : r0sal Дата : 15.09.2017 14:46 Там линейка кварцев, на 96 тоже есть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 78] Автор : EU1SW Дата : 15.09.2017 18:17 Полагаю, нечто подобного хватит? Так да, такого с запасом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 79] Автор : UN7RX Дата : 15.09.2017 20:29 Сбылась мечта идиота (моя), года три назад думал на тему а почему бы не сделать трансивер из отладочных плат. Сергей огромное спасибо за работу! Точная формулировка и думаю, не только ваша. :smile: Народ, подозреваю что в силу своего уникального содержимого, тема может стать архипопулярной. Сергей, EU1SW, и впрямь сделал царский подарок сообществу - не каждый день вы увидите DDC/DUC стоимостью за 80 убитых енотов, отличными параметрами и который не нуждается в кропотливой пайке SMD плат с ПЛИСами и прочей радостью. По крайней мере на нашем форуме такого соотношения "цена/качество" нет, причем, ВСЕ данные для сборки доступны. Но есть и оборотная сторона медали. Это сегодня в теме с десяток страниц и пока еще все видно и находится. Уверяю, что она очень быстро разрастется до сотен, учитывая что в развитии проекта уже принимают участие спецы в этом направлении и наверняка будет немало дополнений и изменений как от автора, так и других радиолюбителей. Посему, лучше заранее побеспокоиться о том, чтобы кто-нибудь не разбил монитор на второй сотне страниц, в поисках необходимого :killyourself: Первый пост тему будет закреплен, любым модератором, по сообщению Сергея в него будут вноситься изменения, дополнения, и прочее. Отсюда еще одна просьба. Уже есть схемы, разработки, от тех кто участвует в теме. Многие из них наверняка могут стать полноценной частью конструкции. Их тоже нужно будет добавить в первый пост, но чтобы не получалась несогласованная каша, давайте сделаем так - предложения по добавлению в любом случае озвучивает Сергей, по согласованию с авторами дополнений. И конечно не "полуфабрикаты", это должно быть уже конкретно применяемое. Пока вопрос к Сергею - новый проект в этом посту (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1434269&viewfull=1#post1434269), замена старого, в заглавном посту, или добавить к нему? По поводу схем от Владимира RX3QFM,мне кажется, нужно будет ее дополнить деталями - намоточными данными трансформаторов, схемой ФНЧ и так далее, после чего тоже войдет в первый пост. Кстати, есть еще от Василия, Livas60, вот тут (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1421109&viewfull=1#post1421109), передающая часть у него подробно нарисована. Вобщем, как с полноценной малосигнальной частью схемы определимся, добавим. Пока добавлю туда инструкцию по программированию от Владимира из этого поста (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1421078&viewfull=1#post1421078). И еще, чтобы не сильно забивать тему просто разговорами, с вашего позволения, буду удалять посты (аккуратно!!!) которые хоть и не являются флудом, но тем не менее не несут ничего полезного, например, как мои про квартус и так далее. Зато меньше будет словесной шелухи, ок? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 80] Автор : EU1SW Дата : 15.09.2017 22:17 Спасибо за добрые слова, Роберт! Согласен, но поскольку на прошивке из первого поста я сам поработал в эфире, а на "телеграфной" версии для 10к еще нет, и никто из скачавших не отписался, поэтому будет правильнее и вернее окончательно протестировать ее, а уже потом добавить в первый пост.И совершенно согласен что тему нужно периодически подчищать от "бытовухи", по возможности ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 81] Автор : UN7RX Дата : 15.09.2017 22:24 поскольку на прошивке из первого поста я сам поработал в эфире, а на "телеграфной" версии для 10к еще нет, и никто из скачавших не отписался, поэтому будет правильнее и вернее окончательно протестировать ее, а уже потом добавить в первый пост Ок, тогда как сочтете нужным, нажмите под своим постом треугольничек с восклицательным знаком и просто напишите "добавить это-то в первый пост", если нужно добавить что-то из постов ребят выкладывающих свое, то тоже самое сделайте под их постами (треугольник и инфо). Пока добавлю только хелп от Владимира и закреплю пост. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 82] Автор : UF3K Дата : 16.09.2017 05:36 Раз пошла такая пьянка... Суть в том, что все схемы аналоговых узлов моделирую в MWO, есть не первый десяток лет опыта. Обычно все этого работает (Сергей вот УВЧ повторял с модели, вроде нет проблем). Понимаю, что на форум лучше выкладывать в Splan, а у меня рисование в нем вызывает истерику (не привык), ну и времени не всегда на это есть. Может кто-то поможет с этим, возможно Александр R3YA? Я готов выдавать схемы блоков в графическом виде. Сейчас есть УВЧ приемника на BFG591 (он же - драйвер после ЦАП). Есть интересный вариант ДПФ, который до 80 м точно можно сделать без феррита, т.е. не нужны ни какие амидоновские кольца, и можно поиметь "адский" IP3, зависящий только от качества конденсаторов, (на 160 м нужны потуги моделирования и эксперимент). Добавлено через 11 минут(ы): 2 UN7RX: Распиновку лучше сразу смотреть в архиве проекта, там же и готовые файлы .sof для текущей распиновки, для постоянной прошивки нужно конвертировать в .jik Роберт, в первом сообщении темы у Сергея в процитированном предложении опечатка. Там нужно ".jik " заменить на ".jic", а то кто-нибудь голову сломает в поисках. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 83] Автор : UF3K Дата : 16.09.2017 06:53 По поводу схемы выходной части ЦАП от Василия. Насколько знаю, и опыт Сергея EU1SW, и мой, показали, что нагрузочные резисторы после ЦАП не нужны, они только уменьшают уровень выходного сигнала. Я мотал выходной трансформатор на кольце 2000НН К7х5х2 проводом 0,15 мм 17 витков, в 3 провода, примерно 3-4 скрутки на см. Полоса получилась от 100 кГц до 35 МГц (выше LPF обрезает) по уровню -1дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 84] Автор : UN7RX Дата : 16.09.2017 09:56 Сейчас есть УВЧ приемника на BFG591 (он же - драйвер после ЦАП). Есть интересный вариант ДПФ, который до 80 м точно можно сделать без феррита, т.е. не нужны ни какие амидоновские кольца, и можно поиметь "адский" IP3, зависящий только от качества конденсаторов, (на 160 м нужны потуги моделирования и эксперимент). Владимир, я думаю что обсуждению подлежат любые варианты и предложения, после обсуждений и прочего Сергей даст отмашку и готовый узел отправится в первый пост. Меня смущает несоответствие нумерации выводов в вашей схеме и схеме Василия и разночтения в их обозначении. К примеру, часть схемы с DAC и т.д. Нельзя ли это к общему знаменателю привести? Часть пинов вообще не пронумерована. Учитывая простоту предложенного Сергеем решения, будет масса народу желающего это собрать, в том числе новичков. У них подобные вещи выльются в бесконечные разовые вопросы по всей теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 85] Автор : EU1SW Дата : 16.09.2017 10:29 Василий рисовал по исходному проекту, Владимир по своему макету у нас у всех пины разные ))) так макеты спаяли ) в первой и во второй редакции тоже разные пины, правильнее пины расставлены во второй, там обойдены "медленные входы" с большой входной емкостью, что б небуферированный выход АЦП не "тормозился" отдельными битами поэтому просто рекомендую переделать распиновку под мою вторую редакцию, либо вообще под распиновку Владимира, потому что его макет единственный известный мне на текущий момент имеет 2 подключенных АЦП и ЦАП, и все работает, соответственно если использовать 10К плис - то по пинам все тоже будет правильно, просто будет что то неактивно, в зависимости какую прошивку влить, либо 2 приемника, либо трансивер это конечно реально проблема для тех, кто открыл тему первый раз, " и просто хочет собрать конструкцию" но на самом деле там никаких сложностей, пинов достаточно, нужно просто осознать концепцию, что пины могут быть почти любые, это не готовая микросхема с даташитом, а гибкая и настраиваемая система -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 86] Автор : UN7RX Дата : 16.09.2017 12:54 Сергей, на форуме масса народа кто скурпулезно заливает прошивки в микроконтроллеры и ардуины, не вдаваясь никоим образом в исходники, а вы хотите творчества в квартусе? :ржач: Хоть я понемногу пишу еще со времен ассемблера для Z80, но признаюсь, о квартусе до недавнего времени даже не слышал. Понятно, что немало народа разберется в этом, но для большинства возможность творить что угодно наоборот станет проблемой. Давайте сделаем так - возьмем за основу один из вариантов, например, предложенный Владимиром, доведем до конечной схемы со всеми обозначениями и выложим. А там уже хоть пусть экспериментируют как хотят. Владимир выложил уже в lay, вот ее дообозначить и норм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 87] Автор : EU1SW Дата : 16.09.2017 13:01 без проблем, я сконфигурирую готовую прошивку под этом вариант. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 88] Автор : Livas60 Дата : 16.09.2017 14:31 Таблица соединений FPGA ( 10к или 22к), 2 АЦП, ЦАП, PHY Поскольку у FPGA 22к меньше i/o, то учтено это, и нюансы с быстрыми/медленными входами. Владимир, а полную таблицу соединений можете привести, например, как на скрине из 2-ой версии Сергея для 22К Альтеры (можно скрины из Pin Planner): -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 89] Автор : EU1SW Дата : 16.09.2017 16:22 Я выкладывал вторую версию для 22к Альтеры??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 90] Автор : UF3K Дата : 16.09.2017 18:10 Дык, и я не выкладывал для 22к.. Епрст... У меня есть предложение. Давайте мы с Сергеем в личке договоримся о распиновке, и и будем ее в дальнейшем придерживаться? Хотя, это тоже непросто будет. ((( Спаяно у нас похоже по разному... Собственно, это вопрос к EU1SW. Сереж, как ты думаешь? Добавлено через 6 минут(ы): Владимир, а полную таблицу соединений можете привести, например, как на скрине из 2-ой версии Сергея для 22К Альтеры (можно скрины из Pin Planner): Василий, так я приводил немного ранее - файл в exel-е. Там именно под 2 АЦП и 22к. Но под 10к и 1 АЦП по ней паять не только можно, но и нужно. Только 2-й АЦП к 10к не припаивать.Соответственно прошивку привести в соответствие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 91] Автор : Livas60 Дата : 16.09.2017 18:41 Я выкладывал вторую версию для 22к Альтеры??? Прошу прощения, неточно сформулировал. Я имел ввиду, что соединения с Альтерой у Вас изменены по сравнению с первой версией для возможности применения 22К Альтеры. Василий, так я приводил немного ранее - файл в exel-е. Да, но там только соединения с АЦП, ЦАП, ЛАН и аудио выходы. Остальных соединений нет, например, userout(x), ptt. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 92] Автор : UN7RX Дата : 16.09.2017 18:42 Воооот, товарищи специалисты, а вы хотите чтобы мы с полпинка разобрались. :crazy: Сорри за флуд, конечно. Вобщем, как придете к общему согласованному решению, то и поместим в заголовке как вариант для массового повторения. Да и вообще, некая стандартизация наверняка только на пользу пойдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 93] Автор : EU1SW Дата : 16.09.2017 18:50 Не воооот, а просто спаяли по разному, как кому удобней было, вообще не парясь Ладно, в любом случае я все понял... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 94] Автор : Livas60 Дата : 16.09.2017 19:07 Да и вообще, некая стандартизация наверняка только на пользу пойдет. Поэтому и задаю глупые вопросы. :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 95] Автор : UF3K Дата : 20.09.2017 09:20 Выкладывал тут таблицу цепей в exel - рекомендую паять по ней. Там и скорость пинов учтена, и на будущее под 22к ПЛИС учтено, и под 2 АЦП. Медленные пины типа ptt и коммутации диапазонов можно вешать куда приглянется в PinPlanner - не важно это . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 96] Автор : UN7RX Дата : 20.09.2017 19:36 А вот такая идея кому нибудь приходила в голову? Это в отдельную тему! С подобных "невинных" вопросов и начинается привычный свинарник в любой теме. Через пять постов уже забудут про суть и начнется сплошной тарарам. Тем более, что данная тема авторская. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 97] Автор : ko85xm Саша Дата : 22.09.2017 09:53 Всем привет. Собрал и я свой сдр . Прошивку залил обновленную с CW прослушкой. Все работает хорошо. Есть мелкие вопросы . Применяю на данный момент вместо нормальной антенны кусок провода метров пять и согласованной с кабелем через ферритовое кольцо по типу запитки DL2KQ . После подключения антенны вижу через равные расстояния пики. Работаю от ноутбука с акб , внешний монитор и внешний блок питания импульсный для сдр. Без антенны чистая шумовая дорожка . Причину пока не выявил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 98] Автор : EU1SW Дата : 22.09.2017 09:54 Применяю на данный момент вместо нормальной антенны кусок провода метров пять и согласованной с кабелем через ферритовое кольцо по типу запитки DL2KQ Вот Ваша причина, очевидно Могу посоветовать слепить T2FD, если сложно с антеннами, и подальше ее от всего импульсно-компьютерного. но для ВЧ бендов нужен УВЧ однозначно, и боюсь, что на НЧ, с пятиметровым проводом не помешал бы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 99] Автор : ko85xm Саша Дата : 22.09.2017 11:41 Сергей , привет. УВЧ стоит по схеме Володи RX3QFM, прием не плохой для такого куска провода . Слышно с ним не плохо. Да эта веревка висит почти рядом с окном на улице, второй этаж. Скорее всего ловит все что есть в округе. Надо на даче попробовать, там у меня есть антенна хастлер 4BTV. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 100] Автор : EU1SW Дата : 22.09.2017 11:53 Приветствую! Понял! Да, думаю с вертикалом на даче все покажет ) С УВЧ, в версии Владимира, чувствительность должна быть под 0,05 мкв, для телеграфной полосы 500 Гц, или 0,12 мкв для SSB 3 кГц А я себе еще накрутил, у меня тихо, но с такой чувствительностью "остро" переживаю моменты включения всякого китайского импульсного хлама у соседей ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 101] Автор : sgk Дата : 22.09.2017 12:13 чувствительность должна быть под 0,05 мкв, для телеграфной полосы 500 Гц Может ошибаюсь, но если чувствительность измерять при соотношении С/Ш = 10 дБ, 50 Ом входного, то Кш Вашего устройства меньше тепловых шумов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 102] Автор : EU1SW Дата : 22.09.2017 12:16 ошибаетесь, ну в смысле не ошибаетесь, а это я не указал, что это значения MDS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 103] Автор : ko85xm Саша Дата : 22.09.2017 13:31 Заметил еще у себя , что при поднятии зеленой полосы AGC Gain вверх к до упора появляется писк в динамиках. Пока тоже не понял почему. Опускаю ниже к звуковой дорожке писк прекращается -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 104] Автор : EU1SW Дата : 22.09.2017 13:45 можете громкость в 0 поставить, будет тот же эффект правда не понятно, зачем Вы это делаете ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 105] Автор : ko85xm Саша Дата : 22.09.2017 18:46 Сергей , просто случаем поднял планку вверх и услышал такой звук. Да еще у меня на самопрослушивании когда CW передаю слышу еще тихо тон помимо телеграфных посылок. Где завязка пока не знаю .Это все на 10к. https://www.youtube.com/watch?v=2cvCyehjBEU -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 106] Автор : EU1SW Дата : 22.09.2017 19:37 Отличный ящичек! И ТХ РА QRP войдет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 107] Автор : ko85xm Саша Дата : 22.09.2017 21:28 Да был там когда-то давно вольтметр. А тут пригодился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 108] Автор : r0sal Дата : 23.09.2017 05:34 А фото внутри? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 109] Автор : ko85xm Саша Дата : 23.09.2017 09:58 На данный момент примерно так сделано. ЦАП еще не подключал, руки не доходят. И нет РА на BFG591. Подаю 12в из них делаю 9в для УВЧ и РА и далее 5в для питания АЦП и ЦАП. http://f23.ifotki.info/thumb/dab456feaec3338a7b891ca120c347b2c2be1a288809944.jpg (http://i-fotki.info/23/dab456feaec3338a7b891ca120c347b2c2be1a288809944.jpg.html)http://f23.ifotki.info/thumb/7f63dcd779cff2e4f941236c48afe67bc2be1a288809969.jpg (http://i-fotki.info/23/7f63dcd779cff2e4f941236c48afe67bc2be1a288809969.jpg.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 110] Автор : ko85xm Саша Дата : 24.09.2017 12:15 Вчера проверил на нормальную антенну прием. Все очень прилично получается, Европа ломилась , ну и дальше тоже все слышно. А главное теперь еще и видно как на ладони.У кого какой сигнал .... Но импульсный бп для сдр все же принимается антенной , хотя она стояла на земле , а я сидел в 10м от нее в доме на втором этаже. Когда брал бп рукой то тычки были видны еще лучше. Без антенны ни как не влияло, значит не пролазит на прямую в ацп. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 111] Автор : EU1SW Дата : 24.09.2017 12:29 по оплетке фидера ползет до антенны, надо это устранять, лучше в самом зародыше, у блока питания ВЧ заземление на втором этаже конечно не получится, поэтому ферритовые блямбы на провода, возле БП и все такое... причем на фидер тоже не лишнее будет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 112] Автор : ko85xm Саша Дата : 24.09.2017 12:39 Сергей , привет. На БП на выходном и входном шнурах сидят ферритовые трубки. У кабеля идущего к антенне стоит запорный дроссель. Лезет как я писал чисто по приему , типа гармоники от частоты преобразования БП. Без антенны все чистенько. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 113] Автор : EU1SW Дата : 24.09.2017 13:09 Приветствую! но как то оно же доползает до антенны? ) хотите сказать просто по эфиру, через излучение? у него нет экрана? Добавлено через 23 минут(ы): 20 метров, соревнования -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 114] Автор : ko85xm Саша Дата : 24.09.2017 17:45 Сейчас дома на кусок провода подключился и без БП. Запитался от 12в аккумулятора. От БП наводка пропала. Остальное все тоже рядом расположенное по отключал. Но все равно дома ловит какие-то наводки. Подключил в сеть свой БП для сдр и стал видеть от него тычки шумовые , при этом сам СДР работал от аккумулятора. Как это еще попробовать побороть. На скрине работа от аккумулятора все рядом стоящие у меня БП отключены , ловит что-то наверное от соседей. На 40-ке почти чисто, на 10-ке тоже , на остальных проявляется в разной мере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 115] Автор : UT0UM Дата : 24.09.2017 17:47 все равно дома ловит какие-то наводки. это интернет у меня точно такая же хрень вот тут (http://forum.qrz.ru/57-pomehi/37991-pomehi-ot-setey-ethernet-lan-internet.html)у соседей обсуждали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 116] Автор : ko85xm Саша Дата : 24.09.2017 17:55 Вроде просто шипит и стоит на месте , у меня кабель от входа интернетчиков по квартире идет в экране CAT6. Надо еще раз будет проверить на даче с нормальной антенной от аккумулятора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 117] Автор : UT0UM Дата : 24.09.2017 17:57 шипит и стоит и у меня шипит и стоит на всех радио, которые в этом углу квартиры включаю получается место как раз над входом интернета в комнату -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 118] Автор : ko85xm Саша Дата : 24.09.2017 18:10 у нас по дому оптика идет , может преобразователи с оптики шумят или домашние роутеры так гадят. Ладно прошу простить от ухода от темы. Надо заняться передачей - посмотрим ,что она нам подкинет хорошего в выходной сигнал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 119] Автор : ko85xm Саша Дата : 25.09.2017 15:59 Занялся передачей , после сдувания и подрезки дорожек получил вот такую платку ЦАП . Останется ответный разъем напаять для FPGA и через кабель подать на РА BFG591. http://f23.ifotki.info/thumb/f42558ac6318e924485e927270c6f734d5555f289004366.jpg (http://i-fotki.info/23/f42558ac6318e924485e927270c6f734d5555f289004366.jpg.html)http://f23.ifotki.info/thumb/ff48cc2b4d69d51fd08a31c31126888ad5555f289004391.jpg (http://i-fotki.info/23/ff48cc2b4d69d51fd08a31c31126888ad5555f289004391.jpg.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 120] Автор : UT0UM Дата : 25.09.2017 16:21 а может придумать какую-то печатку с колодками под все эти платы с Али? ну типа вставил всё, что купил на Али в эту платку и все сразу заработало без всех этих межплатных проводов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 121] Автор : EU1SW Дата : 25.09.2017 16:33 Оно придумается, но потом, со временем, ибо как появляются каждый день новые вектора развития, так что б не переделывать печать по 100 раз, и ножиками потом не возюкать, как в одной известной конструкции что купил на Али в эту платку и все сразу заработало да, что б совсем не надо было мозг включать ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 122] Автор : r0sal Дата : 25.09.2017 17:09 А трансформаторы с сетевых карт кто нибудь пробовал применять в качестве согласующих- развязывающий? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 123] Автор : EU1SW Дата : 25.09.2017 17:14 Было где то в сети упоминание о положительных результатах применения их в этом качестве смесителях для звукоплатных SDR, и соответственно тут тоже можно пробовать. Правда они разные бывают, насколько я помню. Более ничего сообщить не могу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 124] Автор : ko85xm Саша Дата : 25.09.2017 19:06 Как по мне , сейчас не хочется менять блочные соединения. Всегда есть возможность , что-то снять или поменять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 125] Автор : ko85xm Саша Дата : 26.09.2017 17:02 Передачу распаял , только вот теперь озадачился каким питанием лучше запитать ЦАП 3.3В или 5В или может смешанное ?. На каком будет лучше сигнал по передаче? http://f23.ifotki.info/thumb/21f87acf1b91f475b5b3be229691d02fd5555f289094577.jpg (http://i-fotki.info/23/21f87acf1b91f475b5b3be229691d02fd5555f289094577.jpg.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 126] Автор : EU1SW Дата : 26.09.2017 18:45 Александр, все просто, цифровое питание на 3.3, аналоговое на 5. Правда , как вы читали на УКВ портале, Владимир отмечает немного более чистый выход при 3.3 на аналоге. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 127] Автор : ko85xm Саша Дата : 26.09.2017 21:28 Сергей , значит это по подобию как на АЦП. Там тоже 3.3в и 5в. Хорошо завтра попробую. А скажи без РА на BFG591 сколько смогу увидеть после транса мощности. Просто пока не готов РА . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 128] Автор : EU1SW Дата : 26.09.2017 21:53 IMD3 на выходе ЦАП лучше -70 дБ dBc на всех КВ диапазонах, спуры и прочий мусор - лучше -80 dBc, выход ЦАП - +5 дБм. Александр, вверху страницы, даже прилеплено -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 129] Автор : ko85xm Саша Дата : 27.09.2017 12:48 Извини, читал раньше как начинал, а еще раз взглянуть забыл. Бывает - не молодеем... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 130] Автор : Livas60 Дата : 27.09.2017 19:42 Тоже запустил на прием. Пока без УВЧ и полосовиков. Очень нравится, как работает. Пока все на макете: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 131] Автор : ko85xm Саша Дата : 28.09.2017 09:58 Заметил у себя такую вещь. Провод идущий от АЦП DRY к 24ножке FPGA , влияет на качество звука . Если его прижимать к остальным проводам идущим от АЦП к плис то появляется хрип, иногда звук полностью пропадает, а шумовая дорожка продолжает нормально функционировать. Столкнулся с этим после установки ЦАП, так как разъемы перетыкал туда сюда. Сейчас отвел этот провод в сторону и пока все работает нормально. Помню пробовал пускать его в экране тоже фигня была.Не понятно, что я сделал не так. Добавлено через 42 минут(ы): Только что запустил передачу . На всех диапазонах удалось получить не менее 20дбм выходного сигнала. Чем ниже по частоте тем вижу больше уровень гармоник . На 28мгц вторая гармоника на уровне -34дбм дальше ни чего не видно. Может попробовать подать и аналоговую часть3.3в ? или просто уменьшить уровень выходного сигнала? http://f23.ifotki.info/thumb/8950533630dc99ac610c36fd8dada7a9d5555f289242945.jpg (http://i-fotki.info/23/8950533630dc99ac610c36fd8dada7a9d5555f289242945.jpg.html)http://f23.ifotki.info/thumb/17cf6febbbefd8c3358cd193621abccfd5555f289242946.jpg (http://i-fotki.info/23/17cf6febbbefd8c3358cd193621abccfd5555f289242946.jpg.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 132] Автор : ko85xm Саша Дата : 28.09.2017 10:15 Вот сигнал на 40-ке Сигнал ТUN подан . http://f23.ifotki.info/thumb/539f337f4ed1c77eb40cf4f22eb10864d5555f289242944.jpg В CW уровень гармоник меньше , примерно на уровне -51дбм , только вижу. http://f23.ifotki.info/thumb/23cee7cfab4c6f7ffef0465424d7686bd5555f289243480.jpg (http://i-fotki.info/23/23cee7cfab4c6f7ffef0465424d7686bd5555f289243480.jpg.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 133] Автор : EU1SW Дата : 28.09.2017 10:38 Если я ничего не путаю, то у вас на модуле стоит подстроечный резистор в качестве токозадающего для АЦП, им дивно регулируется выход АЦП, что б снять перегрузку BFG591 И я не совсем понял что именно прицеплено после ЦАП, каскад аналогично УВЧ? Добавлено через 6 минут(ы): В CW я не разгонял максимальный уровень, измерьте разницу на TUN и CW нажатие, подкорректируем От теперь видно, на второй картинке Добавлено через 6 минут(ы): первая картинка уже не увеличивается Грузите картинки на форум, в чем проблема??? ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 134] Автор : ko85xm Саша Дата : 28.09.2017 12:38 Сергей , в CW режиме мощность сильно не отличается в TUN было выставлено 20дбм , а ТЛГ он доскакивал до 19дбм . Только как видно на картинках был разный уровень гармоник. А 15дбм макс в ТЛГ - это так поймал на фото телефон(в динамике сигнал однако) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 135] Автор : EU1SW Дата : 28.09.2017 13:18 В повер сдр чудесно делается длинное нажатие во встроенном кеере, не надо "динамику" ловить Добавлено через 22 минут(ы): А разница в уровне гармоник говорит о том, что драйвер как раз на грани точки компрессии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 136] Автор : ko85xm Саша Дата : 28.09.2017 13:51 Я им просто не пользуюсь , потому и снимал в динамике. Я понял так , что подстроечником на плате ЦАП , надо прибрать раскачку . Но тогда совсем мало мощности будет на выходе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 137] Автор : EU1SW Дата : 28.09.2017 13:56 Воспользуйтесь, пожалуйста, для тестовых целей. Мне нужны два значения, TUNE, мощность, и длинное нажатие, мощность. Потому что я измерить не смогу раньше субботы, а прошивку подкорректировать могу прямо сегодня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 138] Автор : ko85xm Саша Дата : 28.09.2017 14:40 Первый файл TUN второй CW key? Прошел по основным диапазонам. https://yadi.sk/d/IIEt5Va63NHtuB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 139] Автор : EU1SW Дата : 28.09.2017 14:49 Достаточно было просто 2 цифры в децибелах, зачем вы делаете лишнюю работу... "буквами", без изобразительных средств мне картинки без цифр абсолютно интереса не представляют, я по ним работу не сделаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 140] Автор : ko85xm Саша Дата : 28.09.2017 15:10 Так пойдет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 141] Автор : EU1SW Дата : 28.09.2017 15:31 да спасибо, достаточно, больше ничего не надо делать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 142] Автор : RX9A Дата : 30.09.2017 06:58 Подскажите пожалуйста. Проект можно открыть только в Quartus 15 . Дело в том что у меня 32бит Семёрка а Quartus 15 на ней не хочет работать говорит давай 64 бит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 143] Автор : UN7RX Дата : 30.09.2017 08:42 Меняйте ОС. Без вариантов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 144] Автор : romanetz Дата : 30.09.2017 09:12 Можно ещё виртуальную машину запустить и в ней собрать проект. Чтобы ось не переставлять. Т.к. виртуальная машина это просто ещё одна программа на компе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 145] Автор : EU1SW Дата : 30.09.2017 10:12 Можно попробовать установить 13-й квартус, он последний, из 32 битных, а дальше по обстоятельствам. Но лучше, КМК, воспользоваться предыдущим советом, запустить виртуалку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 146] Автор : UN7RX Дата : 30.09.2017 11:23 Можно ещё виртуальную машину запустить и в ней собрать проект У него на 32 битной ОС видит около 3.5 Гб памяти, при этом приложениям доступно максимум 3. Если добавить запущенные компоненты самой ОС, службы, фоновые программы, антивирусы и прочее, свободной памяти остается немного. Квартус не зря перевели на 64 битные ОС, он сам по себе разворачивается в памяти нехило и в зависимости от объема проекта еще добирает. На виртуалке будет дикая жесть с бесконечным свапом HDD. Если в старой версии запустится, норм, если нет, стоит сменить ОС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 147] Автор : RX9A Дата : 30.09.2017 16:19 Для меня проще получилось , найти старый 40G винт и установить 64бит 7 . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 148] Автор : Livas60 Дата : 01.10.2017 18:51 Заменил на модуле Альтера чип EP4CE10 на EP4CE22. Перекомпилировал под 22К, прошил. Работает.:smile: Сергей, если можно, выложите, пожалуйста, версию трансивера для 22К с двумя приемниками для одного АЦП. Хочется попробовать.:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 149] Автор : EU1SW Дата : 01.10.2017 19:42 Без проблем, но чуть позже, пока в дороге -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 150] Автор : vadim_d Дата : 01.10.2017 22:43 Квартус не зря перевели на 64 битные ОС, он сам по себе разворачивается в памяти нехило и в зависимости от объема проекта еще добирает Роберт, эти чипы одни из самых скромных по нынешним меркам, может все не так и страшно :). Мне тут довелось попользоваться Линуксом (CentOS) с симулятором VCS, и все это на виртуалке под Windows 7 с общим размером памяти 3 Гб, боялся, что просто не поедет - ничего, вполне приемлемо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 151] Автор : EU1SW Дата : 02.10.2017 09:35 выложите, пожалуйста, версию трансивера для 22К с двумя приемниками для одного АЦП Доброго дня всем! Василий, пожалуйста, но проверяйте распиновку З.Ы. прошивка не финальная, 2 приемника 192 кГц, puresignal -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 152] Автор : UN7RX Дата : 02.10.2017 09:50 Блин, когда все выписанное придет... :-( А тут уже вон чего, апгрейды пошли. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 153] Автор : Genadi Zawidowski Дата : 02.10.2017 11:30 прошивка не финальная add8192.qip ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 154] Автор : EU1SW Дата : 02.10.2017 11:34 Что? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 155] Автор : khach Дата : 02.10.2017 14:19 Подскажите пожалуйста, планируется ли версия с двумя АЦП? В завистмости от прошивки планируется применять для квадратурной оцифровки УКВ-СВЧ приемника, двухканального приемника с двумя разнесенными антеннами ( фазовое подавление помех) и вариант процессра сигналов для векторного анализатора, в этом случае надо только разность фаз и амплитуд между двумя каналами определить. Для последенего варианта может есть какой алгоритм попроще чем двухканальное комплексное фурье преобразование? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 156] Автор : EU1SW Дата : 02.10.2017 14:57 планируется ли версия с двумя АЦП Версия с двумя АЦП уже пару месяцев работает у Владимира RX3QFM, у меня руки все не дойдут собрать себе, нет принципиальной необходимости. Собственно если в ПЛИС уже содержатся 2 независимые сущности приемника то организовать "прикручивание" второго АЦП, как Вы надеюсь понимаете, дело лишь мелкой мышечной моторики и функция "diversity receiving" в PowerSDR mRX может быть активирована Векторный анализатор доступен и в варианте с одним приемником -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 157] Автор : UN7RX Дата : 02.10.2017 15:27 В завистмости от прошивки планируется применять для квадратурной оцифровки УКВ-СВЧ приемника, двухканального приемника с двумя разнесенными антеннами ( фазовое подавление помех) и вариант процессра сигналов для векторного анализатора, в этом случае надо только разность фаз и амплитуд между двумя каналами определить. Для последенего варианта может есть какой алгоритм попроще чем двухканальное комплексное фурье преобразование? Может не стоит из вполне практической и доступной темы с готовым для повторения вариантом, делать очередную сборную солянку угробив саму идею вседоступности простоты? :evil: EU1SW, Сергей, если будет желание кардинально что-то менять, предлагаю пойти по пути аналогичных вариантов с SW и прочими, разделяя темы. Иначе похороним все в ворохе бесконечных изменений, где найти что-то законченное "от и до" будет нереально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 158] Автор : EU1SW Дата : 02.10.2017 15:54 Сергей, если будет желание кардинально что-то менять, Роберт, не надо звонить в колокола ) У меня есть четкое представление о том, что должно получиться на финише - совместимый с протоколом OpenHPSDR девайс модульной конструкции 1. прошивка "передатчик+приемник" под 10к плис ( практически готова, выложена) 2. прошивка "2 приемника" под 10к плис (практически готова, не выложена) 3. прошивка "передатчик+ 3(возможно 4, пока не знаю точно) приемника" под 22к ПЛИС (75% готовности, выложена с 2-мя приемниками) для 2 и 3 го вариантов допустимо подключение 2-х АЦП не вижу повода для волнений Добавлено через 9 минут(ы): add8192.qip ? ах, да на варнинг забить, компилируется и работает без него -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 159] Автор : Livas60 Дата : 03.10.2017 14:42 Запустил HermesLite 22k_CW_sidetone. Вопрос к Сергею. Может я делаю что-то не так, но когда я включаю второй приемник и устанавливаю на нем другой диапазон, отличный от диапазона первого приемника, то слышу всеравно только диапазон первого приемника, хотя в программе во втором приемнике отображается частота ГПД второго диапазона. А на панораме отображается в обеих приемниках спектр и частоты первого приемника. Немного сумбурно объяснил. :oops: Скрин прилагаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 160] Автор : EU1SW Дата : 03.10.2017 15:13 Очень странно, уверены что прошивка залилась 100%? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 161] Автор : Livas60 Дата : 03.10.2017 15:20 Программирование и верификация прошли успешно. Правда, компилировал и шил в Квартусе 15.1, но я думаю, что это не принципиально. Сейчас перешью снова. Перешил. Без изменений. И еще. Перестройка по частоте второго приемника визуально идет нормально, а при изменении частоты первого приемника происходит "захват" визира второго приемника и дальше визиры обеих диапазонов перемещаются синхронно при перестройке первого приемника. Увидел, что перестройка так ведет себя при включенных функциях STUN в обеих приемниках. Если отключить STUN, перестройка первого приемника перестраивает на водопаде и второй, но VFO B не перестраивается. Перестройка второго приемника не влияет на водопад, только на частоту VFO B. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 162] Автор : EU1SW Дата : 03.10.2017 15:43 Ну значит возвращайтесь к старой прошивке, что тут еще может быть... А пока суть да дело, у троих человек работает, у вас - нет, надо разбираться с тем, что у вас отличается. О господи... ) limit stitched receiver поставьте галку в настройках PSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 163] Автор : Livas60 Дата : 03.10.2017 15:48 Спасибо! Убрал галку Limit Stitched Receiver и все заработало. Прошу прощения за дезинформацию. Невнимательность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 164] Автор : EU1SW Дата : 03.10.2017 15:50 Не, не, все нормально, уточните только убрали или поставили -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 165] Автор : Livas60 Дата : 03.10.2017 15:52 Убрал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 166] Автор : EU1SW Дата : 03.10.2017 16:02 Александр, давайте не в этой теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 167] Автор : EU1SW Дата : 04.10.2017 20:29 История создания этого трансивера, почти ровно год назад, первые IQ сэмплы получены 16.10.2016 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 168] Автор : R2RBN Дата : 04.10.2017 21:27 Такой вопрос,при скачке quartus 15 web edition предлагают ещё кучу всего скачать,это всё нужно для компиляции?, или только для разработки требуются,как я понял модели для симуляции и для поддержки разных демоборд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 169] Автор : EU1SW Дата : 04.10.2017 21:47 При скачке нужны только сам квартус, и библиотека поддержки 4-го Циклона. Этого достаточно для компиляции, переназначения пинов, и прошивки. Не вижу я пока другого пути для упрощения процесса прошивки различных демобордов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 170] Автор : R2RBN Дата : 04.10.2017 22:34 При скачке нужны только сам квартус, и библиотека поддержки 4-го Циклона Я в принципе так и сделал,заодно и симулятор скачал,пока демоборд одна на подходе остального нету пока,по изучаю verilog пока что,интересные эти плис чего то я на них внимания раньше не обращал,всё AVR да AVR. Придётся ещё одну плату заказывать для изучения плис.,а эту пущу на трансивер. Спасибо за проект трансивера, обязательно соберу как придут остальные "запчасти".:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 171] Автор : EU1SW Дата : 04.10.2017 23:00 Все Вы правильно делаете, "оно" для того и выложено, наподобие взрыва "бомбы", цикла статей SDR for the masses, и появления SDR1000, в начале 2000-х, когда массово появилось множество оригинальных аппаратов, и еще больше реплик, и я хотел показать что технология DDC/DUC доступнa для всех. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 172] Автор : EU1SW Дата : 06.10.2017 14:28 https://ru.aliexpress.com/item/FPGA-EP4CE22E22C8N-fpga-Altera/32834586200.html :buj::lol: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 173] Автор : UT0UM Дата : 06.10.2017 14:46 EP4CE22 (https://ru.aliexpress.com/item/FPGA-EP4CE22E22C8N-fpga-Altera/32834586200.html) :buj::lol: заманчиво, блин :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 174] Автор : M0TLN Дата : 06.10.2017 19:18 :buj::lol:полностью согласен, вчера еще не было -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 175] Автор : R2RBN Дата : 08.10.2017 10:39 А ADT4-1WT чем в АЦП можно заменить? если на колечке намотать ,я думаю параметры не сильно испортятся? Да и зелёное земноводное душит за ~1000 покупать трансформатор(это с доставкой,дешевле не нашёл),добавить ещё 300 и можно ещё одну alter-у купить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 176] Автор : EU1SW Дата : 08.10.2017 11:00 да чем угодно, я туда adt4 не совал, и это видно на фото макета. Обыкновенный трансформатор 1:2 по виткам со средней точкой, на кольце или на бинокле. То есть мотаем в три провода, все как обычно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 177] Автор : EU1SW Дата : 08.10.2017 13:38 На выход ЦАП тоже самое -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 178] Автор : Livas60 Дата : 08.10.2017 13:55 ADT4-1WT чем в АЦП можно заменить? Я намотал скруткой из 3-х проводов 7 витков на сердечнике BN-43-2402, соединив синфазно-последовательно две обмотки для получения вторичной обмотки со средней точкой. Фото модуля АЦП с таким трансформатором: 272423 Характеристики трансформатора: 272424 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 179] Автор : EU1SW Дата : 08.10.2017 14:09 Я так понимаю платка с генератором садится сверху на АЦП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 180] Автор : Livas60 Дата : 08.10.2017 14:13 Да, "бутерброд" получается. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 181] Автор : EU1SW Дата : 08.10.2017 17:48 Есть еще один мелкий хaк, не помню, озвучивали ли явно ) в даташите на АЦП этот момент озвучен, встречно-параллельные диоды на вторичку трансформатора тактового сигнала. Субьективно что то меняется в лучшую сторону ) я навесил 2хКД922 прямо на выводы трансформатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 182] Автор : UN7RX Дата : 08.10.2017 19:35 Плохо что все заказанное придет в разное время, причем, кварцевый генератор вообще пока не отсвечивает. Сергей, а что кроме двух приемников даст EP4CE22? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 183] Автор : EU1SW Дата : 08.10.2017 19:53 ничего, кроме большего количества чуть более "жирных" приемников, и соответсвенно связанных с этим фич ПО сама qso проводить, и журнал заполнять она точно не начнет, а все необходимое и так уже работает з.ы. генераторы ко мне так и не пришли, возврат средств мною инициированый, продавец даже не появился, а Володе ЩФМ пришли, а заказывали в один день У Василия, на прошлой странице фото, просто шикарный генератор аблно, такой и нужно ставить, если охота максимум максиморум выжать из железки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 184] Автор : R2RBN Дата : 08.10.2017 20:16 А где в quartus посмотреть схему проекта те вот это (http://www.cqham.ru/forum/attachment.php?attachmentid=249558&d=1481205004), всё облазил так и не нашёл где включается этот режим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 185] Автор : EU1SW Дата : 08.10.2017 20:56 посмотреть схему проекта те вот это, всё облазил так и не нашёл где включается этот режим. А именно "этого" в этом проекте нет, тут текстовое описание верхнего уровня на верилоге. Смотрите rtl viewer, в нем тоже интересно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 186] Автор : R2RBN Дата : 08.10.2017 21:13 Ясно посмотрим,разбираюсь потихоньку с Verilog, с синтаксисом немного разобрался,а вот с проектом заблудился что куда уходит и приходит.Разберусь потихоньку,зима длинная:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 187] Автор : EU1SW Дата : 09.10.2017 00:26 Им надо подискутировать, хоть и не собираются ничего делать, в ветке сансдр уже тесно ) У ad9866 ENOB порядка 10 бит, но для сборщиков это не так важно, ведь есть "гатовые пичатки" ) Добавлено через 10 минут(ы): UT0UM, Лично я думаю что Ваш выбор должен быть HL2, Вы так часто о нем вспоминаете, что нельзя вот так взять и бросить ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 188] Автор : UN7RX Дата : 09.10.2017 00:30 UT0UM, я более чем ясно ответил на ваш вопрос. На этом прекращаем оффтоп. У некоторых присутствующих уже есть опыт "успешного" превращения полезной технической темы в свалку, под благовидными предлогами, категорически не стоит тут продолжать в том же духе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 189] Автор : UN7RX Дата : 09.10.2017 19:01 Меня всегда удивлял один момент в подобных темах - как только кто-то предложит сообществу свою разработку - "нате, повторяйте!", так в этой теме мгновенно заводятся несколько архиспециалистов, доказывающих что они круче вареного яйца, а автор так, погулять вышел . Где ж вы раньше то были, суперспециалисты? И главное - что вы то тут, на CQHAM, предложили вот так, бесплатно и от души, чтобы умничать потом в таких темах?! Где ваши изумительные конструкции которые все кинутся повторять? Поскольку увещевания не флудить в теме просто бесполезны, буду банить без всяких штрафов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 190] Автор : ko85xm Саша Дата : 09.10.2017 23:34 Я намотал скруткой из 3-х проводов 7 витков на сердечнике BN-43-2402, соединив синфазно-последовательно две обмотки для получения вторичной обмотки со средней точкой. Фото модуля АЦП с таким трансформатором: 272423 Характеристики трансформатора: 272424 А что за генератор? где покупали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 191] Автор : romanetz Дата : 10.10.2017 00:11 Я смотрел ПО автора и... закрывал, взял оригинальный Hermes-lite и допиливал его. Могу выложить, если кому интересно. Но бесценный труд Сергея по поиску подходящего недорогого АЦП и плат никак нельзя списывать со счетов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 192] Автор : UN7RX Дата : 10.10.2017 05:58 Разумеется это будет интересно, если применимо напрямую к "железу" используемую Сергеем. Есть же (и еще обязательно будут) варианты обвеса дополнительными узлами, предлагаемые в теме, почему не быть варианту ПО. Единственный момент - если это требует каких то изменений, если это можно (и нужно) развивать отдельно, может есть резон сделать отдельную тему? Выложите свой вариант, там посмотрим в каком направлении следовать. Чем больше выбор, тем лучше, лишь бы он не привел к парадоксу Буриданова осла. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 193] Автор : EU1SW Дата : 10.10.2017 08:02 Но бесценный труд Сергея по поиску подходящего недорогого АЦП и плат никак нельзя списывать со счетов. Ооо, спасибо за высокую оценку результатов моего труда, мне, как говнокодеру самоучке, будет несравнимо проще загрузить правильный код, компактнее, и больше функций, который, я надеюсь, нам сделают подарок и покажут, и больше не тратить время на решение несвойственных мне по роду деятельности задач ) З.Ы. Собственно тему можно закрывать, откреплять, и сливать в унитаз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 194] Автор : M0TLN Дата : 10.10.2017 09:20 ... З.Ы. Собственно тему можно закрывать, откреплять, и сливать в унитаз. Сергей, не обращайте внимания на комбинатора (хотел бы выложить код - уже сделал бы). Тут есть что еще попробовать. Меня лично интересует: 1. попробовать как а Вашем 5-м посту темы - Pi3+SPI. что именно требуется по шагам? 2. прием на 2-х АЦП - как синхронизировать (это вдруг если Е22 плата все-таки будет отправлена продавцом) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 195] Автор : EU1SW Дата : 10.10.2017 09:46 прием на 2-х АЦП - как синхронизировать Нет там особых подводных камней, добавляется второй регистр защелка по сигналу DRY второго АЦП, пин для этого сигнала, и второму приемнику передать данные из этого регистра, Владимира RX3QFM можно попросить выложить кусок исходника для иллюстрации принципа, у него уже работает достаточно давно такая конфигурация попробовать как а Вашем 5-м посту темы - Pi3+SPI. что именно требуется по шагам? я делал это достаточно давно, сейчас уже не вспомню, да и автор того софта с тех пор немного изменил конфигурацию, добавил второй приемник, нужно заново все читать и собирать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 196] Автор : Livas60 Дата : 10.10.2017 10:24 А что за генератор? где покупали ? Генератор: https://www.abracon.com/Precisiontiming/ABLNO.pdf (https://www.abracon.com/Precisiontiming/ABLNO.pdf) Покупал здесь: http://evocom.ua/rus/eshop/view/716407? Сергей, различные варианты (SPI, LAN) с PI3 тоже очень интересуют. PI3 и 7'' дисплей для нее в наличии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 197] Автор : Serg Дата : 10.10.2017 11:54 Покупал здесь: Что по срокам доставки вышло? С виду контора какая-то подозрительная, как перекупщик где-то из китая на заказ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 198] Автор : Livas60 Дата : 10.10.2017 12:39 Поставка генератора была с Диджикей. Даже диджикеевскую упаковку не меняли. Срок доставки порядка 10-ти дней. Цена, кстати, практически такая же была, как и у американцев на момент покупки. Сейчас есть на Диджикей дешевле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 199] Автор : RX9A Дата : 11.10.2017 13:14 Pi3+SPI Тоже ОООчень сильно интересует (понимаю что для такой работы нужно много времени , но вдруг :-P ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 200] Автор : UN7RX Дата : 11.10.2017 20:35 RX3QFM, Владимир, подскажите, вы написали что при применении УВЧ на BFG591 на выходе будет около 40мВт, почему? Если Ку 16дБ, а на выходе ЦАП +5дБм, т.е. около 3мВт, то должно получиться около 100-120мВт, нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 201] Автор : EU1SW Дата : 11.10.2017 20:51 Добрый вечер! Роберт, от этого поста http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1444832&viewfull=1#post1444832 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 202] Автор : UN7RX Дата : 11.10.2017 21:11 Добрый вечер Сергей! Около 60мВт, я правильно понял? Просто я сейчас пытаюсь понять какую линейку суммарно придется сделать в УМ для получения "стандартных" 100Вт. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 203] Автор : EU1SW Дата : 11.10.2017 21:21 Можно рассчитывать на 100 милливатт после bfg591. Я сейчас макетирую простой, на мой взгляд, полностью двухтактный УМ в виде 2хBFG591 в классе А + 2xRD06HVF + 2xRD100HHF Расчётного усиления и ООС с запасом для раскачки до 100+ ватт от ЦАП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 204] Автор : UN7RX Дата : 11.10.2017 21:27 Я сейчас макетирую Все, спасибо, перестаю спрашивать и просто буду ждать! :up: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 205] Автор : EU1SW Дата : 12.10.2017 08:24 Апну Уважаемый romanez, я продолжаю в нетерпении ожидать обещанного Вами правильного кода для 10к плис Добавлено через 56 минут(ы): (это вдруг если Е22 плата все-таки будет отправлена продавцом) сегодня пришло уведомление об отправке продавцом Е22, трек финской почты, что ж будем посмотреть ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 206] Автор : DerBear Дата : 13.10.2017 11:27 Сергей, а сколько приёмников поместится СЮДА (https://ru.aliexpress.com/item/Cyclone-IV-EP4CE115-DDR2-64BIT-USB-Blaster-ALTERA-FPGA-Development-Board-fpga-development-board/1892377255.html?ws_ab_test=searchweb0_0,searchweb201602_4_10152_10065_10151_10068_10344_10345_10342_10343_10340_10341_10307_10301_10060_10155_10154_10056_10055_10054_10536_10059_10534_10533_10532_100031_10099_10338_10103_10102_10169_10052_10053_10142_10107_10050_10051_10084_10083_10080_10082_10081_10110_10111_10112_10113_10114_10312_10313_10314_10078_10079_10211_10128_10073_10129_10125,searchweb201603_30,ppcSwitch_4&btsid=176d5d80-c064-42e4-a038-c406b7b6510c&algo_expid=cfe92a51-a636-4f6f-87b3-f0e8f5b3ce93-1&algo_pvid=cfe92a51-a636-4f6f-87b3-f0e8f5b3ce93)? Есть смысл заморачиваться на такие платы? (При наличии финансов, естественно) Это самая жирная плисина из серии EP4CE. 272724 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 207] Автор : WladN Дата : 13.10.2017 12:02 Я извиняюсь,если засорил тему.Чистить конечно нужно,чтобы легче нужную ифо найти. Хотел для себя понять кое какие моменты.Сергей разьяснил. Правда все же один момент так и не уловил.Сколько АЦП потянет EP4CE22 не жертвуя передачей. Ну и без передачи тоже интересует,чисто приемник. Вот пожалуй все пока,что хотел узнать,что бы по полочкам разложит в своих извилинах,которые уже не такие гибкие,как в молодости.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 208] Автор : EU1SW Дата : 13.10.2017 12:12 а сколько приёмников поместится СЮДА?​ ну 7 влезет точно, вроде как уже будут ограничения протокола, а не места в ПЛИС один момент так и не уловил.Сколько АЦП потянет EP4CE22 сколько приемников столько и АЦП, что же непонятного тут может быть??? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 209] Автор : EU1SW Дата : 13.10.2017 13:46 различные варианты (SPI, LAN) с PI3 тоже очень интересуют. PI3 и 7'' дисплей для нее в наличии пришел к выводу что есть варианты поинтереснее, по крайней мере дома можно подключить большой монитор как второй, запустить лог, JT65, и вывести их на боковой монитор https://cc.cnetcontent.com/vcs/hp/inline-content/5U/D/9/D9D1D23E9E508E853A912396F9F02CDE5A5BA794_gallery.jpg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 210] Автор : DerBear Дата : 13.10.2017 13:56 ВОТ (http://musku.ru/nexbox-t10-na-intel-z8300-propeller-boks/) аналогичное изделие. Цена - 5т.р. на Али https://img.fasttechcdn.com/501/5019102/5019102-4.jpg И ЕЩЁ (https://www.cnx-software.com/2016/07/21/review-of-gole1-mini-pc-with-5-display-part-1-unboxing-and-teardown/) одна прикольная штука под монокорпус уже с 5" дисплеем. 272730 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 211] Автор : ko85xm Саша Дата : 15.10.2017 12:08 Боюсь слабые вещички для этого сдр , будет большая загрузка проца. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 212] Автор : EU1SW Дата : 15.10.2017 13:56 у меня i3, его точно хватит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 213] Автор : EU1SW Дата : 15.10.2017 15:05 Насчет Атомов, с полосой 48 кГц можно слушать 2 приемника на Intel Atom Z3735G, у меня есть 8" виндопланшет на нем, последний релиз PowerSDR, во время первого запуска обсчет таблиц минут 40 передача тоже работает, но так как я его тупо через вайфай зацепил, иногда выпадают пакеты, и это слышно в сигнале, загрузка процессора 40-60% если на Z8300, у него процентов на 25 пошустрее, да через провод, и пошаманить с виндой, то в принципе будет достаточно работоспособно, если больше ничего не нужно, а запустить декодирование JT65, то тут уже наверное придет ему "все" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 214] Автор : ko85xm Саша Дата : 15.10.2017 15:53 У меня на I5 загрузка 27% и то думаю это много. Портативного в одной плате ,пока ничего не подобрал. Хотелось за монитор коробочку повесить да и сам СДР тоже бы там спрятать можно. Но у вы ,может кто и подскажет. Да , Сергей , а вы не реализовали вход для ТЛГ ключа??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 215] Автор : EU1SW Дата : 15.10.2017 16:12 I5 загрузка 27% Так можно накрутить под завязку фреймрейтом и точками панорамы, что и i7 поднагрузится, дело ж не в этом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 216] Автор : UN7RX Дата : 15.10.2017 17:40 EU1SW, спасибо за полезное инфо насчет Атомов. Мне нужен "чистый" TRX, ничего стороннего на нем не будет, так что вся загрузка будет определяться исключительно трансивером. Вобщем, моноблок. а запустить декодирование JT65, то тут уже наверное придет ему "все" Сергей, а можно пояснить что это? И так ли нужно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 217] Автор : EU1SW Дата : 15.10.2017 17:50 jt65 – семейство цифровых мод -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 218] Автор : UN7RX Дата : 15.10.2017 18:11 Ну для этого проще реализовать переключение на внешний мощный десктоп и работай любой цифрой. :smile: Ок, понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 219] Автор : EU1SW Дата : 15.10.2017 19:17 Тут вопрос исключительно утилитарный, и индивидуальный, что с чем в одну коробку совать, на прошивку не влияет ) по мне так чем на поляну тащить второй комп, так проще второй монитор взять... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 220] Автор : labuda51 Дата : 15.10.2017 20:08 EU1SW.Может оффтоп.У нас в СПБ на рынке Юнона куплены xilinx xc95144 по DS 3200 лог эл.Независимо от типа-Altera,xilinx и тд можно строить приёмник последовательно/параллельно из нескольких микросхем с меньшем чем нужно лог эл.Теоретически.Дело в том что их цена 20-30 руб. Я даже удалять ваш пост не буду. Иначе до последующих не дойдет, сколько не штрафуй и не удаляй. В первом посту темы красным, для тех у кого проблемы со зрением БОЛЬШИМ шрифтом выделено - В теме ТОЛЬКО о выложенной конструкции но не доходит, ну никак. Три балла за флуд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 221] Автор : UF3K Дата : 19.10.2017 12:14 RX3QFM, Владимир, подскажите, вы написали что при применении УВЧ на BFG591 на выходе будет около 40мВт, почему? Если Ку 16дБ, а на выходе ЦАП +5дБм, т.е. около 3мВт, то должно получиться около 100-120мВт, нет? Роберт, извините, что с такой задержкой отвечаю. 40 мВт - IMD3 был в районе -60 дБ. 100 мВт - не помню точной цифры уже, но сильно лучше -40 дБ. Вообще, подумав более внимательно, пришел к выводу, что для КВ лучше сделать двухтактный драйвер на этих транзисторах - сильно легче станет со 2-й гармоникой. Для УКВ (это мое основное увлечение) особого смысла в двухтактном нет. Добавлено через 36 минут(ы): Владимира RX3QFM можно попросить выложить кусок исходника для иллюстрации принципа, у него уже работает достаточно давно такая конфигурация Попробовал, и понял, что это почти бессмысленно - в приличном количестве мест надо код изменять. К сожалению, у меня прошивка под 2 АЦП и последние доработки CW пока не слиты вместе. Такова ситуация жизненная, что я примерно через 3-4 недели смогу этим заняться и выложить полную прошивку. Само-собой, это под 22к FPGA будет. Вообще, мне было очень интересно попробовать divercity, и в результате довольно сильно разочарован. Оно хорошо давит локальную помеху (например от импульсного БП). Удаленных помех в моих условиях (на даче) стало слишком много с различных направлений, и выигрыш, ну очень невелик. В реальности 2 АЦП будут полезны тем, кто хочет работать ЕМЕ с двумя поляризациями. Это пока мои субъективные выводы за прошедшее лето. PS: Если есть желание самостоятельно посмотреть, то проект - https://yadi.sk/d/Sn7wfWWe3NtKTR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 222] Автор : EU1SW Дата : 19.10.2017 12:52 что я примерно через 3-4 недели смогу этим заняться и выложить полную прошивку. Само-собой, это под 22к FPGA будет. Владимир, цель была не заставить тебя этим заниматься, а просто просьба выложить "определение, присвоение...", защелку, как иллюстрацию принципа добавления второго АЦП, что бы люди могли посмотреть, само собой потому, что у меня тогда не было под рукой доступа к исходникам, разумеется просьба потеряла актуальность, и уж тем более не звучала как "делать "прошивки" вместо меня" ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 223] Автор : Livas60 Дата : 19.10.2017 20:46 Изготовил предусилитель с ФНЧ для АЦП и снял их сквозную АЧХ. Сам усилитель выглядит так: 273252 И его АЧХ: 273254 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 224] Автор : Livas60 Дата : 20.10.2017 19:59 Закончил модуль ЦАП: 273327 На выходе получил уровень 5-6 dBm, как и писал Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 225] Автор : UN7RX Дата : 20.10.2017 20:13 Василий, можно нормализованную полную (ЦАП +усилитель) схему с описанием, в графическом, или lay формате и печатку, чтобы добавить в описание? Я понимаю, что на фото все и так видно преотлично, но все-таки чтобы все было документировано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 226] Автор : EU1SW Дата : 20.10.2017 20:18 Спасибо за фото, Василий а я сходил сегодня в чипидип, корпус купил, плату УМ малюю ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 227] Автор : Livas60 Дата : 20.10.2017 20:57 Василий, можно нормализованную полную (ЦАП +усилитель) схему Хорошо. Завтра постараюсь приготовить и выложить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 228] Автор : Livas60 Дата : 21.10.2017 16:05 Выкладываю обещанные схемы и рисунки печатных плат модуля усилителя ВЧ приемника и модуля ЦАП передатчика. Файлы корректировались мною после изготовления плат для устранения замеченных недостатков, так что возможно чего-то не учел. Но, надеюсь, что все нормально. Схемы в spl, а печатные платы в lay6 форматах. На всякий случай прикладываю файлы схем в графическом виде, а рисунки печаток в pdf файлах. За масштаб при их распечатке не ручаюсь. В схеме DAC модуля нарисовано два варианта подключения питания аналоговых узлов микросхемы DAC904E - 3,3 Вольта или 5 Вольт. Эти цепи нарисованы штриховой линией. На печатной плате это подключение нужно сделать, запаяв соответствующую ферритовую бусину. Одновременно должна быть запаяна только одна бусина из двух, помеченных красной точкой на рисунке платы в файле формата lay6! Печатки довольно простые, но для облегчения монтажа при наведении указателя мышки на любой элемент в программе SprintLayout подсвечивается номинал этого элемента. Платы двухсторонние. Нижняя сторона сплошная фольга, которая используется как общий провод. Она зенкуется в нужных местах под выводы разъемов и перемычки, которая есть на плате усилителя. Подключение элементов к нижнему слою фольги производится короткими отрезками провода или монтажными пистонами, которые пропаиваются с двух сторон платы. При изготовлении плат способом ЛУТ рисунки нужно печатать зеркально. Подключение микросхемы ЦАП к выводам Альтеры должно соответствовать вашему варианту компиляции программы. Я указал на схеме свой. Что непонятно - спрашивайте, постараюсь ответить. Добавлено через 56 минут(ы): Заметил, что неверно нарисовал контакты реле обхода в схеме усилителя. Исправил.:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 229] Автор : UN7RX Дата : 21.10.2017 22:23 а я сходил сегодня в чипидип, корпус купил Везет же, сходил и купил.:smile: А я все жду плату АЦП и микросхемы ЦАП, вот-вот придут.:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 230] Автор : Livas60 Дата : 21.10.2017 22:27 А мне сегодня модуль аудиокодека пришел.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 231] Автор : UN7RX Дата : 21.10.2017 22:43 А он для чего? Может тоже нужно срочно заказывать? :shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 232] Автор : Livas60 Дата : 21.10.2017 23:00 Я думаю только Сергей знает ответ. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 233] Автор : EU1SW Дата : 22.10.2017 09:41 Я не теряю надежды увидеть правильный код, анонсированный 2недели назад многоуважаемым romanez. А то ведь к чему весь этот позор продолжать на люди выкладывать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 234] Автор : Radiotester Дата : 22.10.2017 11:54 Хорошо, я понял. А как на сегодня актуальную схему соединений увидеть более подробную. Понять как подготовить и записать прошивку? ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 235] Автор : UT0UM Дата : 22.10.2017 12:18 А он для чего а че Вы мой пост удалили? Я не правильно ответил? Еще раз: аудиокодек нужен чтобы слушать радио что тут крамольного? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 236] Автор : EU1SW Дата : 22.10.2017 12:41 Понять как подготовить и записать прошивку? Специально для этого в стартовом топике прикреплен документ описывающий необходимые действия. Добавлено через 9 минут(ы): Я не правильно ответил? Да, неправильно. В данной реализации "слушать радио" можно без внешнего кодека. Для вывода звука на наушники использован программно реализованый дельтасигма модулятор, об этом написано в стартовом топике. За сим считаю вопрос закрытым. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 237] Автор : ko85xm Саша Дата : 22.10.2017 13:55 Cпасибо за платы. Теперь смогу припаять свой 904-й ЦАП и проверить его в работе. Не надо сдувать 902-й. Единственное , думаю стоит сделать как на китайской платке , ввести подстроечник(c 18ноги.. ) , которым прекрасно регулируется выходная мощность с платы ЦАП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 238] Автор : Radiotester Дата : 22.10.2017 14:43 Я конечно глубоко извеняюсь, чувствую себя в этой теме как заблудвишийся муравей в огромном лесу... "Покажите мне дорогу"? Что на сегодня актуально нужно покупать из модулей и дополнительных компонентов? Есть ли актуальные варианты соединения модулей между собой,модернизация модулей (по аналоговой части), доработка до режима передачи? Кто может как то подробней все это расписать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 239] Автор : EU1SW Дата : 22.10.2017 15:37 Попробуйте просто прочитать тему. Не вы первый задаете в очередной раз одни и те же вопросы. Добавлено через 18 минут(ы): Коллеги, есть вопрос, возьмется ли кто нибудь для блага сообщества перевести схему и печать 100 ваттного РА из "рукописи" в нормальный формат? Я сделал плату вручную, глядя на бумажку, маркером, но это же не вариант ) или подскажите название тула, потому как где то когда то читал, что в каком то тулике есть возможность подложить графический файл, и просто обвести дорожки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 240] Автор : Radiotester Дата : 22.10.2017 15:51 EU1SW, в спринт лай ауте можно подсунуть графический файл и обвести. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 241] Автор : Livas60 Дата : 22.10.2017 16:25 перевести схему и печать 100 ваттного РА из "рукописи" в нормальный формат? Сергей, давайте я попробую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 242] Автор : Livas60 Дата : 24.10.2017 13:29 Мой вариант схемы проекта на текущий момент: 273624 И как это выглядит на макете: 273622 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 243] Автор : RU6AI Дата : 24.10.2017 14:27 Как подключить второй модуль ADC ? На те же пины ,что и первый ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 244] Автор : Livas60 Дата : 24.10.2017 14:47 RU6AI. Нет, для этого нужно выделить отдельные выводы альтеры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 245] Автор : RU6AI Дата : 24.10.2017 14:51 А нельзя указать, какие именно ... P.S Вопрос снимается- нашел в сообщении 57 exel файлик. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 246] Автор : UN7RX Дата : 24.10.2017 15:23 Livas60, спасибо! Наконец мы имеем полную схему для новичков вроде меня, из разряда "готово к повторению". Если можно добавить краткое описание по выводам, лучше в Ворде, то все это в виде архива помещу в первый пост как готовый к повторению "железный" проект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 247] Автор : Radiotester Дата : 24.10.2017 15:52 Livas60, Василий, спасибо за схему. Все чётко и понятно! Василий Вы аудиозаписей не делали КВ диапазонов ? Нет не где на ютюбе послушать ваш trx? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 248] Автор : EU1SW Дата : 24.10.2017 16:11 Вы хотите услышать как звучит Hermes и PowerSDR mrx? их миллион выложено... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 249] Автор : UN7RX Дата : 24.10.2017 19:17 Василий, что за доработки на плате АЦП и где можно это прочитать? Вроде старался ничего не пропускать. Это не из поста 57 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1438841&viewfull=1#post1438841) Владимира? Нет описания никакого. EU1SW, Сергей, подскажите, к выходу звуковому какое минимальное сопротивление можно подключать, чтобы не спалить ничего? Конечно будет УНЧ потом, но пока? Далее, как управлять всей внешкой - фильтрами, УМ и прочим? Сильно греется BFG591? Где то в теме было что он около 1Вт рассеивает. Такого вот прямо сейчас нет, выписать конечно не проблема, но пока дойдет... Зато есть масса BFG135. Впрочем, по УВЧ я думаю дело вкуса, возможно двухтактный поставлю, одинаковые, на прием и на выходе ЦАП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 250] Автор : Radiotester Дата : 24.10.2017 20:16 EU1SW, Я хочу услышать именно эту конструкцию которая обсуждается в этой ветке на основе упоминаемых выше в схеме модулей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 251] Автор : Livas60 Дата : 24.10.2017 21:00 Роберт, у меня на плате BFG591 греется, но палец терпит. И это при токе около 130мА через транзистор. Гораздо сильнее греется микросхема АЦП. Пришлось установить радиатор на нее. Но согласно документации она и должна потреблять до 1.75Вт. Добавлено через 37 минут(ы): Записал только что диапазон 80м. https://drive.google.com/file/d/0B9bD-_TXaWmGNmt4WnRKQTIzYnc/view?usp=sharing -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 252] Автор : R2RBN Дата : 24.10.2017 21:32 Записал только что диапазон 80м. У меня лично кроме писка не чего не слышно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 253] Автор : Livas60 Дата : 24.10.2017 21:33 А чем слушаете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 254] Автор : R2RBN Дата : 24.10.2017 21:35 А чем слушаете? браузером опера,да и хромом тоже пробывал,тоже самое.Попробую скачать и через проигрыватель послушать. PS в проигрывателе тоже самое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 255] Автор : Livas60 Дата : 24.10.2017 21:43 Ну это же не совсем обычный wav-файл. Слушать его нужно в предназначенных для этого программах, например SharpSDR или HDSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 256] Автор : R2RBN Дата : 24.10.2017 21:53 Слушать его нужно в предназначенных для этого программах Так кто знал то:-P ,пойду в Шарпе послушаю. Хотя не чего нового не услышу,все СДР звучат замечательно,был Флекс-1500 одно время нравилось как особенно АМ звучин,музыку слушал одно время даже,пришлось расстаться,о чём жалею. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 257] Автор : RC3ZQ Дата : 24.10.2017 23:58 Livas60, Я послушал тоже на поганенькой звуковой карточке своей..скажу прям таки шикарно.:super: Буду потихоньку подчитывать и собирать инфу с форума, авось да созрею. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 258] Автор : Kia2700d Дата : 25.10.2017 02:13 Мой вариант схемы проекта а где у вас тут телеграфный ключ подключается? В ХЛ2 он идет на пины 90 и 91 альтеры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 259] Автор : EU1SW Дата : 25.10.2017 07:13 В ХЛ2 он идет на пины 90 и 91 альтеры. Очень полезная и нужная информация, спасибо большое, Вы оказали неоценимую услугу сообществу! Широта ваших познаний просто поразительна. Что еще имеете сообщить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 260] Автор : RC3ZQ Дата : 25.10.2017 16:00 EU1SW, Сергей, а имеется ли такая возможность вообще с ключеванием? На прямую? P.S я в этих вопросах новичок (SDR...DDC-DUC...etc) поэтому интересуюсь. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 261] Автор : EU1SW Дата : 25.10.2017 16:36 имеется конечно, просто Вы третий человек, не считая троллей, кому хочется иметь в ПЛИС отдельный вход для ключа, все остальные, видимо, манипулируют через ПО, как и задумывалось собственно... SDR в моем понятии это ящик с разъемом антенны, разъемом питания, и разъемом езернет (ну можно еще кнопку питания предусмотреть, для эстэтов) который можно поставить под стол или вынести поближе к антеннам, или еще что нибудь... все эти юзкейсы не подразумевают втыкания в этот ящик ничего, кроме сети, питания и антенны и начинается превращение в чемодан, ну выход сделал на наушники, ради спортивного интереса CWшникам, они говорят что им так быстрее, что задержка ASIO 20-30 мсек это плохо, теперь всем давай ключ прямо в ПЛИС, кровь из носу, без него жизни нет никак, кому то микрофон... потом скажут что было б вообще офигенно крутилку приделать и экран, и все за 100 долларов, а то дорого, и из бюджета никак больше не выделить ) не ну раз всем нужен ключ - хорошо, я понял ) только прошу всех очень хорошо посмотреть на файлик из 57-го поста - и определится, хотя бы для себя, со своими желаниями я могу совершенно четко обосновать почему я оставляю конфигурацию пин на усмотрение собирающего, хотя все хотят унификации, что б схема с пинами, и что б только соединить проводками, а еще лучше плату - да потому в полной прошивке под 2 АЦП 14 битных, 14 битным ЦАП, 7 линий управления внешними устройствами, PHY, внешним кодеком, медленным АЦП для измерения мощности, КСВ, напряжения, тока покоя, ключом... и еще придумают обязательно что нибудь нужное - им просто не хватит ног в ПЛИСине на все хотелки все ж просто мною было задумано - взял, загрузил, сконфигурил, прошил... ну почитать просто надо немного, и любознательности... ну и не все сразу неттт, коллективно усложнили все практически до абсурда, что уже и сама идея забылась, которую вкладывал я в это все, и мне уже не интересно стало ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 262] Автор : RC3ZQ Дата : 25.10.2017 17:02 EU1SW, Сергей, я не в коем разе не выклянчиваю какую либо хотелку. Я просто спросил (для познания конструкции) задумано ли это в П.О то бишь есть возможность или нет. А троллить или от скуки что либо писать мне это бы выглядело по дурацки:ржач: из сдр у меня есть только два свистка rtl и все, больше я не имел "общения" не с какими либо подобными девайсами. Так что не воспринимайте пожалуйста это как троллинг.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 263] Автор : EU1SW Дата : 25.10.2017 17:06 Я, в данном случае, вовсе не имел Вас в виду как тролля ) Я просто спросил (для познания конструкции) задумано ли это в П.О то бишь есть возможность или нет. ну логично, раз CW мода есть, значит и манипулятор есть куда втыкать ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 264] Автор : UN7RX Дата : 25.10.2017 20:24 все ж просто мною было задумано - взял, загрузил, сконфигурил, прошил... ну почитать просто надо немного, и любознательности... ну и не все сразу неттт, коллективно усложнили все практически до абсурда, что уже и сама идея забылась, которую вкладывал я в это все, и мне уже не интересно стало Если удалить все что "коллективно усложнили" - а "почистить" тему десять минут дела, останется голый каркас, эта тема станет темой узкого круга любителей и главное, "понимателей" подобного рода разработок. Тут есть несколько таких тлеющих, или уже замерших тем. Никакой массовости, как в соседних темах и близко не будет. Впрочем, вы автор, вам и карты в руки. У меня вопросов более в теме нет, буду спрашивать у предлагавших доработки, в ЛС. Вам еще раз спасибо за отличный проект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 265] Автор : EU1SW Дата : 25.10.2017 20:35 Роберт, поймите верно, что бы получить массовость, как в соседних темах, нужно оставить единственный вариант, исключить вариативность, и соответственно таргетировать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 266] Автор : UN7RX Дата : 25.10.2017 22:38 Ну флуд то успешно отпиливаем. ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 267] Автор : Aivarss Дата : 25.10.2017 23:31 У меня возник вопрос, давно уже возник :roll: Сиё изделие по параметрам куда можно отнести? Интересует сравнение с AFEDRI, HiQSDR, Odyssey(все реинкарнации), Hermes(HPSDR), Hermes Lite. Как бы рейтинг составить ;-) По стоимости крайне заманчиво, но по параметрам... Не всегда слуховые ощущения совпадают с измерениями ;-) ФЛУД разводить не имею желания!!!!! Просто рейтинг если можно 8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 268] Автор : EU1SW Дата : 25.10.2017 23:40 сие поделие имеет опубликованные параметры чувствительности и динамического диапазона болтается где рядом с HPSDR, Одиссем, HiQSDR лучше Afedri, и очень сильно лучше трансивера на кабельном модеме, фрагменты исходных текстов которого взяты за основу такое ранжирование устроит Вас? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 269] Автор : Aivarss Дата : 25.10.2017 23:48 Спасибо и на этом ;-) Только не понял до конца, про кабельный, это про Hermes Lite :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 270] Автор : EU1SW Дата : 25.10.2017 23:50 он самый, с IMD3 в 65 dB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 271] Автор : Aivarss Дата : 26.10.2017 00:06 :lol: Я так и знал :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 272] Автор : Radiotester Дата : 26.10.2017 12:20 Ребят ведущие этой темы подскажите а кварцевый генератор если будет иметь стабильность 50ppm это очень плохо? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 273] Автор : EU1SW Дата : 26.10.2017 19:42 50 ppm это всего лишь характеристика термостабильности, но не шумовой У меня тоже на макете генератор 50 ррм, да, есть выбег при включении из холодного состояния, минуты 3... После 10 минут, даже в моде wspr в репортах дельта частоты нулевая. Ну и термостатировать вообще не проблема. За больше денег вам просто предложат то, что вы способны сделать сами навесом. А по шумам не представляется возможности, ) позвал бы кто нить на соревнования очные, я б приехал, наблюдателем ) Более интересны фазовые шумы используемого генератора, я и писал раньше, что использование обычного стандартного генератора - это нормально, этого более чем достаточно для обычного, домашнего применения, но ели вы спортсмен, или сосед киловатный, то можно, кому не жмет, купить и малошумящий, типа ablno, но если сосед шумит своим передатчиком то трата сия будет напрасна ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 274] Автор : RC3ZQ Дата : 26.10.2017 21:44 EU1SW, Сергей,добрый вечер. У Вас такой генератор https://www.digikey.com/product-detail/en/abracon-llc/ABLNO-V-96.000MHZ-T2/535-12340-1-ND/4240090 установлен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 275] Автор : EU1SW Дата : 26.10.2017 21:59 в предыдущем сообщении я сообщал о гулянии в макете, там где 50 ррм, стандартное этот, который по ссылке, хорош, во всех отношениях у меня нет измерителей, чтоб оценить аблно ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 276] Автор : UN7RX Дата : 28.10.2017 20:28 Наконец пришли все платы, разложил я все это радостно и начал схемы и фото Василия рассматривать и вдруг понял что пока я все это ждал, поезд уехал дальше. Потому хочется два приемника (больше мне точно не нужно), а значит еще один АЦП и 22к... Вот так и не включил пока даже 10к. :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 277] Автор : Livas60 Дата : 28.10.2017 22:15 Роберт, 2 приемника можно получить и с одним АЦП, но 22К альтера нужна будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 278] Автор : UN7RX Дата : 28.10.2017 22:54 Вот как, понятно, спасибо! Интересно, у них сами платы одинаковые? Если бы не металлизация снизу, можно было бы перепаять, сама то плиска заметно дешевле платы. Впрочем, соберу пока как есть, может 2 приемник больше дань моде... :roll: Есть вот такой генератор на 96МГц, в параметрах указано что питание 3.3, либо 5В. Так как определить, 3.3, или 5? Или все равно? 273916 273917 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 279] Автор : Livas60 Дата : 29.10.2017 09:13 Я перепаивал 22К вместо 10к. Делал так: Брал утюг, фиксировал его подошвой вверх, выставлял с помощью термопары и мультиметра регулятором утюга температуру около 150 градусов. На плату модуля прикручивал стойки 8мм снизу платы и устанавливал модуль этими стойками на подошву утюга. Предварительно брал кусок пищевой фольги, вырезал в ней отверстие чуть больше размера габаритов выводов микросхемы и фиксировал эту фольгу снизу платы, закрывая монтаж нижней стороны, кроме участка над которым припаяна альтера. Продевал под выводы альтеры с двух параллельных сторон провод 0.2 мм и скручивал его в одной точке по центру над микросхемой. Эта скрутка должна быть достаточной длины и за нее можно было поднимать микросхему, когда прогреваем ее феном. Прогревал утюг с установленным на нем модулем, и начинал греть микросхему круговыми движениями фена с температурой 360 градусов, легко натягивая проволоку вверх. В течении минуты чип легко снялся с платы. Прошу прощения за оффтопик. Насчет напряжения питания генератора, наверное только пробным путем. Начать с 3.3 вольта и дальше по обстоятельствам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 280] Автор : EU1SW Дата : 29.10.2017 10:09 С генератором не должно быть никаких сомнений, включили на 3.3 и проверили. 99% даю что все будет хорошо) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 281] Автор : LZ1AO Дата : 29.10.2017 15:52 Модератор, я позволю себе сделать Вам замечание, на общую ползу. Когда не понимаете во все 100 процента чем идет реч, не стирайте. Несколько раз уже грубо уничтожили полезные сообшения и коментарии. Уровень Ваших знании о SDR, как видно уже, недостаточен. Да и не будеть в етой ветки никогда некую законченную конструкцию для повторения любителями попаять. Идея кардинально другая. Выбрасивая воду , не выбрасывайте ребенка, пожалуйста. P.S. EU1SW, извините что вмешался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 282] Автор : RX9A Дата : 29.10.2017 16:11 [quote="UN7RX;1455887"] Проверил и на 3 и на 5 вольтах работает . Не греется , только уровень сигнала меняется , частота стоит стабильно. Только думаю при 5 вольтах выхода многовато будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 283] Автор : EU1SW Дата : 29.10.2017 16:41 Хочу в очередной раз попытаться закрыть вопрос с выходами плис, что больше не мусолить эту тему. Оригинальный гермес имеет на борту аудиокодек, микрофон/линейный вход/линейный выход/наушники. Я сделал возможность вывода звука на наушники без кодека, ей богу, лучше б не делал... PowerSDR по фигу на этот кодек, есть он, нету его... программа может использовать микрофон и наушники включенные в звуковую карту. таким образом про наушники в плис просто забыли и все, считаем что там ничего нет. Все работоспособно без этих штучек дрючек... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 284] Автор : r3ya Дата : 30.10.2017 19:58 ...таким образом про наушники в плис просто забыли и все... Нет, Сергей, кодек в ПЛИС нужен. Никто не пробовал передавать эл. ключем на нормальной скорости а слушать себя с задержкой? Я понимаю, передача с клавиатуры, бла бла, но с ключем удобнее. Особенно на СВЧ. Убирать нельзя. ИМХО. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 285] Автор : RC3ZQ Дата : 31.10.2017 01:16 Я перепаивал 22К вместо 10к.Растолкуйте пожалуйста для чего это Вы делали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 286] Автор : r0sal Дата : 31.10.2017 09:11 У ko85xm, Александра на фото виден усилитель после ЦАПа. Александр расскажите подробнее пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 287] Автор : EU1SW Дата : 31.10.2017 09:32 Схемотехнично это копия УВЧ на BFG591, в качестве буфера, заодно разгоняет выхлоп ЦАП до 100 милливатт, трансвертеры подключать, или усилитель КВ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 288] Автор : r0sal Дата : 31.10.2017 11:32 Схема УВЧ без изменений, транзистор в том же режиме? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 289] Автор : Livas60 Дата : 31.10.2017 11:33 Растолкуйте пожалуйста для чего это Вы делали? Это позволяет иметь в трансивере несколько приемников (на данный момент два), что в свою очередь позволяет, работая в эфире, вести мониторинг (в том числе и визуальный) любого другого диапазона или определенной частоты. Кроме этого появляется возможность задействовать технологию PureSignal, а при наличии второго АЦП и технологию diversity приема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 290] Автор : Fly Дата : 31.10.2017 15:50 Здравствуйте! А существует возможность самостоятельного изготовления модуля АЦП? Может кто нибудь развел плату и спаял? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 291] Автор : romanetz Дата : 01.11.2017 07:01 Fly, отписал в лс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 292] Автор : RA4UKL Дата : 03.11.2017 19:47 Здравствуйте. Уточните по назначению некоторых выводов ПЛИС, кто в курсе: userout (Коммутация ДПФ? Если да, то каков алгоритм?), dipsw, отличие ptt_i и exp_ptt_n. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 293] Автор : UN7RX Дата : 04.11.2017 19:47 userout - пост от UR5KIM (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1438766&viewfull=1#post1438766). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 294] Автор : Livas60 Дата : 05.11.2017 09:46 кто в курсе: userout (Коммутация ДПФ? Если да, то каков алгоритм?), dipsw, отличие ptt_i и exp_ptt_n О userout уже Роберт подсказал. Алгоритм должен быть понятен из скрина программы PowerSDR: 274340 dipsw служат для смены MAC-адреса и ID трансивера, ptt_i и exp_ptt_n, как видно из названия, соответственно вход и выход сигнала ptt. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 295] Автор : Radiotester Дата : 07.11.2017 09:02 Livas60, Василий, тоесть в программе выставляются нужные пины для каждого диапазона для дпф? Как то просто не пойму алгоритм... А как это все дело схематично выглядеть будет с дишифратором или он не нужен? Нету вариантов схематично набросков посмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 296] Автор : UN7RX Дата : 07.11.2017 09:13 Ну на скрине же четко видно, как используются пины. Никакого "алгоритма", галочка - выход. Схема же приложена в первом посту, смотрите ссылки под катом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 297] Автор : Radiotester Дата : 07.11.2017 10:37 UN7RX, Спасибо. То есть если поставить птичку на определённый пин в программе то на этом пине при включенном band напротив которого стоит птичка появится логическая единица? Соседнее окно с права где vhf это просто распределены поддиапазоны иными границами которые конфинурируются в программе? И ещё вопрос - не посредственно на пин можно вешать ключ для управления релюхами пдф и фнч tx? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 298] Автор : Livas60 Дата : 07.11.2017 12:36 Да, на выходах userout устанавливается напряжение +3.3В при наличии птички при соответствующем включенном диапазоне и на эти выходы можно подключать ключи или дешифраторы для коммутации фильтров. Окно vhf предназначено для работы с УКВ трансвертерами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 299] Автор : Radiotester Дата : 07.11.2017 13:27 Livas60, Василий, граничная частота АЦП 60мгц? Выше работать не будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 300] Автор : Livas60 Дата : 07.11.2017 13:59 До половины тактовой частоты, то есть 48МГц (96МГц/2). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 301] Автор : Radiotester Дата : 07.11.2017 19:26 Livas60, А возможно тактовую частоту увеличить например до 125мгц чтобы по более диапазон за иметь? Или тут уже в AD микросхему упирается все? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 302] Автор : R2RBN Дата : 07.11.2017 20:01 Вопрос такой выкладывали прошивку 22к с 2-мя приёмниками и 1 ацп ? ,а тот китайцы в место 15к прислали 22к :-P И ещё вопрос они как я понимаю 22 и 15 по распиновке одинаковые,достаточно в проекте просто другую микросхему указать и скомпилировать?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 303] Автор : EU1SW Дата : 07.11.2017 20:05 Что то я не пойму... Проект выкладывали под 22к, вам прислали 22к... Где проблема? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 304] Автор : R2RBN Дата : 07.11.2017 20:14 вам прислали 22к... Где проблема? Я про проблему не говорил,значит я что то попутал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 305] Автор : Livas60 Дата : 07.11.2017 20:29 А возможно тактовую частоту увеличить например до 125мгц Перечитайте сообщения 5 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1413927&viewfull=1#post1413927) и 6 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1415531&viewfull=1#post1415531) этой темы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 306] Автор : EU1SW Дата : 07.11.2017 20:39 На фоне поиска глубинного смысла в семи проводах какие то коэффициенты, кратности. Да еще и тему перечитывать... Проще спросить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 307] Автор : DerBear Дата : 07.11.2017 23:32 Приехали почти все модули, окромя ТХСО. По инструкции попробовал зашиться прошивкой из шапки Hermes_Lite_96_RXTX_ CW_sidetone_10k.zip. Подключил и.... тишина. PSDR не видит устройство. В свойствах соединения видно, что в устройство пакеты идут, а обратно нет. Схема подключения от UR5KIM не соответствует по подключению по пинам прошивке CW_sidetone_10k. В пинмап не рискнул пока лезть, подключил пока голую плату LAN к ПЛИС в попытке определить устройство из программы. Неплохо бы к схеме приложить готовую прошивку, хотя бы на один вариант 1 ADC\1 DAC с настроенными пинами под схему. Плохо что нет внятного описания. Например, прошились, подключили LAN, а какой IP устанавливать на компьютере? Если устройство раздаёт само IP по DHCP надо бы это указать в шапке. (У меня ничего не раздаёт, пакеты с устройства не идут) Поставил VC2015. Нашел где в исходниках DLL можно поправить семплерейт. DLL генерируется. Можно будет потестить другие частоты, но это потом. Сперва надо запустить изделие. Готов сделать описание, когда разберусь что и куда для тех кто не в теме. Хорошо, что мануал по прошивке хоть есть, иначе без пол-литру и знакомых программистов-плисоводов ни-ни... :пиво: Итак, вопрос №1 - что там с IP ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 308] Автор : RC3ZQ Дата : 08.11.2017 01:25 Тоже хотелось бы знать под схему #237 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1454456&viewfull=1#post1454456) которая в шапке от Livas60 Василия , какая прошивка нужна? Я тоже как бы намереваюсь купить сие модули но не знаю когда:ржач: и подбиваю инфу на локальный диск себе. IP адрес задается при сборке прошивки (в шапке есть мануал) но может я ошибаюсь, DHCP клиента там вроди нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 309] Автор : EU1SW Дата : 08.11.2017 06:12 Совсем тоска... Какой такой DLL еще приплели, нипанятно... Господа, неужели Вас нужно тыкать в конкретные посты, самостоятельно ознакомиться с содержимым темы вы не можете? Пост номер 48, если занятые очень Неплохо бы к схеме приложить готовую прошивку, хотя бы на один вариант 1 ADC\1 DAC с настроенными пинами под схему. Неплохо бы, что бы люди понимали, что они делают, а не собирали все подряд, не приходя в сознание, не ставилось цели сделать сборку доступной умеющим только держать паяльник, и не выражали бы свои претензии так явно, если столкнулись с чем то не знакомым, а им это е удосужились заранее разжевать до того, что б "повторятелям" не пришлось покинуть зону комфорта... Или даже просто, не отрываясь от кресла выйти за пределы темы ( У меня же макет совпадает с пинами, выставленными в прошивке, представляете? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 310] Автор : rolin Дата : 08.11.2017 07:32 не ставилось цели сделать сборку доступной умеющим только держать паяльник, и не выражали бы свои претензии так явно, если столкнулись с чем то не знакомым, а им это е удосужились заранее разжевать до того, что б "повторятелям" не пришлось покинуть зону комфорта... Или даже просто, не отрываясь от кресла выйти за пределы темы ( Коллега, мне кажется данная конструкция как раз и предназначается для умеющих паять только проводки, чтобы не отрываясь от кресла, заказать готовые модули на Али, спаять их за полчаса и получить радиво "ничуть не хуже чем..." Но что же пошло не так ? Это я не прикалываюсь, просто ваше раздражение уже даже не скрывается. Может есть смысл обсудить данный вопрос, чтобы стало легче. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 311] Автор : labuda51 Дата : 08.11.2017 07:57 Это называется- кто не с нами тот против нас.Но яблоко брошено и Карфаген должен быть разрушен.Это плюс.Умудрился скомпилировать прошивку под EP4CE10F17C8-будет работать или нет не спрашиваю-мове тон.Это тоже плюс.Можно ли заменить ADC 14 разрядов на другой или уменьшить тактовую меньше 80 МГц.Как это сделать в файле описания проекта-там 61440000.Сборка проекта превращается в увлекающее занятие.И это тоже плюс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 312] Автор : DerBear Дата : 08.11.2017 09:55 Какой такой DLL еще приплели, нипанятно... ExtIO DLL для более широкого бендскопа. У меня же макет совпадает с пинами, выставленными в прошивке, представляете? ) Схемы макета так никто и не увидел. Только фотографии. Восстанавливать схему по фото самому? Видимо, придётся... Среди 31 страницы 2 схемы - обе не совпадают с пинмапами видимых в ветке прошивок. Пост номер 48, если занятые очень Видели и читали. Там про роутер. Но у меня нет роутера. При прямом подключении к компьютеру - тишина. Что делать, куда копать? Неплохо бы, что бы люди понимали, что они делают, а не собирали все подряд, не приходя в сознание, Сергей, для чего у нас вообще форум существует? Пока ехали платки, я успел 4 раза перечитать обе ваших ветки и сопутствующие. ВЫ потратили несколько месяцев на ведение темы + наверное, программист и хорошо понимаете во всех этих ПЛИС и ЦТ в общем. А что делать тем кто не так глубоко в теме? Тут же, на форуме 80% народа, кто кроме паяльника в руках ничего не держал. Вот я, получил платы, собрал их по приложенной к ветке ЕДИНСТВЕННОЙ схеме, а прошивка-то оказывается к схеме, которой нет. Для ВАС схему рисовать не нужно, вы проект свой и так в голове держите. А что делать тем, кто Квартус в первые в жизни видит? По пинмапум разобрался, пересобрал схему, но она не работает всё равно. Куда обращаться как не к автору, ну, или на форум? Разве не для этого ветку создали? Ладно, мы в ходе 2-х веток поняли, что одни и те же вопросы вы не любите описывать, но есть же мильён сопутствующих вопросов. Всем тем, кто не в теме, вопросы сюда задавать нельзя? Между собой в личке общаться? Вот лежит у меня железка сейчас передо мной на столе. Попробовал 2 разные прошивки. И всё равно она не работает. Что с ней делать дальше я не знаю. :cry: Ещё пару вопросов назрело: При перепрошивке EPСS16 нужно ли и как очищать микросхему ЕЕПРОМ? Или поверх старой писать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 313] Автор : Livas60 Дата : 08.11.2017 11:06 RK6AJE Выше в теме Вы писали, что не получили ТХСО. Получается у Вас включено два модуля LAN и альтера без тактового генератора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 314] Автор : DerBear Дата : 08.11.2017 11:17 Я сперва подключил всё вместе с маленьким 0705 генератором на 100МГц. Тишина. Потом переразобрал и оставил только модуль LAN и ПЛИС. АЦП пока снял. На плате ПЛИС и LAN стоят же свои генераторы. Нужно ли обязательно ставить модуль АЦП, что бы пакеты вообще пошли по сети и PSDR увидела, что стоит модуль Hermes со своим МАС и IP? Смотрю программой WareShark и в свойствах сетевого соединения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 315] Автор : Radiotester Дата : 08.11.2017 12:10 RK6AJE, Пропишите устройству статический ip например 192.168.1.50 Это я насколько понял делается при сборке прошивки (х.з по 48 посту инфв мало). На сетевой карте компа ip пропишите 192.168.1.2 , маску 255.255.255.0 и адрес основного шлюза 192.168.1.50 тобиш ip устройства. Это тоже справедливо для Linux. Livas60, Василий, тоже интересно к Вашей схеме из поста который закрепили в шапку какая прошивка соответствует пинам задействованых на схеме? Можно прошивочку выложить а модератор её думаю в "шапку" "подымит". Так легче будет для далеких и будет менее глупых вопросов в теме и соответственно мусора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 316] Автор : DerBear Дата : 08.11.2017 12:31 Пропишите устройству статический ip например 192.168.1.50 Я бы прописал, только куда? В том то и дело, куда прописывать статический IP информации нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 317] Автор : EU1SW Дата : 08.11.2017 12:46 для начала не надо никуда ничего прописывать по советам из интернета, без рутера статический APIPA должен быть при таймауте DHCP, все работает при соединении ноутбука и устройства без рутера, надо просто убедиться, что линк поднялся, и подождать, PSDR должна обнаружить трансивер., и вифи всякие, если есть - выключить, иначе пакет дискавери будет уходить в интернет уже писал об этом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 318] Автор : Livas60 Дата : 08.11.2017 13:38 Приходит много вопросов в личку по схеме соединений, приведенной мною в сообщении 237 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1454456&viewfull=1#post1454456). Привожу небольшое описание этой схемы. В сообщении 153 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1446600&viewfull=1#post1446600) темы Сергей (EU1SW), автор проекта, описал варианты конфигураций, которые можно получить, используя этот проект. Для себя я выбрал вариант с ПЛИС 22К и одним АЦП. Описываемая схема соответствует этому варианту. При выборе варианта соединений модулей между собой я придерживался схемы, предложенной Владимиром (RX3QFM) в сообщении 57 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1438841&viewfull=1#post1438841) и затем рекомендованной к применению Сергеем в сообщении 80 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1439255&viewfull=1#post1439255). Отличие моего варианта состоит в отказе от второго модуля АЦП и часть освободившихся выводов ПЛИС я использовал для выходов userout. Краткое описание схемы: Красными границами выделены покупные модули, зелеными самодельные. АЦП: Внутри модуля ADC красным цветом нарисованы компоненты, которые нужно установить дополнительно. На входе АЦП устанавливается ФНЧ с частотой среза 35 МГц. В сообщении 57 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1438841&viewfull=1#post1438841) этой темы Владимир описывает эту переделку. Элементы, которые необходимо удалить из модуля я не указывал. Эта информация есть в сообщении Владимира. Два встречно включенных диода, шунтирующих вторичную обмотку трансформатора, через который подаются тактирующие импульсы на микросхему АЦП устанавливаются согласно заводской документации производителя этой микросхемы для снижения шумовых наводок на аналоговые узлы микросхемы. Китайский производитель модуля эти диоды проигнорировал. Нужно выбирать быстрые диоды с падением напряжения на переходе около 0,4В. Я поставил сборку BAS70-04. Альтера: Номера выводов модуля альтеры соответствуют одноименным номерам самой микросхемы альтеры. В рисунке модуля альтеры зеленым цветом подписаны выводы, которые являются медленными. Их не нужно использовать для быстрых сигналов. Синим обозначены выводы, являющиеся только входами. Ну и красным цветом подписаны выводы, которые являются выводами питания различных узлов альтеры с объемом 15К или 22К. В модулях на микросхемах EP4CE6, EP4CE10 эти выводы являются сигнальными. Для тех, кто использует модули на базе EP4CE6 или EP4CE10 и планирует в дальнейшем переход на EP4CE22 не нужно использовать эти выводы при сборке программы для упрощения этого перехода. Если производилась замена чипа 6К или 10К на 22К, то к этим выводам уже нельзя делать какие либо подключения. В новых модулях с микросхемами EP4CE15, EP4CE22 эти выводы микросхемы уже не соединены с контактами разъемов модуля. Если производится замена альтеры 6К или 10К на 22К, то с платы модуля нужно также удалить восемь светодиодов, подключенных к выводам 73-77, 83-85. Вывод 55 модуля соединяется перемычкой с контактной площадкой выхода неустановленного кварцевого генераторы с нижней стороны платы. Эта площадка в свою очередь уже соединена на плате с тактовым входом 24 альтеры. Эта перемычка сделана с целью упрощения подключения внешнего тактирующего сигнала для альтеры посредством контакта 55 разъема модуля, поскольку тактовые входы альтеры не выведены на разъемы модуля. Таким образом вывод 55 не должен нигде использоваться в программе альтеры для исключения конфликта сигналов. Управляющие сигналы: Выходы Userout[0]- Userout[6] служат для управления фильтрами RX и TX и конфигурируются в управляющей программе, например так это выглядит в PowerSDR mRX PS: 274542 Выводы ptt_i и exp_ptt_n вход и выход сигнала ptt соответственно. Прошивку под этот вариант схемы (22К ПЛИС + 1 АЦП) прикладываю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 319] Автор : RC3ZQ Дата : 08.11.2017 15:53 без рутера статический APIPA должен быть при таймауте DHCP Сергей, то есть на ПК нужно всетаки настроить DHCP сервер и ждать пока PSDR не подцепится к устройству? Я просто "кухню" не понимаю сию. По классике у всех интернет домашний идет через роутер и по классике роутер выступает DHCP сервером в свою LAN домашнею. Какие протоколы и порты использует PSDR для обмена данными? exp_ptt Василий, на этом выходе появляется в режиме TX 3.3v ? для включения драйвера и УМ на передачу к примеру? Перевод на передачу осуществляется же с программы PSDR ? А вход ptt_i для чего? туда можно 3.3v подавть чтобы внешне переключать трансивер на передачу? Например с педальки? Так я понимаю? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 320] Автор : EU1SW Дата : 08.11.2017 17:43 Сергей, то есть на ПК нужно всетаки настроить DHCP сервер и ждать пока PSDR не подцепится к устройству? Дословно, я где нибудь писал, что нужно устанавливать|настраивать DHCP? по таймауту DHCP (которого нет) устройство возьмет себе IP http://wiki.compowiki.info/ChtoTakoeAPIPA и комп тоже адаптеру назначит из этого же диапазона, соответственно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 321] Автор : RC3ZQ Дата : 08.11.2017 17:57 EU1SW, Понял, спасибо Сергей. а далее как сделать так что бы привязать жестко ip адрес адаптеру трансивера что бы его подключить через роутер и далее выпускать в "наружу" и иметь во всей локалке. "Ткните пальцем" как это делается? Думаю не только мне полезно будет это знать. Спасибо большое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 322] Автор : Livas60 Дата : 08.11.2017 17:58 А вход ptt_i для чего? туда можно 3.3v подавть чтобы внешне переключать трансивер на передачу? Да, на выходе exp_ptt_n появляются 3.3В при переводе трансивера на передачу для управления внешними устройствами. А вот по входу ptt_i трансивер переводится на передачу, но не высоким уровнем, а логическим нулем, тоесть соединением этого контакта в общим проводом. По поводу соединения трансивера с компьютером готовлю небольшое описание. Буду выкладывать частями по мере готовности. Сейчас есть черновик первой части. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 323] Автор : RC3ZQ Дата : 08.11.2017 18:01 Livas60, Понял, спасибо Василий. Если по хорошему наверное нужно поставить оптопару на ptt_i что-бы разъвязатся и случайно не выпалить порт ptt_i ....но это мысли в слух:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 324] Автор : Livas60 Дата : 08.11.2017 18:09 Подключение трансивера к компьютеру. Буду писать по мере наличия времени для этого. :-( Начало: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 325] Автор : RC3ZQ Дата : 08.11.2017 19:05 Livas60, Василий, еще хорошо бы было включить в мануал список портов и протоколы по которым возможно выпустить трансивер в интернет для подключения по удаленке программой PowerSdr -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 326] Автор : DerBear Дата : 08.11.2017 19:31 В общем, и так попробовал и этак - и полную схему собирал и с генератором отдельно, 2 прошивки попробовал - от LAN всё равно полная тишина. Пока не приедет вторая плата PHY, откладываю эксперименты. Пичаль-бида...:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 327] Автор : Kia2700d Дата : 08.11.2017 21:01 Вы писали что генератор был на 100 МГц вместо 96. Может быть какая-то нестыковка с платой phy по клокам? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 328] Автор : ko85xm Саша Дата : 08.11.2017 23:30 2 прошивки попробовал А вы смотрели когда прошивали во вкладке по пинам, к тем ли вы ножкам плис подсоединили PHY LAN ? И насколько я помню у меня без генератора 96мгц который соединен с АЦП не работало. Применяю DHCP сервер установленный под виндовс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 329] Автор : Kia2700d Дата : 09.11.2017 00:55 Вроде всегда учили первым делом проверить все напряжения питания и тактовые частоты. Остальное дело техники :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 330] Автор : DerBear Дата : 09.11.2017 12:16 Все соединения делал из таблицы пинмапа в Квартусе. Генерацию кварцев проверил, напряжения тоже... Если дело не точно в частоте 96МГц, то я не знаю... Пока грешу на LAN модуль. Отложил пока железки до приезда генератора и нового LAN. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 331] Автор : EU1SW Дата : 09.11.2017 17:15 хорошо бы было включить в мануал список портов и протоколы по которым возможно выпустить трансивер в интернет для подключения по удаленке программой PowerSdr Хороший у Вас интернет, просто отличный! минимум 21 мегабит в секунду на выгрузку с минимальным джиттером - просто праздник какой то! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 332] Автор : romanetz Дата : 09.11.2017 17:55 Обнаружение приёмника программой делается с помощью широковещательного (на адрес 255.255.255.255) UDP-пакета, маршрутизаторы в интернете их отбрасывают сразу, дело не в полосе и не в джиттере даже. Командный порт приёмника - 1024, протокол UDP, порт исходящих данных такой же (это уже непринципиально) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 333] Автор : LZ1AO Дата : 09.11.2017 20:37 Ребята, а разве обсуждаемое типично для обсуждаемой в ветке конструкции EU1SW DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али ? По моему, количество уже написанного в Интернет, о соединении HPSDR и ему подобные, включительно Гермес достаточно, даже и на етом сайте. Протокол и процедуры автoры HDSDR описали подробно и сделали ети описания доступными всем. Почитайте пожалуйста, прежде чем повторят все ето здесь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 334] Автор : UN7RX Дата : 09.11.2017 21:44 Ребята, а разве обсуждаемое типично для обсуждаемой в ветке конструкции EU1SW DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али ? Еще как будет типично. Вы же сами хотели вместо темы получить свалку - вот она, начало. Вот Kia2700d, чуть раньше жаловался на недостаток свободы, а на вопрос автора "А вам есть что предложить" исчез и не появлялся, пока в теме не начался привычный по другим темам, столь любезный сердцу флудеров, бардак. И еще через пару страним от сути останется менее половины, а автор плюнет и просто перестанет отвечать и появляться - тут же люди побеседовать пришли, куда он со своими пожеланиями "чистой темы"! согласен, здесь не форум для сисадминов. но человек задал вопрос Вот это фраза ИДЕАЛЬНО описывает методику превращения темы в свинарник, где будет несколько сотен страниц, в которых желающий что-то собрать, сломает себе все, от зрения до мышки и клавиатуры и то, фиг что найдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 335] Автор : LZ1AO Дата : 09.11.2017 21:56 Ролин, сколько раз нужно Вам повторить, что место все етого не здесь? Да и где же я говорил о broadcast и о том что возможно и что неть по Вашему? Боже мой, даже не смешно... Модератор, согласен с Вами в случае.. А о том что я хотел, можем поспорить, но не здесь, давайте в личку, если думаете, что вообще нужно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 336] Автор : Serg Дата : 09.11.2017 22:08 Вот это фраза ИДЕАЛЬНО "здесь не форум для сисадминов. но человек задал вопрос" Действительно тут не многие сисадмины. Но, вопрос доступа к обсуждаемому устройству через интернет - в принципе смежный и может интересовать многих, кто обзавелся или планирует собрать этот аппарат! Допустим, хоть давно с компами, но только на днях узнал о том APIPA способе получения адресов, о котором говорил тут Сергей EU1SW. Так что и среди флуда можно рациональное звено находить. В прочем, лучше дискуссию о доступе не удалять, а например, сделать на нее ссылку в виде индекса в первый пост - вот и будет уже об этом вопросе FAQ, Если кто-то спросит снова - ссылку дали и вопрос закрыт. Можно, конечно, последние посты и вынести в какую-то ветку, допустим, назвать ее "Подключение к Hermes(HPSDR) аппаратуре через интернет", на ваше усмотрение... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 337] Автор : RC3ZQ Дата : 10.11.2017 00:25 Можно, конечно, последние посты и вынести в какую-то ветку, допустим, назвать ее "Подключение к Hermes(HPSDR) аппаратуре через интернет", на ваше усмотрение... Я тоже за это. Тема актуальна но она должна быть отдельно от этой якобы не засорять тему . Но линки на отдельную тему тут обязаны "жить" в первом посте! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 338] Автор : Livas60 Дата : 10.11.2017 11:12 Закончил краткую инструкцию по подключению трансивера к компьютеру для начинающих: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 339] Автор : RX9A Дата : 10.11.2017 13:21 Здравствуйте. У меня проблема при программировании . Altera EP4CE10E22C8N USB Blaster Rev.c китаский Все делаю по инструкции . При заливке прошивки останавливается на 75% . Драйвера для USB Blaster перепробовал все что нашел и родные из папки Altera и из Инета. Может что подскажете -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 340] Автор : Livas60 Дата : 10.11.2017 14:28 Я бы начал с проверки напряжения питания бластера и ПЛИС, затем посмотрел бы осциллографом уровни сигналов между бластером и альтерой. Визуально на платах все в порядке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 341] Автор : romanetz Дата : 10.11.2017 16:16 Попробуйте запрограммировать только ПЛИСку без памяти, не .jic, а .sof файлом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 342] Автор : UN7RX Дата : 10.11.2017 21:41 UN7RX я вижу что вы своим "радаром" пытаетесь меня спровоцировать на бан. я ответил на конкретный вопрос Серьезно? Смотрим: 274676 На ваш пост состоящий из чистейшего флуда, оставшегося, кстати, без последствий, автор темы спросил какую еще полезную мысль вам не дали высказать. После чего вы пропали надолго (дату видите?) - пока в теме не началась привычная "задушевная беседа" о чем придется. Вот тут вы обозначились. Это и есть "ответ на конкретный вопрос?". Бан по совокупности. Для остальных - вас не смущает что автор перестал появляться в теме, стремительно превращающуюся в зафлуженное болото? Никто не против вопросов в теме, я так же как и вы задаю их и прошу помощи, но для тех, до кого плохо доходит написанное, еще раз советую прочитать то, что выделено красным крупным шрифтом в закрепленном посту! Не нужно разводить здесь теоретических дискуссий и генерировать ответления от той конструкции которую предложил автор. Если он сочтет это нужным, то он это сделает, подчеркну - это его пожелания и его тема! Можно, конечно, последние посты и вынести в какую-то ветку, допустим, назвать ее "Подключение к Hermes(HPSDR) аппаратуре через интернет", на ваше усмотрение... Прекрасное предложение.Открывайте ветку про протокол и хоть задискутируйтесь там, благо охватите массу смежных тем где это используется. Здесь просто запустить то что есть люди не могут, задают уж точно совершенно конкретные вопросы по конструкции - нет же еще насыпали сверху словесной шелухи. Livas60, Василий спасибо, помещаю в первый пост. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 343] Автор : Serg Дата : 10.11.2017 22:56 Открывай те ветку про протокол и хоть задискутируйтесь там, благо охватите массу смежных тем где это используется. Отрежьте в новую ветку почти всё с поста 316 и дальше - вот и будет начальная инфо по IP соединением с HPSDR-подобной аппаратурой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 344] Автор : RA4UKL Дата : 10.11.2017 23:11 Здравствуйте. Сергей, в 10к ПЛИС места для обработки (т.е передача в powersdr) оцифрованных значений КСВ и мощности уже нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 345] Автор : EU1SW Дата : 11.11.2017 12:14 Без понятия, у меня нет в наличие подходящего ацп поэкспериментировать, а оригинального из гермеса тоже не видно, ни на Али, ни в местных лабазах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 346] Автор : romanetz Дата : 11.11.2017 14:07 Ответил в лс RA4UKL -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 347] Автор : EU1SW Дата : 11.11.2017 14:27 Ну вы или пишите для всех, либо можете не ставить всех в известность о своих переписках по личкам. И вроде помнится обещали всех удивить мастерством программирования. С нетерпением ждем прихода... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 348] Автор : RX9A Дата : 11.11.2017 16:23 Здравствуйте .Спасибо за подсказки. После проверки всего и вся. (Мы не ищем легких путей :) ) ПЛИСка залилась .sof файлом. Проверил несколько раз с памятью не программируется, видать что-то с микросхемой памяти. Вопрос: Я так понял что возможно сделать экспорт в .rom файл и запрограммировать простым программатором (например Тритоном). А пока не выключая питания с ПЛИС подцепил Raspberri pi2 , и все заработало. Передачу пока не проверял . Вот такая компактная конструкция. Всем еще раз спасибо буду заниматься дальше. 73. Сергей RX9A -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 349] Автор : UA6BJU Дата : 11.11.2017 16:48 добрый вечер! Прошу прощения, что влезаю, а вы программировали микруху памяти в режиме JTAG, как я понял, USB бластером? Я, конечно, не специалист, но там режим Active serial надо выставлять, я так прошивал. Я программировал, правда, не эту плату, но Одиссея, у меня возникали ошибки, пока на 32 битную ось не перелез. Память и FPGA там примерно такие же =) И еще, я первый раз микруху зашивал в режиме принудительного стирания, как-то так. Второй раз она уже шилась нормально. Если нужно, могу скрины найти. С уважением, Алексей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 350] Автор : RA4UKL Дата : 11.11.2017 21:59 Без понятия, у меня нет в наличие подходящего ацп поэкспериментировать, а оригинального из гермеса тоже не видно, ни на Али, ни в местных лабазах. Оно? (https://ru.aliexpress.com/item/ADC78H90CIMT-ADC78H90C-TSSOP/32595958920.html?ws_ab_test=searchweb0_0,searchweb201602_2_10152_10065_10151_10068_10344_10345_10342_10343_10340_10341_10581_10580_5900020_10541_10585_10307_5670020_10301_10060_10155_10154_10056_5880020_10055_10539_10537_10536_10059_10534_10533_5890020_100031_5740020_10577_10103_10578_10102_10169_10142_10107_5860020_10562_10084_10083_5650020_10312_10313_10314_5660020_10211_10550_10128_10073_10551_10129_10552_10553_10555_10557_5910020_10125-10552_10169,searchweb201603_25,ppcSwitch_7&btsid=fe531463-35ef-42e7-aca1-e13d24b32283&algo_expid=3cf01077-0cd2-4971-a4af-73ec36d98ded-0&algo_pvid=3cf01077-0cd2-4971-a4af-73ec36d98ded) Может, пригодится.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 351] Автор : EU1SW Дата : 11.11.2017 22:44 Валерий, там доставка дороже самой микрухи выходит, подожду пока... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 352] Автор : rolin Дата : 11.11.2017 23:25 Как вариант - MCP3202 (https://ru.aliexpress.com/wholesale?catId=0&initiative_id=SB_20171111122416&SearchText=mcp3202), исходники из Одиссея-2 можно потянуть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 353] Автор : EU1SW Дата : 12.11.2017 06:16 Тогда ИМНО 3208 стоит попробовать, в чипедипе есть в наличие -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 354] Автор : Livas60 Дата : 12.11.2017 15:23 Может быть, немного оффтоп: новая версия PowerSDR mRX P (https://github.com/TAPR/OpenHPSDR-PowerSDR/releases/tag/v3.4.3)S (https://github.com/TAPR/OpenHPSDR-PowerSDR/releases/tag/v3.4.3) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 355] Автор : Ra9cin Дата : 13.11.2017 07:03 RX9A, Решили проблему с программированием Altera? Возможно ваш случай, проверьте какая память стоит на плате Altera M25p32 - Это тип конфигурационной памяти EPCS32. По умолчанию в Квартусе такой НЕТ. (в 15 тоже нет ) Нужно создать quartus.ini и прописать "pgm_allow_epcs32=on" , конвертировать с типом EPCS32. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 356] Автор : UN7RX Дата : 13.11.2017 21:51 Подскажите, какой ток потребляют все платы суммароно по 5 и 12В? Если, конечно, кто-то примерно прикидывал, или измерял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 357] Автор : EU1SW Дата : 13.11.2017 22:02 Доброго вечера! Вот тут недоработка, единственный ток, который я измерял в данной конструкции - ток покоя выходников в РА. Но первоначально в макете две КРЕН, 9 и 5 вольтовые были просто припаяны к фольге несущей платы. Ничего не сдохло, но горячо было в это месте. Потом прикрутил к радиатору с rd15, они его тоже грели не плохо, а потом и к большому радиатору с rd100. Думаю не больше ампера. Если через пару дней вопрос останется актуален, то измерю поблочно. У меня питается все от 12 вольт, сначала 9 вольтовая, а от нее две 5 вольтовых на АЦП и ПЛИС. На плате ПЛИС свои стабилизаторы, и на плате АЦП еще один малошумящий 3.3 для задающего только. КПД результирующий конечно ниже плинтуса, зато аналог не шумит ) и термостабилизирует нутро аппарата ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 358] Автор : UF3K Дата : 13.11.2017 22:10 У меня весь приемник и драйвер передатчика потребляют чуть больше 1 Ампера. Все напряжения формируются из 13,6 В линейными стабилизаторами 7809, 7805, которые стоят на радиаторе. Температурный режим вполне терпимый, даже когда в комнате +37С было летом. Ветвится на 2 цепи: 13,6 В - 5 В цифровое 13,6 В - 9 В для УВЧ и драйвера - 5 В аналоговое -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 359] Автор : UN7RX Дата : 13.11.2017 22:44 Ок, спасибо, друзья, принял к сведению. Просто я сейчас рисую ПП, на одной плате будут УНЧ и стабилизатор на 5В, вот тут и задумался, сколько может понадобиться. 7805 и иже с ними как правило на 1А, судя по всему, для них будет практически потолок. Поставлю L200, или лучше LT1083, чтобы вообще к этому не возвращаться, на все платки 5В отсюда пойдет. Импульсные сюда однозначно ставить не буду, не хочу проблем с помехами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 360] Автор : UF3K Дата : 13.11.2017 23:19 Роберт, 7805 бывают еще и на 1,5 ампера в таком же корпусе. Забыл какая там буковка на конце, кажется "n". Ну это так, для запаса надежности... Импульсных, да... Что-то ни кому из нас не захотелось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 361] Автор : EU1SW Дата : 13.11.2017 23:22 на все платки 5В отсюда пойдет. Эммм... Я бы не стал рекомендовать с одного стабилизатора давать питание на плис и на аналоговую часть ацп. Крен копеешные, поставьте две. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 362] Автор : RX9A Дата : 14.11.2017 05:46 M25p32 - Это тип конфигурационной памяти EPCS32. Проверил установлена 25P16VG -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 363] Автор : EU1SW Дата : 14.11.2017 08:48 ИМНО если бы был неверный тип памяти, то процесс даже бы не начинался, но никак не стопорился бы на 75% тут из подручного только пробовать перепаивать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 364] Автор : LZ1AO Дата : 14.11.2017 14:53 Друзья, усилитель которий с BFG591, в режиме приема и с трансформатором за ним способен доставить значительную мощность на входе АЦП. В данных на АЦП вижу максимальное допустимое напряжение на аналоговых входах - от 0 до 5V, и имея ввиду что там всегда есть постоянное напряжение в 2.4V, нелзя подавать на одиночний пин входа более 2.5V с пика до пика или дифференциальное более 5V с пика до пика. Еще ток указан не более 20mA, ето максимум тока через защитных диодах АЦП которого нельзя превышать. Можеть, дополнительная защита понадобится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 365] Автор : UF3K Дата : 14.11.2017 16:45 Очень резонное замечание. Самое простое, что приходит на ум - встречно-параллельные гирлянды из быстродействующих кремниевых диодов с током 100 мА и выше. Из российских, навскидку, это КД510. Но IP3 УВЧ при этом рухнет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 366] Автор : VFO Дата : 14.11.2017 17:14 Статья на этот счёт http://www.rlocman.ru/review/article.html?di=159864 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 367] Автор : rz3qs Дата : 14.11.2017 17:25 Из российских, навскидку, это КД510. Успешно работает после УВЧ на BFG135 GBLC03C. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 368] Автор : UN7RX Дата : 15.11.2017 06:40 Защищать то TVS защищают, но "успешно работает" вряд ли характеризует изменение параметров, тут требуются измерения. В статье на Радиолоцмане как раз акцентируют ухудшение параметров тракта при их применении. В теме про мощные УМ обсуждалось применение таких супрессоров (GDSOD323-T03C, аналогичны по параметрам) для защиты полевиков, так вот при их установке, несмотря на небольшую проходную емкость, АЧХ УМ портилось весьма сильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 369] Автор : rz3qs Дата : 15.11.2017 09:27 тут требуются измерения Все давно измерено с HIQSDR и Red Pitaya. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 370] Автор : Radiotester Дата : 15.11.2017 10:09 rz3qs, Добрый день. А по сколько штук включено в звено диодов кд510 у Вас? И в каком месте после УВЧ эти встречно-параллельные "гирлянды" включить было бы правильным и разумным? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 371] Автор : rz3qs Дата : 15.11.2017 10:39 сколько штук включено в звено диодов кд510 2 шт. , один до УВЧ, второй после, только GBLC03C. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 372] Автор : Radiotester Дата : 15.11.2017 12:01 rz3qs, Тоесть получается по одному диоду ? Или быть может у Вам это сборки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 373] Автор : EU1SW Дата : 15.11.2017 12:04 Уважаемый радиотестер, Вы в гугле можете найти даташит на деталь по маркировке, или для этого сюда нужно еще один мануал приложить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 374] Автор : rz3qs Дата : 15.11.2017 12:07 Тоесть получается по одному диоду Да. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 375] Автор : Radiotester Дата : 15.11.2017 12:21 EU1SW, Уважаемый Сергей. В даташите на эту сборку диодную существуют два варианта с четырьмя диодами и с тремя. Поэтому я и хотел более точнее знать что коллега rz3qs установил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 376] Автор : rz3qs Дата : 15.11.2017 12:42 хотел более точнее знать GBLC03C. Куда точнее. "ЗАМЕТКИ 1. Номера деталей с дополнительным суффиксом «C» представляют собой двунаправленные устройства, то есть GBLC05C. 2. Только однонаправленная: Положительный потенциал применяется от штырьков 1 до 2." -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 377] Автор : Serg Дата : 15.11.2017 13:38 По защите диодами - посмотрите схему входа приемника любого современного kenwood и т.п. кв трансивера - диоды подзапеты смещением, видимо для улучшения IMD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 378] Автор : Radiotester Дата : 15.11.2017 13:52 Я так понимаю судя по статье на радиолоцмане и даташиту на ad6645 что нужно ограничить напряжение до 2.2 v p-t-p ? Если будет большее напряжение приходить на вход АЦП то возможен выход из строя микросхемы? Правильно я понимаю? Serg, Тоесть хотите сказать что диод должен быть чуть как бы при открыт чтоли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 379] Автор : EU1SW Дата : 15.11.2017 14:00 посмотрите схему входа приемника любого современного kenwood и т.п. кв трансивера Тут не совсем за вход приемника идет речь, а о входе собственно АЦП Со входа трансформатора амплитудное значение для полной шкалы АЦП равно примерно 0,57 В т.е. формально нужно 2 встречно-параллельных кремниевых диода, вот только приоткрываться они начинают несколько раньше, чем обычно считается 0,6 для кремния ( соответственно насчет параметров IMD, если не привлекать экзотику, при решении "в лоб" надо подумать Есть конечно вариант использовать как в статье вышеприведенной, 5 вольтовые, со входов АЦП и на общий. Тоже вполне себе вариант -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 380] Автор : Serg Дата : 15.11.2017 14:18 т.е. формально нужно 2 встречно-параллельных кремниевых диода, вот только приоткрываться они начинают несколько раньше, чем обычно считается 0,6 для кремния ( Возможно от этого эффекта и вводят обратное смещение резисторами с плюса в среднюю точку и оттуда же на корпус? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 381] Автор : EU1SW Дата : 15.11.2017 14:41 тут такое дело... при ближайшем рассмотрении часть решений оказывается либо паллиативом, либо вообще гомеопатией, вторая часть решений по защите реально сможет защитить вход АЦП, но при этом так же абсолютно реально давит динамику сверху, а то что немного лучше защищает и немного меньше ухудшает параметры либо экзотика, либо вообще недоставаемо Философия, мать всех наук, мать ие... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 382] Автор : LZ1AO Дата : 15.11.2017 15:18 Сергей, Можно уменшить питание усилителя до 5V, поставить две встречно включенние серийние двойки диодов на вход трансформатора. Да и замена нулевыех перемычек на входе АЦП на резисторы, по моему до 47 Ом каждый никак не помешают на работу на КВ, но ограничить ток через защитние диоды внутри АЦП до безопасного уровня. Коллекторний ток транзистора усилителя спокойно можно понизить. Будеть безопаснее чем сейчас. Ухудшение динамики неизбежно, так что каждий решает сам - некоторое ухудшение или риск повредить АЦП. P.S. Впрочем, стабилизация постояннотокового режима в етом усилителе нуждается ревизии. Грубая оценка показанного на схеме показывает, что если бета транзистора неизвестно где в указанных в каталоге границ (60 до 250) и при вполне реальное изменение температуры кристалла с -10 до 80 градусов ток покоя транзистора вполне может быт и 65 и 150 mA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 383] Автор : Radiotester Дата : 15.11.2017 15:54 EU1SW, Сергей, а если со входов АЦП то какое нужно ограничить напряжение для АЦП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 384] Автор : Livas60 Дата : 15.11.2017 18:53 Сергей, здравствуйте. Насколько я понимаю, регулятор "Drive" в программе PowerSDR должен управлять выходной мощностью передатчика. У меня же уровень сигнала на выходе DAC904E от положения этого регулятора не зависит. В положении "0" регулятора на выходе ЦАП нет сигнала, а во всех остальных положениях сигнал максимальный. Может быть подскажете в чем причина? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 385] Автор : EU1SW Дата : 15.11.2017 20:49 Василий, естественно подскажу, там все просто, у HPSDR было 2 типа передатчиков, в раннем выходная мощность определялась амплитудой семплов, в более позднем, который уже потом был использован в гермесе, отдельный цап задавал опорный ток основного цапа. Проблема проявилась уже после реализации формирования CW в прошивке. Стоит в планах реализация сего действа, только используя ШИМ, у используемого ЦАП есть возможность подачи внешнего опорного напряжения. А сейчас можно переключиться в режим "HPSDR", только выбрать не PennyLane, a Penelope. Будет работать регулировка мощности, но появятся проблемы в режиме СW. Но ИМНО проще поцепить переменник последовательно с токозадающим резистором ЦАП. Ну хотя бы на время ) Тогда и мощность и телеграф будет ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 386] Автор : EU1SW Дата : 16.11.2017 16:13 Сергей, Можно уменшить питание усилителя до 5V, поставить две встречно включенние серийние двойки диодов на вход трансформатора. Да и замена нулевыех перемычек на входе АЦП на резисторы, по моему до 47 Ом каждый никак не помешают на работу на КВ, но ограничить ток через защитние диоды внутри АЦП до безопасного уровня. Коллекторний ток транзистора усилителя спокойно можно понизить. Будеть безопаснее чем сейчас. Ухудшение динамики неизбежно, так что каждий решает сам - некоторое ухудшение или риск повредить АЦП. Добрый день! Сергей, если кому ухудшение динамики не играет большой роли, то можно и не сдувать ОУ с платы АЦП, не вешать трансформатор, не возиться с доработками, а просто прикрутить УВЧ к штатному входному SMA платы и таким образом и чувствительность некая достаточная будет, и АЦП в безопасности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 387] Автор : RC3ZQ Дата : 16.11.2017 18:43 EU1SW, Сергей, а если не сдувать эти деталюшки и оставить все как есть сильно динамика испортится относительно доработанного модуля? у Вас были эксперименты в таком плане? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 388] Автор : EU1SW Дата : 16.11.2017 20:07 Вообще я начинал эксперименты именно с не переделанным модулем, и без УВЧ http://www.cqham.ru/forum/attachment.php?attachmentid=272177&d=1507138126 Сейчас уже трудно однозначно вспомнить, что послужило толчком к переделке, скорее всего все вместе, имд3 ОУ, шум, может даже это был мусор по питанию ОУ... Очень многое изменилось с тех пор, а модуля исходного у меня другого нет, пока приедет, так уже наверное кто нибудь раньше успеет попробовать ) Добавлено через 12 минут(ы): С другой стороны сами АD в даташите АЦП приводят пример использования ad8138 в качестве сингл енд - дифференциального драйвера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 389] Автор : Alexproga Дата : 02.12.2017 17:46 Какой-то глюк, при включении PowerSDR mrx нет выхода на передачу, помогает выбор в настройках HERMES, а потом возврат снова к HPSDR, только после этого появляется выход ТХ. Это только у меня такое? Проверено на PowerSDR mrx 3.4.2, 3.4.5, 3.4.6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 390] Автор : Livas60 Дата : 02.12.2017 18:31 У меня переключение на передачу происходит в штатном режиме. Никакие дополнительные манипуляции не нужны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 391] Автор : R2RBN Дата : 03.12.2017 11:51 Я немного заблудился с генератором,то что он подключается к АЦП это понятно,а вот саму альтеру как подключать? на прямую подать с генератора на 24 ножку алтеры и убрать генератор 50Мгц или с ацп с dry ножки не 24 подавать? И имеет ли какие ограничение на форму сигнала генератора(спуров больше будет и тд итп) у меня самодельный генератор с прямоугольниками на ваходе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 392] Автор : Livas60 Дата : 03.12.2017 12:45 R2RBN Перечитайте сообщения 237 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1454456&viewfull=1#post1454456) и 313 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1459083&viewfull=1#post1459083) темы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 393] Автор : UN7RX Дата : 03.12.2017 13:17 Сергей куда то пропал. :roll: Есть смысл применять для этой конструкции качественные полосовики? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 394] Автор : Sergey RK4PH Дата : 03.12.2017 13:38 Он же вроде предупреждал, что займется УКВ и поэтому пропал:-). Я например себе хочу поставить дпф от пилигримма. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 395] Автор : R2RBN Дата : 03.12.2017 13:51 Перечитайте сообщения Разобрался,смутило просто то что выход dry судя по датащиту не является выходом тактовой частоты,ладно буду делать как описано. Сергей куда то пропал С утра появлялся,потом убёг. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 396] Автор : UF3K Дата : 03.12.2017 14:18 Есть смысл применять для этой конструкции качественные полосовики? АЦП довольно старенький, и имеет IMD3, которого "немного" не хватает для открытого входа. Летом на даче довольно много уделил времени в попытках понять "необходимую достаточность" полосовиков для данного приемника. Для себя сделал вывод, что если предполагается работа на простые антенны (штырь, диполь), то полуоктавных (возможно и октавных) фильтров хватит. Если предполагается работа на несколько элементов яги (особенно это касается диапазона 20м), то нужен ДПФ высокого качества. При открытом входе (ФНЧ 30 МГц и ФВЧ 0,1 МГц) и многодиапазонном GP (от 40 м и выше) днем на диапазонах 20, 15, 10 метров на панораме есть интермодуляционные "палки" примерно на 10-15 дБ выше шумовой полки, ночью их можно увидеть и на диапазоне 40 м. Т.е. не фатально но неприятно. Да, у АЦП есть еще одна особенность - аттенюатор на входе мало спасает, т.к. продукты нелинейности падают не квадратично от уровней входных сигналов, а практически линейно. 2 R2RBN: Генератор 50 МГц с платы FPGA убирать не нужно, ибо не заработает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 397] Автор : R2RBN Дата : 03.12.2017 14:30 Генератор 50 МГц с платы FPGA убирать не нужно Так алтера работает от 50мгц? а по сигналу ножки DRY только снимаются данные с ацп? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 398] Автор : EU1SW Дата : 03.12.2017 14:31 Да, у АЦП есть еще одна особенность Володь, так ты пиши полностью ) не сокращая, что это "особенность" всех АЦП, а не только "этого старенького" ) А то ведь очередной виток "а так ли хорош этот ваш конструктор" может и не нужен вовсе. Если кто знает доставаемый и паяемый АЦП с более лучшими параметрами, т.е. без экзотики в виде BGA - милости просим поделиться инфой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 399] Автор : Livas60 Дата : 03.12.2017 15:17 Генератор 50 МГц с платы FPGA убирать не нужно, ибо не заработает. Удалил резистор R61 в цепи питания генератора 50MHz. Работает. :smile: R2RBN Сигнал DRY является тактовым для ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 400] Автор : UF3K Дата : 03.12.2017 15:56 Володь, так ты пиши полностью ) не сокращая, что это "особенность" всех АЦП, а не только "этого старенького" ) Сереж, точно. Ты прав. Это особенность всех АЦП. За лето наигрался с симулятором AD на тему IMD, джиттера и т.п. так, что смотреть на него (симулятор) не хочется. Добавлено через 7 минут(ы): Удалил резистор R61 в цепи питания генератора 50MHz. Работает. :smile: Это радует, будет на один источник помех меньше. Возможно у меня в историческом плане (из старых прошивок) осталось в памяти, что без 50 МГц не стартовала "железяка". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 401] Автор : EU1SW Дата : 03.12.2017 16:32 А по поводу ДПФ я в стартовом топике озвучивал, у меня восьмидесяточный оффсетный диполь, без полосовиков, с постоянно включенным УВЧ во всей полосе. Если б у меня были глобальные проблемы с приемом по причине интермодов, гармоник, я б написал. Может у меня дизера побольше прилетает с НЧ бендов, но в дневное время на 21 я как то не особо наблюдаю проблемы от 7 МГц Ночью есть немного сетка через 5 кгц, дб 5-7 выше шума, но 21 ночью то не особо и нужен ) в основном ) И вообще все познаётся в сравнении. Это не особенность и не свидетельство низкого качества конкретного устройства, а лишь свидетельство того, что SFDR даже под 100 дБ недостаточно для перфекционистов ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 402] Автор : EU1SW Дата : 03.12.2017 17:38 Есть смысл применять для этой конструкции качественные полосовики? Добрый вечер. Роберт, если Вы примените некачественные полосовые фильтры, то будет лучше их вовсе не ставить. Вполне понятен контекст вопроса, но это не игрушечное радио, и не свисток с конвертером. Угробить производительность достаточно приличного "старенького" АЦП можно элементарно, и даже не понять в чем дело, хватает же уже примеров ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 403] Автор : UN7RX Дата : 03.12.2017 18:14 нужен ДПФ высокого качества. Если предполагается работа на несколько элементов яги (особенно это касается диапазона 20м), то нужен ДПФ высокого качества. если Вы примените некачественные полосовые фильтры, то будет лучше их вовсе не ставить. Нет-нет Сергей, я имел ввиду именно высококачественные ДПФ, просто у меня есть собранные когда то дроздовские, собственно их и хотел применить, Владимир как раз разъяснил. Сегодня вытравил несколько плат, пока спаяю все, жду EP4CE22, где-то на подходе уже, СЕ10 оставлю для другого варианта TRX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 404] Автор : RC3ZQ Дата : 03.12.2017 18:18 Объясните и мне пожалуйста по вопросу подобному как у R2RBN. Сигнал с внешнего кварцевого генератора 96мгц подается только на CLK ADC модуля тобиш АЦП и больше не куда? Верно? С генератором 50мгц можно ничего не делать но можно и отключить? Верно? P.S Я не "компосирую мозги":roll:..не подумайте...жду с алика модули, поэтому любая информация ценная для меня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 405] Автор : Livas60 Дата : 03.12.2017 18:48 На оба вопроса ответ утвердительный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 406] Автор : UN7RX Дата : 04.12.2017 00:07 Все вопросы и обсуждения про особенности ДПФ - сюда (http://www.cqham.ru/forum/showthread.php?393-%C4%CF%D4&highlight=%C4%CF%D4). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 407] Автор : EU1SW Дата : 04.12.2017 10:08 теперь главное не спутать темы ) http://www.cqham.ru/forum/showthread.php?36096-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-OSA103-Mini/page7 ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 408] Автор : UN7RX Дата : 04.12.2017 10:50 Это невозможно спутать. Слишком разное содержимое. ;-) У нас тут намного интереснее. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 409] Автор : UN7RX Дата : 09.12.2017 00:37 Livas60, Василий, подскажите пожалуйста, что за конденсатор стоит на печатной плате DAC с вывода 28 (GLK) на общий провод? Это не ошибка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 410] Автор : UT0UM Дата : 09.12.2017 01:27 GLK нет такого вывода у DAC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 411] Автор : UN7RX Дата : 09.12.2017 08:23 Разумеется опечатка, CLK. Надеюсь, Василий без лишнего флуда это понял, в отличии от вас. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 412] Автор : R9FBT Дата : 09.12.2017 08:45 Запустил пока на прием. Особых проблем не возникло, только в роутере зафиксировал постоянный IP для трансивера, без этого периодически не определялся в PowerSDR. Драйвер с платы АЦП пока не убирал, антенна подключена на его вход. 276468 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 413] Автор : Livas60 Дата : 09.12.2017 11:21 что за конденсатор стоит на печатной плате DAC с вывода 28 Роберт, никакого конденсатора там не вижу. О каком конденсаторе речь? R9FBT А фото конструкции можно увидеть? Вход приемника калиброван? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 414] Автор : R9FBT Дата : 09.12.2017 11:55 R9FBT А фото конструкции можно увидеть? Вход приемника калиброван? Калибровку не производил. Это пока только макет. По питанию все запитано от платы ПЛИС. Пока еще думаю сносить ОУ со входа АЦП или оставить его. 276475 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 415] Автор : UN7RX Дата : 09.12.2017 15:01 Василий, на схеме этого конденсатора действительно нет, он есть на ПП: 276483 Драйвер с платы АЦП пока не убирал, антенна подключена на его вход. Будет очень интересно посмотреть на результаты. Сравнить бы с переделанным, конечно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 416] Автор : EU1SW Дата : 09.12.2017 19:29 Для быстрого сравнения достаточно будет попросить коллегу Максима R9FBT провести калибровку, при условии наличия такой возможности естественно. Тогда из мощности шума без антенны в телеграфной полосе можно будет напрямую увидеть разницу между двумя цифрами, его и моей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 417] Автор : Livas60 Дата : 09.12.2017 22:10 он есть на ПП Этот не конденсатор, а резистор. Он попал сюда из схемы отладочной платы производителя микросхемы ЦАПа. Я просто забыл удалить со своей печатной платы посадочное место под этот резистор. :oops: Для быстрого сравнения достаточно будет попросить коллегу Максима R9FBT провести калибровку Именно поэтому я спросил у R9FBT калиброван ли приемник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 418] Автор : R2RBN Дата : 09.12.2017 22:32 Я тоже только что собирать закончил ,но пока не пробовал.Сейчас буду пробовать запускать. ПС Фото не очень,на телефоне камера неважная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 419] Автор : R2RBN Дата : 10.12.2017 10:46 Ну короче запустил с горем пополам,сначала подключил определился сразу но звука небыло,после пляски с бубном подключил звук через VAC не знаю может так и должно быть в "Гемесе" и звук был рывками и как робот говорит,и на панораме через одинаковое расстояние стоял один и тот же сигнал вернее как потом выяснилось там были кучи сигналов на одной частоте и вещалки и хулиганы и любители,немного поразмыслив подумал что Алтера не той частотой тактируется,отпаял питание КГ 50 мгц приём пропал, подцепил выход АЦП DRY на вместо КГ на 23 ногу и всё пошло,теперь у меня сигнал DRY идёт и на 24 и на 23 ногу. Я не зря спрашивал про тактовый сигнал так как он прописан в прошивке clk50mhz-pin23,а ADC ready-pin24 так как правильно всё таки ножки назначить или не заморачиваться и на 2 тактовых входа сигнал подавать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 420] Автор : EU1SW Дата : 10.12.2017 11:11 Могу предложить только внимательно прочесть стартовый топик, я старался подробно осветить этот вопрос ) Не вижу резона дублировать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 421] Автор : R2RBN Дата : 10.12.2017 11:34 внимательно прочесть стартовый топик Прочитал и не раз,у вас по смыслу написано DRY(те ADC ready) либо на 24 либо на 23 пин убрав генератор и более не куда.,правильно я понимаю ADC ready можно любую ножку назначить(у меня она к примеру на 133 приходит) и к примеру с неё же подать на 24 и этот пин назначить как clk50mhz. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 422] Автор : Livas60 Дата : 10.12.2017 12:06 Нет, неправильно понимаете. Сигнал clk50mhz не используется в проекте и Сергей прямо говорит, что генератор 50МГц можно выпаять. Тактовым для альтеры является сигнал DRY (ADC_ready) и он должен быть назначен на 24-й вывод. Все. Если же Вы используете для удобства физического подключения этого сигнала DRY к 24-й ножке ПЛИС какой ее то вывод, например 133 как у Вас, то никакого дополнительного назначения делать не нужно. Главное требование как раз наоборот. Этот 133 вывод нигде в проекте не должен упоминаться. Это нужно для того чтобы он остался в высокоимпедансном состоянии после включения и никак не влиял на сигнал DRY. Проще говоря вывод 133 Вы используете как транзитный контакт с которого ставится дополнительная перемычка на 24-й вывод. Можете сделать проще и запаять DRY на вывод 24 напрямую, но в таком варианте потеряете возможность подключения/отключения модуля ПЛИС без помощи паяльника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 423] Автор : RC3ZQ Дата : 10.12.2017 15:03 Тактовым для альтеры является сигнал DRY (ADC_ready) Растолкуйте и мне пожалуйста, а то не врублюсь...... Отключаем генератор 50мгц. Далее. Этот сигнал DRY получается идет от АЦП к Альтере на 55 вывод? То есть как бы перемычка ставится между 24м и 55 выводом на альтере? И что такое 24 это вывод на разъеме PLS? У меня еще не все модули, поэтому не ясно что такое 24вывод, он на разъеме или где? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 424] Автор : R2RBN Дата : 10.12.2017 15:13 На Плис стоит кг 50 мГц ,а рядом с ним ещё одна площадка под ещё один кг вот туда и выведена ножка 24. Если разбирать не собираетесь то можете просто на примую с ножки ацп DRYна 24 пожку ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 425] Автор : Livas60 Дата : 10.12.2017 15:21 Не на АЦП, а на модуле альтеры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 426] Автор : RC3ZQ Дата : 10.12.2017 17:24 Если разбирать не собираетесь А что Вы имеете в виду под " разбирать" ??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 427] Автор : R2RBN Дата : 10.12.2017 18:12 То и имею,разбирать,вдруг вам захочется,неудобно будет все распаивать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 428] Автор : RC3ZQ Дата : 10.12.2017 18:52 R2RBN, Александр, я планирую рядом с друг другом модули расположить. Так все-таки нужно генератор 50мгц отключить? а то что то я совсем подзапутался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 429] Автор : R9FBT Дата : 10.12.2017 18:55 Я отключил. Но сам генератор не снимал, просто снял резистор с его питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 430] Автор : EU1SW Дата : 10.12.2017 19:31 Да как можно запутаться в одном проводе??? DRY на 24-й пин -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 431] Автор : R9FBT Дата : 10.12.2017 19:31 Для быстрого сравнения достаточно будет попросить коллегу Максима R9FBT провести калибровку, при условии наличия такой возможности естественно. Тогда из мощности шума без антенны в телеграфной полосе можно будет напрямую увидеть разницу между двумя цифрами, его и моей. К сожалению провести калибровку пока нет возможности. Нет ГСС под руками. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 432] Автор : ko85xm Саша Дата : 11.12.2017 19:44 Зачем , что-то отключать , когда надо просто подать на 24 ногу сигнал с DRY . В таком варианте все работает. Смотрите общую схему в начале темы, ее не зря включили в первый пост. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 433] Автор : Aivarss Дата : 12.12.2017 01:30 Кто то знает, у кого то ещё можно подходящую плату Алтера купить? Надеюсь, я свою получу :evil: Продавец EDA Board с Али с начала тянул резину, а теперь типа выслал, но реально нет :evil: Да ещё фигню всякую мне начал рассказывать, на вопрос о том, где посылка. Окромясь ADC, всё уже в транзите почти у меня. А этот ... :evil: как будто знает что у других нету. Я не нашёл. Я больше к тому чтоб других предупредить ;-) Если конечно есть альтернатива. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 434] Автор : UT0UM Дата : 12.12.2017 02:35 у кого а эта (https://ru.aliexpress.com/item/Altera-FPGA-Board-ALTERA-Cyclone-IV-EP4CE10-Board-FPGA-Development-Kit-USB-Blaster-Abundant-Hardware-Resource/32778903912.html?spm=a2g0v.search0104.3.1.1eS5D3&ws_ab_test=searchweb0_0,searchweb201602_5_10152_10151_10065_10344_10068_10345_10342_10343_51102_10340_10341_10541_10084_10083_10307_5060020_10539_5130020_10312_10059_10313_10314_10534_5000020_100031_10604_10603_10103_10605_10594_10596_10142_10107_10125_5080020,searchweb201603_2,ppcSwitch_5&algo_expid=862ec740-baf8-4099-a0ca-14d0f66191e2-0&algo_pvid=862ec740-baf8-4099-a0ca-14d0f66191e2&rmStoreLevelAB=0) разве не подходит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 435] Автор : EU1SW Дата : 12.12.2017 08:13 В таком варианте все работает. Ну так и должно быть ) Айвар, насчет борд, EDA board самый недорогой продавец на Али плат собственной разработки, уникальных ) Да, он знает что других нету ) но, к сожалению, то ли не он сам общается, то ли языком не совсем владеет ))) обычные азиатские отмазки, он не он, и хата не его ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 436] Автор : Сергей1971 Дата : 13.12.2017 20:48 Всем доброго вечера.Получил EP4CE10F17C8.Запустил Квартус, запустил файл,компиляцию - все в порядке.Поменял на свою микросхему и ВСЕ приплыли.Посыпались ошибки и прекратило компилировать ,пишит - Flow Status Flow Failed - Wed Dec 13 20:37:16 2017 Quartus Prime Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition Revision Name Hermes_Lite_FD_12SDK Top-level Entity Name Hermes_Lite Family Cyclone IV E Device EP4CE10F17C8 Timing Models Final Total logic elements 11,878 / 10,320 ( 115 % ) Total combinational functions 9,452 / 10,320 ( 92 % ) Dedicated logic registers 6,927 / 10,320 ( 67 % ) Total registers 6927 Total pins 54 / 180 ( 30 % ) Total virtual pins 0 Total memory bits 330,624 / 423,936 ( 78 % ) Embedded Multiplier 9-bit elements 46 / 46 ( 100 % ) Total PLLs 1 / 2 ( 50 % ) Что можно сделать?Можно ли скомилировать на нее или нет?Можно ли на EP3C16Q240C8?Буду признателен за помощь? С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 437] Автор : rolin Дата : 13.12.2017 21:03 Сергей1971, нет места. Может влезет если обрезать передатчик. А может и не влезет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 438] Автор : LZ1AO Дата : 13.12.2017 21:04 Total logic elements 11,878 / 10,320 ( 115 % ) Наверное причина в етом. Oops, Rolin ответил уже... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 439] Автор : Sergey_gh Дата : 13.12.2017 22:19 Что можно сделать? Последний раз, когда смотрел эти исходники, обратил внимание, что очень не экономно сделаны CIC фильтры. Избыточная разрядность, а они и так много занимают места. Поправьте их маленько. Способ легко гуглится, наберите "Hogenauer pruning theory". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 440] Автор : labuda51 Дата : 14.12.2017 01:38 В 306 посту компилировалась под CE10F17.Сейчас попробовал-не идёт.Или что-то добавили или надо вспомнить последовательность действий.Сейчас Q15 компилировал на Q15.1 и PRIME.То есть сейчас F17 в списке не появляется а тогда сначала не было потом появилась.И вот что получается. Добавлено через 17 минут(ы): А это компиляция с CE10C22. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 441] Автор : rolin Дата : 14.12.2017 02:10 Sergey_gh, избыточная разрядность необходима чтобы потом дизер на вход не добавлять. Я как-то игрался с "экономными" 16ти битными CIC от Ettus Research так качество выхода просто на два уровня хуже. Это экономия на спичках. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 442] Автор : Genadi Zawidowski Дата : 14.12.2017 02:13 Hogenauer pruning Это не меняет поведения дециматора, просто экономит логику и регистры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 443] Автор : EU1SW Дата : 14.12.2017 09:20 Избыточная разрядность, а они и так много занимают места. Поправьте их маленько. Способ легко гуглится, наберите "Hogenauer pruning theory". Сергей, А Вы можете предоставить свой расчет "избыточности"? Добавлено через 8 минут(ы): Это экономия на спичках аналогичного мнения -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 444] Автор : Sergey_gh Дата : 14.12.2017 12:03 А Вы можете предоставить свой расчет "избыточности"? Расчёт достаточно сложен. Я пользуюсь готовым скриптом, тут - https://www.dsprelated.com/showcode/269.php У меня в приёмнике осы cic фильтр удалось сократить на 30-35% по размеру - это сотни! регистров и лутов. И это около 15% от размера всего приёмника. На мой взгляд это далеко не "спички". Кстати, приёмник в осе с запасом по разрядности. АЦП в любой момент может быть заменён на топовый с минимальной правкой кода. Файл этого фильтра во вложении (только для примера, понятно, что в этот проект напрямую не вставить). Если действительно есть желание оптимизировать код по размеру, то возможности есть. Например, у Вас в проекте тактовая всего около 100 МГц. Запустите часть блоков на 200 МГц. Например, запустив только аппаратные умножители на 200 МГц, можно как бы удвоить их количество при использовании на 100, накладные расходы на mux, demux тут мизерные. Это тут же даст возможность выкинуть не экономичный cordic и сделать NCO на памяти с интерполятором и смеситель на умножителях - экономия может быть очень существенная. Также полифазные FIR-ы можно будет сделать на меньшее кол-во фаз при запуске их на 200 - тоже экономия места. Тут пример спектра NCO на памяти с интерполятором (эх, 10 лет прошло с тех пор) - http://www.cqham.ru/forum/showthread.php?5787-%CF%EE%EB%ED%EE%F1%F2%FC%FE-%F6%E8%F4%F0%EE%E2%EE%E9-%EF%F0%E8%E5%EC%ED%E8%EA&p=110859&viewfull=1#post110859 Около 120 дБ по спурам, но без труда можно сделать получше. Там я экономил на всём - тоже Altera, но ещё второй Cyclone. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 445] Автор : UF3K Дата : 14.12.2017 12:20 Поскольку EU1SW забанили, то процитирую нашу переписку: "смысла в оптимизации нету, ибо в 10К влезает трансивер, а на 2 приемника в 10К вряд ли наоптимизируешь...так какой смысл ужиматься, или терять дизер...". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 446] Автор : labuda51 Дата : 14.12.2017 12:41 Слово влезает напоминает про мозоли и короткие рукава.Нужен шикарный приёмник а передатчик сделать ещё лучше.Что для этого не хватает?Это о элементной базе.Про то что нужен софт-понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 447] Автор : UF3K Дата : 14.12.2017 12:46 Слово влезает Вы бы еще кому-нибудь например из LZ подобное замечание сделали. С железом ни кто не запрещает сделать свое. Здесь тема вроде как о вполне конкретном железе и софте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 448] Автор : labuda51 Дата : 14.12.2017 13:02 Заказываем и собираем строго по конкретике.Отступления на свой страх и риск.Меряют DD,MDS и прочую ерунду а помеховая обстановка у всех разная.И так все понимают из чего и как собран так и будет работать.И LZ что такое или кто? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 449] Автор : LY3OS Дата : 15.12.2017 15:27 Заказал все детали, кроме DAC904. На Али сплошь какие-то фейки, либо Б/У выпаянные :-( Все больше склоняюсь к решению купить в местном магазине оригинал Texas Instr. за 16 евро/шт (исскуство требует жертв :roll:) К тому-же в магазине продается версия DAC904U - в гораздо более удобном SO28 корпусе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 450] Автор : EU1SW Дата : 18.12.2017 12:09 поставьте AD9744, может обойдется без жертв... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 451] Автор : RC3ZQ Дата : 18.12.2017 13:55 На Али сплошь какие-то фейки, либо Б/У выпаянные Добрый день. Мне пришли пару штук, но вроди как не паяные. Следов нет на ножках, но может плохо в лупе смотрел. Проверить пока возможности нет...от 11.11 "тянится" по России АЦП, а Lan повторно заказал......до сих пор с Китая не улетел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 452] Автор : LY3OS Дата : 18.12.2017 14:50 Мне пришли пару штук, но вроди как не паяные. Следов нет на ножках, но может плохо в лупе смотрел. Дайте пожалуйста ссылку на эту позицию. поставьте AD9744, может обойдется без жертв... Оба, а это оказывается полный аналог, только питание 3,6V max. И так-же есть в корпусе SO28. Отличный вариант, спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 453] Автор : RC3ZQ Дата : 18.12.2017 15:04 Дайте пожалуйста ссылку на эту по Но опять же сообщаю что не проверял их в работе, поэтому пока это лотерея "Спорт Лото" https://ru.aliexpress.com/item/1PCS-DAC904E-DAC904/32831078449.html (https://ru.aliexpress.com/item/1PCS-DAC904E-DAC904/32831078449.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 454] Автор : RA4UKL Дата : 19.12.2017 23:40 Желающим спаять плату АЦП самостоятельно. Схема даташитная, но нет диодов по тактовому входу, при желании можно отредактировать lay. 277271277272 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 455] Автор : RC3ZQ Дата : 20.12.2017 00:45 RA4UKL, Доброй ночи. Микросхема видимо сильно греится поскольку радиатор поставили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 456] Автор : RA4UKL Дата : 20.12.2017 10:26 Здравствуйте. Да, греется не слабо. Коллеги в этой теме тоже ставили. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 457] Автор : RC3ZQ Дата : 23.12.2017 23:02 Вечер добрый всем. Подскажите пожалуйста кто что ставил в ключик включения УВЧ приемника вместо IRLML9103. Есть относительно мощные irf520, irf530 они подойдут ? Хочу задействовать октавные полосовые фильтры и видимо все же нужно будет после ЦАП поставить УРЧ. Есть мысль задействовать каскад по схеме от трансивера SW. 277595 Не перегружу ли я этот каскад... от ЦАП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 458] Автор : RA4UKL Дата : 24.12.2017 00:30 Осмелюсь предположить, что в нарисованной схеме УВЧ ошибка, и полевик должен именоваться IRLML9301. Как следствие, 520, 530 другой структуры. В моей деревне такого тоже не оказалось, нашел на какой-то плате irfr9220, поставил его. Ток порта ПЛИС около 25 мА, думаю можно и составными биполярниками обойтись, ну или IGBT изобразить :) Если не прав, поправьте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 459] Автор : RC3ZQ Дата : 24.12.2017 00:36 что в нарисованной схеме УВЧ ошибка Да я тоже так подумал, поскольку даташита не нашел на него. Дык это напряжение только служит для питания УВЧ на BFG591 и включения реле обхода при выключенном УВЧ. Я просто не знаю какой ток там будет по 12в. Еще нету в наличии LD1117, поставлю туда наверное L7809 в TO220. Думаю хватит с запасом...а то вмоем "кукуево" тут хрен что найдешь тоже..:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 460] Автор : RA4UKL Дата : 24.12.2017 00:49 Ну если не очень охота считать режим по постоянному току каскада с ОЭ, откройте даташит на 9301, и посмотрите на максимально допустимый ток, на это и опирайтесь. Да я тоже так подумал, поскольку даташита не нашел на него. Типа Вы хотите сказать что в ключ эти транзисторы не подойдут? Именно вместо IRLML9301 - нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 461] Автор : RC3ZQ Дата : 24.12.2017 00:52 Именно вместо IRLML9301 - нет Увидел уже, они P-канальные... P-канал 30В 3.6А -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 462] Автор : UF3K Дата : 24.12.2017 09:07 2 RZ3CQ Октавные фильтры, на мой взгляд, не помогут. В дневное время, особенно летом, в участке 15-30 МГц присутствует куча мощных вещалок. Да и участок 7 - 15 МГц тоже "даст жару". Соответственно, будут продукты IMD. Это я прошедшим летом проверил. Поэтому, мой совет - делайте на любительские диапазоны диапазонные фильтры, и ФНЧ + ФВЧ для обзорного режима. BFS17A что для драйвера УМ, что для УВЧ, слабоват. И там, и там нужен BFG591, а в драйвере УМ лучше 2хBFG591 в двухтактном включении. Токи BFG591 - 100 мА на каждый транзистор, и в УВЧ, и в драйвере УМ. Я не настаиваю, но в противном случае заметно ухудшите параметры "ядра DUC/DDC". PS: Да, еще про отключение УВЧ. Отключать его в этой конструкции не вижу смысла от слова "совсем". Логичнее перед УВЧ поставить отключаемый аттенюатор на 20 дБ. Управлять аттенюатором можно даже по сигналу "ovr" АЦП, если сделать небольшую задержку отключения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 463] Автор : UN7RX Дата : 24.12.2017 14:02 Второй раз теряется посылка с BFG591. :evil: В наличии много 100% фирменных BFG135. Владимир, такой вариант для УВЧ сильно просадит параметры RX? 277627 Впрочем, можно сделать либо 2-х тактный вариант, либо на чем то вроде КТ610-913 (или импортных аналогах) с приличным током, на ШПТЛ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 464] Автор : Livas60 Дата : 24.12.2017 14:05 полевик должен именоваться IRLML9301 Да, Вы правы. На схеме опечатка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 465] Автор : UF3K Дата : 24.12.2017 14:18 Роберт, дело в том, что BFG135 бывают разные,насколько помню, от разных производителей. И там как повезет. Так-то у него IP3 немного хуже чем у BFG591, зато шум нормирован. Вот пример и параметры LNA на них - http://www.qsl.net/yu1aw/LNA/bfg135aeng.htm По идее IP3 135-го (по данным YU1AW Output IP3 : from +39 dBm to +41 dBm - это заметно лучше input IP3 нашего АЦП) должно хватать что бы не испортить ДД (у меня они просто трудно покупаемы, а 591-е в магазине через дорогу). 2-хтактный и УВЧ и драйвер УМ всегда лучше, а для КВ диапазона 2-хтактный драйвер УМ просто обязателен. По-хорошему после ЦАП не должно быть однотактных широкополосных каскадов. Схема, что выше, вполне нормальная (на первый взгляд). Еще раз хочу заострить внимание на том, что отключать УВЧ нет смысла. Есть смысл переключать перед ним затухание аттенюатора. Это потому, что так упрощается коммутация, а IMD3 АЦП (любого, не только нашего) практически не изменяется от уровней входных сигналов. Непривычно после аналоговой техники, но это факт. Про КТ610-913 лучше забыть. Они по IMD3 будут заметно хуже 591-го, соответственно и по IP3. BFG591 разрабатывался для выходных каскадов магистральных усилителей кабельного телевидения. Там требования к интермодуляции жестокие. Шум у него не нормирован, но я пробовал из 4-х партий разных лет. Для КВ-диапазона проблем нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 466] Автор : RC3ZQ Дата : 24.12.2017 15:09 Поэтому, мой совет - делайте на любительские диапазоны диапазонные фильтры, и ФНЧ + ФВЧ для обзорного режима. Добрый день. А для примера нет у Вас схемного решения удачного варианта ДПФ с обзорными фильтрами? и там нужен BFG591, а в драйвере УМ лучше 2хBFG591 в двухтактном включении. Тут бы конечно хотелось бы схемку подходящую увидеть. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 467] Автор : UN7RX Дата : 24.12.2017 15:37 Тут бы конечно хотелось бы схемку подходящую увидеть. Насколько я помню, Сергей свой вариант УМ разрабатывал, но в принципе, это довольно распространенный, типичный каскад, вот к примеру: 277628 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 468] Автор : UF3K Дата : 24.12.2017 17:57 А для примера нет у Вас схемного решения удачного варианта ДПФ с обзорными фильтрами? Можно мысль Вашу подробнее, а то задача не очень понятна? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 469] Автор : RA4UKL Дата : 24.12.2017 18:06 А не проще ли поставить z-match, и убить 3х зайцев разом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 470] Автор : UF3K Дата : 24.12.2017 18:14 А не проще ли поставить z-match, и убить 3х зайцев разом? В КВ-контестах все проклянете. Будете не в эфире работать, а ручки крутить. Да и не тянет он по подавлению на ДПФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 471] Автор : RA4UKL Дата : 25.12.2017 12:02 RX3QFM, Владимир, Вы писали что после ЦАП нежелателен однотактный каскад. Поясните пожалуйста, с чем это связано? Почему бы здесь не использовать схемотехнику УМ от Тюльпана, например? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 472] Автор : ud4fd Дата : 25.12.2017 13:03 Роберт, дело в том, что BFG135 бывают разные,насколько помню, от разных производителей. И там как повезет. Так-то у него IP3 немного хуже чем у BFG591, зато шум нормирован. Вот пример и параметры LNA на них - http://www.qsl.net/yu1aw/LNA/bfg135aeng.htm (http://www.qsl.net/yu1aw/LNA/bfg135aeng.htm)По идее IP3 135-го (по данным YU1AW Output IP3 : from +39 dBm to +41 dBm . Если кто будет повторять эту схему - поставьте в эмиттер резистор 10-20ом - иначе может блудить на СВЧ, а так на КВ хороший преамп с малым шумом, только статики боится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 473] Автор : Radiotester Дата : 25.12.2017 13:17 ud4fd, А почему статики боится? Там же не полевик вроди? Транзистор такой чувствительный? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 474] Автор : EU1SW Дата : 25.12.2017 13:20 после ЦАП нежелателен однотактный каскад. Поясните пожалуйста, с чем это связано С четными гармониками, затраты на двухтактный драйвер невелики, по сравнению с однотактным, зато двухтактный дизайн изначально предполагает более чистый выходной сигнал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 475] Автор : ud4fd Дата : 25.12.2017 17:30 ud4fd, А почему статики боится? Там же не полевик вроди? Транзистор такой чувствительный? Я ставил его на приемную антенну 10-15-20 для скиммера, вроде и гальваническая развязка через трансформатор и антенна заземленная - преамп живет до первой грозы, два раза менял - потом надоело. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 476] Автор : EU1SW Дата : 26.12.2017 00:34 Да тут все просто, чем свчешнее транзистор, тем тоньше база и меньше входная емкость. Добавлено через 51 минут(ы): Почему бы здесь не использовать схемотехнику УМ от Тюльпана, например? Потому что если мне не изменяет склероз, то в тюльпане мощник от SW. Хотите использовать - используйте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 477] Автор : RC3ZQ Дата : 26.12.2017 09:59 Можно мысль Вашу подробнее, а то задача не очень понятна? Владимир, добрый день! Мне видится сделать так УВЧ выключаемый, непосредственно после него (я так думаю у него сопротивление выходное 50 Ом) включить ДПФ (думал взять от Маламута), 277794 и далее на вход ADC модуля. После ФНЧ DAC TX сделать каскад как у SW277793 затем с коммутировать на полосовики и уже после них сигнал пойдет на УМ...по поводу УМ думал тоже "украсть" схему от SW со всеми колебательными прибамбасами 277795 Но суде по комментариям автора EU1SW Сергея и Вашим Владимир понял что идея иметь какой либо каскад однотактный в цепи линейки усиления TX и так же RX это не есть хорошо это одно. А другое то что как бы тоже не есть хорошо использовать линейку УМ от SW, но как бы можно. Меня сейчас интересует как это все лучше выполнить, какие узлы применить и с чем скомпоновать???. Хочу "развести" плату и ее использовать как кросс плату для модулей и тут же на ней выполнить все узлы ДПФ,УВЧ,УРЧ, УМ. Как бы что бы получился однопалатный трансивер 10-15 Вт мощностью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 478] Автор : UF3K Дата : 26.12.2017 15:05 Владимир, добрый день! Мне видится сделать так УВЧ выключаемый, непосредственно после него (я так думаю у него сопротивление выходное 50 Ом) включить ДПФ (думал взять от Маламута). Не буду утверждать, что так "совсем нельзя делать", оно как-то будет работать, возможно, что и неплохо. Мне видится нормальным подход приведенный ниже. Задачи: - основное внимание нужно уделить любительским диапазонам. - нужно улучшить Кш тракта, т.к. у АЦП он явно недостаточно хорош для частот выше 10 МГц. - нужно нормально отфильтровать сигнал на входе чтобы немного разгрузить АЦП (по IMD). - нужно получить IP3 out всего этого тракта хотя бы на 10 дБ выше, чем эквивалентный IP3 in АЦП, что бы его не ухудшить. - нужна регулировка усиления этого тракта для тяжелых условий помех. Решения: - регулируемый аттенюатор на входе всего тракта (как по мне, разумно 0-36 дБ с шагом 6 дБ). - далее 9 ДПФ (диапазонные на любительские диапазоны) + 1 ФВЧ со срезом 1 МГц (или по вкусу, пробовал без него, тоже вполне нормально, еще и СВ, ДВ принимать можно). ФНЧ у нас так и так есть на входе АЦП. - далее неотключаемый УВЧ на BFG591 с усилением 20-23 дБ (для городских условий можно немного меньше, но иногда все мы ездим на дачу, в поле, да и запаса там по IP3 хватает). Наличие УВЧ не ухудшает общий IMD, только чувствительность приводит к разумному значению. BDR будем регулировать аттенюатором. Октавные фильтры не годятся, я это пробовал. Полуоктавные фильтры имеют мало смысла, т.к. их тоже много, а качество фильтрации в любительских диапазонах будет заметно хуже. Если основная задача - слушать за пределами любительских диапазонов, тогда разумнее ставить полуоктавные фильтры. Т.е. подход зависит от задачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 479] Автор : rz3qs Дата : 26.12.2017 15:33 АТТ нужно ставить как можно ближе к АЦП, т.е после ДПФ и УВЧ, как себя поведет постоянно включенный УВЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 480] Автор : UF3K Дата : 26.12.2017 15:46 Жень, меня больше забавляет вопрос как поведут себя узкие ДПФ. Да и вообще, у тебя куча опыта с высокодинамичными трактами на КВ - поделись с народом опытом. Мне-то оно уже лет 25-30 не близко, сам знаешь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 481] Автор : rz3qs Дата : 26.12.2017 16:13 больше забавляет вопрос как поведут себя узкие ДПФ Нормально, на больших кольцах. поделись с народом опытом А тут дело плохо, народ не знает что ему надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 482] Автор : RC3ZQ Дата : 26.12.2017 19:24 RX3QFM, То есть самый подходящий вариант это Антенна->ДПФ (из них дополнительный с частотой среза 1мгц для СВ, и обзорный 100кгц-30мгц)->малошумящий УВЧ с аттенюатором на выходе->АЦП. Вопрос как управлять программно этим аттенюатором? В П.О предусмотрено управление включение/выключение УВЧ. Владимир, какая на Ваш взгляд конструкция ДПФ подойдет сюда. От какого трансивера можно позаимствовать? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 483] Автор : UF3K Дата : 26.12.2017 19:53 RX3QFM, То есть самый подходящий вариант это Антенна->ДПФ (из них дополнительный с частотой среза 1мгц для СВ, и обзорный 100кгц-30мгц)->малошумящий УВЧ с аттенюатором на выходе->АЦП. Вы меня извините, но где я такое писал? Я описал структуру: Аттенюатор->ДПФ (один из которых ФВЧ со срезом 1 МГц)->УВЧ->АЦП RZ3QS описал структуру: ДПФ (один из которых ФВЧ со срезом 1 МГц)->УВЧ->Аттенюатор->АЦП Какая структура лучше, зависит от Ваших локальных условий. Структура RZ3QS будет устойчивее по BDR, т.е. если у Вас есть мощный сосед, регулярно работающий на ВЧ-диапазонах или с этого трансивера планируется работа multi multi | SO2R. Моя структура должна быть более универсальной. Вопрос как управлять программно этим аттенюатором? В П.О предусмотрено управление включение/выключение УВЧ. Ни кто не запрещает поставить микроконтроллер (пусть тот же Ардуино), связать его по CAT c PowerSDR mrx и управлять все, что душе угодно. Владимир, какая на Ваш взгляд конструкция ДПФ подойдет сюда. От какого трансивера можно позаимствовать? С этим вопросом точно не ко мне. Возьмите любой понравившийся, введите параметры хотя бы в RFSim и посмотрите S21 и S11. Если устроит - используйте. Как еще оценить не паяя? PS: Еще про СВ и ДВ. Если хотите серьезно слушать 500 и 137 кГц, то там нужны нормальные узкие ДПФ. Если просто вещалки послушать, то хватит того ФНЧ, что стоит на входе АЦП. Это из личного опыта. И если уж "подсмотреть" схему и реализацию ДПФ, то лучше у PA3AKE или UR3IQO (Neon). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 484] Автор : Serg Дата : 26.12.2017 20:08 один из которых ФВЧ со срезом 1 МГц Средние волны вроде от 500кГц. Если нужны ДВ-СВ вещалки, логично сделать ФНЧ на 1.7МГц, хорошего порядка, чтобы КВ давилось, а на кв - классические ДПФ на наши диапазоны. Для обзорного варианта - ФВЧ-ФНЧ 1.8-30 или сколько кому нужно. Так же интересны ДПФ UR6EJ от мастер-2007, где в симуляторе оказалось допустимо включать несколько диапазонов "в параллель" (приемников то в сдр несколько!) и они не портят АЧХ друг другу: http://zrdrau.ucoz.ru/ur6ej/m1_2007.htm Как на деле это будет, надо спаять 2-3 диапазона и проверить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 485] Автор : UN7RX Дата : 29.12.2017 14:50 Сегодня наконец пришла плата СЕ22, СЕ10 оставлю для другого. Столкнулся с проблемой, не могу открыть файл с архивом проекта в квартусе. При выборе архивного файла софтина требует файл с расширением .par, а не qar, как по хелпу от Владимира (и какой он есть на самом деле). И разумеется не видит файл. Можно открыть через проекты, или просто кликнув по архиву, но все равно, упирается в par. Это косяк какой то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 486] Автор : UF3K Дата : 29.12.2017 20:06 Честно говоря, ни разу с таким поведением не сталкивался. Понимаю, что дело муторное, но я бы попробовал переустановить квартус, вдруг поможет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 487] Автор : UN7RX Дата : 29.12.2017 20:10 Володя, я сейчас так и сделаю. Как-то пропустил случайно, сейчас по теме прошелся, обнаружил что Сергей еще вариант прошивки под 22к (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1446466&viewfull=1#post1446466) выкладывал! Сергей, ее нужно добавить в верхний пост? Вопрос к Василию, Livas60, подскажите пожалуйста, Вы же этот вариант использовали? И распиновку, которую Владимир вот тут (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1438841&viewfull=1#post1438841) предложил? P.S. После переустановки все норм, непонятно что случилось с софтом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 488] Автор : UN7RX Дата : 30.12.2017 06:24 Не понятно каким образом тема оказалась закрытой :shock: Сорри, не пойму как это случилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 489] Автор : RC3ZQ Дата : 30.12.2017 09:09 Вы меня извините, но где я такое писал? Владимир, добрый день. Пардон читал нескеолько сообщений и напутал:roll: Какая структура лучше, зависит от Ваших локальных условий. Структура RZ3QS будет устойчивее по BDR Структура более подходящая конечно, поскольку какие ни какие городские условия и аматоры рядышком есть. ДПФ от Неона или PA3AKE конечно имеют достойные характеристики, но колечки такие оригинал не копеечные сейчас. Есть Т50-6 попробую на них (о качестве не знаю их), а на НЧ можно и на балваночках каких попробовать. Наконец то пришли практически все "цацки" с Алика...надо компоновать... С Наступающим Новым Годом! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 490] Автор : UF3K Дата : 30.12.2017 09:34 2 UN7RX Роберт, прошивку на 22к кажется я выкладывал, что бы показать как подключить 2 АЦП. Она работает, но мало тестировалась, т.ч. наверное нет смысла в шапке ее размещать. Если я что-то пропустил, и Сергей выкладывал прошивку под 22к, то он поправит. Квартус победить удалось? 2 RZ3CQ Да, логичнее вариант RZ3QS делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 491] Автор : UN7RX Дата : 30.12.2017 10:32 Володя, по ссылке в моем тексте как раз от Сергея прошивка. Про квартус в предыдущем посте под спойлером - да, после переинсталляции заработал. Не пойму в чем было дело, но есть подозрение что он не подружился с одной из сред программирования.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 492] Автор : UF3K Дата : 30.12.2017 12:27 Да, что-то я совсем невнимательный стал. :-( Конечно прошивку от Сергея есть смысл в прикрепленное сообщение вынести, т.к. сейчас уже много кто 22к заказал. Да, с Квартусом странно вышло. У меня стоит десятка два разных сред, пока вроде все живо. Значит просто не повезло с конфликтом. Теперь возможно в какой-то из сред вылезет обратный конфликт. Все равно, хорошо, что Квартус заработал. Одна возможность быстро поправить распиновку "под себя" уже дорогого стоит. PS: Роберт, я совсем не расстроюсь, если Вы этот мой флуд сотрете после прочтения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 493] Автор : UN7RX Дата : 30.12.2017 13:58 Да все по теме, мало ли кто еще столкнется с проблемой. Все заказанное пришло. Все что нужно было самому спаять, спаял. :smile: 278131 Но НГ на носу, "помешает" заняться вплотную. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 494] Автор : UF3K Дата : 30.12.2017 14:08 Роберт, посмотрел с удовольствием на фото узлов. Как понимаю, 2-я сверху справа - стабилизатор питания. Сдается мне, что нужно будет радикально увеличивать площадь радиаторов. Поэтому при первых включениях желательно все запитать от 7-8 Вольт, чтобы меньше грелось, и оценить терморежим. И еще увидел изолирующие прокладки. Если стабилизаторы "аля" 7805, то у них минус на корпусе. По идее, прокладки не нужны (без них теплопередача все-таки лучше). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 495] Автор : khach Дата : 30.12.2017 16:25 2 UN7RX Роберт, прошивку на 22к кажется я выкладывал, что бы показать как подключить 2 АЦП. Она работает, но мало тестировалась, т.ч. наверное нет смысла в шапке ее размещать. Если я что-то пропустил, и Сергей выкладывал прошивку под 22к, то он поправит. Прошивка под 22к вроде была под два приемника с одним АЦП. А вот на вариант двух АЦП было бы интересно глянуть. Можно попросить прямую ссылку на тему с прошивкой? Там реализовано просто два независимых приемника или были варианты типа приема одной станции на две антенны с фазовым подавлением помех? Типа два АЦП, одни аудиопоток на выходе устроства, но можно крутит фазу NCO в одном и каналов, а потом аудиопотоки каждого канала после демодулятора суммируются с весовыми коэффициентами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 496] Автор : UN7RX Дата : 30.12.2017 16:50 RX3QFM, Володя, там пара стабов на 5В (для разных нагрузок) и УНЧ на 2003. Прокладки действительно есть, хочу исключить гальваническое соединение общего провода через "лапы". Впрочем, убрать их недолго. Кроме того, я специально вывел их на край платы - если что, можно легко поставить ребристый, или игольчатый радиатор. Включу - увижу. :roll: А вот на вариант двух АЦП было бы интересно глянуть. Присоединяюсь, тоже любопытно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 497] Автор : RC3ZQ Дата : 30.12.2017 17:26 UN7RX, Роберт, добрый вечер. С Наступающим Новым Годом! А что за плата на фото у Вас там где USB разъем ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 498] Автор : EU1SW Дата : 30.12.2017 17:42 Там реализовано просто два независимых приемника или были варианты типа приема одной станции на две антенны с фазовым подавлением помех? Типа два АЦП, одни аудиопоток на выходе устроства, но можно крутит фазу NCO в одном и каналов, а потом аудиопотоки каждого канала после демодулятора суммируются с весовыми коэффициентами. Там реализовано два независимых приемника, в опубликованном мной изначально исходнике на второй приемник подается копия входных данных единственного АЦП, но, поскольку Владимир имел в наличии 2 АЦП, а я нет, то он самостоятельно откорректировал исходники, создав пины и нужные связи для подключения второго АЦП. И, что основное!, продумал распиновку под 22к, поэтому Володю в соавторы! . Эту прошивку тоже выкладывали. А обработка двух каналов уже забота PowerSDR, у ней есть такой функционал. Под него и делали собственно! ) С наступающим! Добавлено через 8 минут(ы): Присоединяюсь, тоже любопытно Видимо тема уже разрослась, посты теряются, проходя мимо )))) Под 2АЦП прошивка давно есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 499] Автор : UN7RX Дата : 30.12.2017 18:26 RC3ZQ, Сергей, это плата аппаратного интерфейса к PowerSDR. Разработка Николая, RA3PKJ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 500] Автор : UF3K Дата : 30.12.2017 18:35 А вот на вариант двух АЦП было бы интересно глянуть. Уже выкладывал в этой теме (для примера, ее мало тестировали) в сообщении http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1452584&viewfull=1#post1452584 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1452584&viewfull=1#post1452584) Ну или прямая ссылка - https://yadi.sk/d/Sn7wfWWe3NtKTR (https://yadi.sk/d/Sn7wfWWe3NtKTR) Выложено это было как пример как подключить 2 АЦП, что бы работало подавление помех (работа с 2-мя АЦП на 2 приемные антенны). Работает все штатно из PowerSDR mrx. Я не уверен, тестировал ли я в ней режим передачи. По идее должна работать. И уже не помню сколько приемников можно включить на каждый АЦП (1 или 2). 2 UN7RX Роберт, ага, теперь все понятно, а то я, увидев такие маленькие радиаторы, решил что все перегреется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 501] Автор : WladN Дата : 31.12.2017 07:34 RC3ZQ, Сергей, это плата аппаратного интерфейса к PowerSDR. Разработка Николая, RA3PKJ. Роберт,а Вы пытали ее с новыми версиями прог? Можно в личку,но мне кажется и в этой теме многим пригодится,если все будет ок . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 502] Автор : UN7RX Дата : 31.12.2017 07:58 Нет, так как меня интересует только PowerSDR mRX PS, на сайте Николая есть версия специально для нее. Тут подробнее (http://ra3pkj.keyforum.ru/viewtopic.php?f=2&t=14). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 503] Автор : RC3ZQ Дата : 01.01.2018 13:42 UN7RX, Роберт, доброго дня! С Наступившим Новым Годом! У Вас платка УВЧ на BFG591 имеется в lay формате? Если есть, выложите пожалуйста. А то что есть в первом посту там в pdf и не понятно какие где детальки. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 504] Автор : UN7RX Дата : 01.01.2018 17:05 RC3ZQ, это Вы явно перепраздновали. :smile: Первый же пункт в закрепленном первом посту - плата в lay. 278291 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 505] Автор : UF3K Дата : 01.01.2018 17:36 Владимир, не болеть в Новом Жень, большое спасибо! Оно, конечно крайне актуально, эх... Тебе и семье тоже могучего здоровья, да и вообще чтобы все было хорошо. Жаль давно не виделись, ну может как-нибудь сложится. Добавлено через 6 минут(ы): Что касается условий при каких пробовал подавление. Антенны GP на 40 м и вертикальная дельта на 40 м, разнесенные на 20 м в пространстве (этого более чем достаточно для фазового подавления). Просто фазовая компенсация отлично работает (и так было у меня еще 10 лет назад), когда 1 мощная помеха идет с одного направления. Но когда куча мощных помех с многих направлений, это уже таким методом не давится, увы. Подавление от моего локального импульсного БП около 50дБ. А подавление (простите за мой "французский") общего срача, ну 6-12 дБ от силы, как повезет. Я смотрел твой ролик на ютюбе. Да, там много лучше. Но как мне кажется, у тебя основная помеха идет от ЖД, т.е. с одного направления. Вот оно и давит хорошо. В протоколе это напрямую не реализовано, но можно писать частоты в несуществующие фейковые приемники ( протокол их вроде до 12 штук допускает) и использовать это фейковое значение частоты в качестве сдвига фазы NCO. Это вариант для сохранения совместимости с существующим софтом. Вот думал, думал, и никак не могу понять, а в чем преимущество двигать фазу в кордике? Просто не доходит (новогодний эффект что ли..). Добавлено через 12 минут(ы): У Вас платка УВЧ на BFG591 имеется в lay формате? Рисовал схемы и платы разводил в P-CAD еще со времен 286-х процессоров, и до сих пор не фанат его интерфейса, хотя это индустриальный стандарт почти до сего дня. Всем любителям Sprintlayout очень рекомендую для простых проектов освоить DipTrace. Это небо и земля по многим параметрам, хотя тоже есть недостатки. Но порог вхождения очень низкий (просто прочитать хэлп), а удобств очень много (основное - огромная база компонентов, очень легко реализуются дополнения в библиотеки, есть ECO, но увы в 1 сторону из схемы в разводку). Все не расскажешь, лучше попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 506] Автор : RC3ZQ Дата : 01.01.2018 18:32 RC3ZQ, это Вы явно перепраздновали. каюсь виноват.:ржач: и вроди как и не пил много.... не обратил внимания что в файле две платы... Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 507] Автор : EU1SW Дата : 02.01.2018 08:10 Именно по этому модераторы призывают обсуждать в темах подробности реально существующей конструкции, а не теоретические рассуждения о том, как могло бы быть, если бы ... Бла, бла, бла... Дабы "рассуждения профи" не смущали тех, кто тему читает раз в полгода ) В PowerSDR реализован определенный функционал, железо реализует интерфейсы для этого функционала, в результате все работает штатно, как и в Ананах, Анжелиях, Красных Питайях, и прочих аппаратах, т.к. это программно определяемое радио. За каким бесом надо передавать в железо фазу кордиков мне неведомо, кроме как смешивать 2канала прямо на борту, но для этого недостаточно только фазы, нужно еще и амплитуду передавать. В результате это все блаблабла очередные фантазии, а Вам уже печаль, и резкая нужда в допиливании софта... ((( При том, что даже не пробовали работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 508] Автор : UN7RX Дата : 02.01.2018 09:08 Еще раз предупреждаю - в данной теме обсуждается только и исключительно конструкция на базе железа и софта предложенные Сергеем. Приветствуются любые дополнения и модификации, как те что предложили Василий UR5KIM и Владимир RX3QFM.Но все остальное - за бортом темы! Не нравится вам концепция, железо или софт - открываете свою тему и восхищаете народ своим гением и прозорливостью. Здесь подобные разглогольствования будут приравниваться к троллингу и преднамеренному забиванию темы спамом. Не обижайтесь потом! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 509] Автор : romanetz Дата : 02.01.2018 10:27 Как в PowerSDR mRX вывести звук на звуковую карту, а не на трансивер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 510] Автор : EU1SW Дата : 02.01.2018 11:05 Это приколы новогодние видимо... Спросите в теме посвященной поверсдр, либо загуглите. Элементарные ж вещи... В меню VAC поставить галку, и выбрать желаемый программный интерфейс ЗК. http://hamlabdoc.readthedocs.io/en/latest/_images/PowerSDRaudiosetup.PNG -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 511] Автор : romanetz Дата : 02.01.2018 11:18 Спасибо! Никаких приколов - на компе стоят две других разных программы для VAC, и по привычке их функцию по-другому себе представляю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 512] Автор : UN7RX Дата : 05.01.2018 14:10 При открытии проекта из вот этого поста Сергея (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1446466&viewfull=1#post1446466), Hermes_Lite_22k_CW_s idetone.zip, (в заголовке темы есть этот файл) выдается ошибка. 278579 Это критично? Просьба к Василию,Livas60, вот тут есть ваша версия (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1459083&viewfull=1#post1459083) прошивки, нельзя ли нескомпилированный проект выложить от нее? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 513] Автор : Genadi Zawidowski Дата : 05.01.2018 14:13 Это критично? Если при компиляции не ругнется на отсутствующий компонент, то не критично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 514] Автор : Livas60 Дата : 05.01.2018 15:17 нельзя ли нескомпилированный проект выложить от нее? Сейчас не имею доступа к компьютеру, где находится проект. При первой возможности выложу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 515] Автор : Livas60 Дата : 05.01.2018 19:25 В архиве файл проекта. Он аналогичен проекту Сергея "Hermes_Lite_22k_CW_sidetone", за исключением того, что изменено назначение выводов ПЛИС в соответствии со схемой, приведенной в сообщении 237 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1454456&viewfull=1#post1454456). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 516] Автор : UN7RX Дата : 05.01.2018 19:54 Livas60, то что нужно, спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 517] Автор : Rublik Дата : 06.01.2018 00:32 Начал повторять данный проект. Пока микруши и платы едут занялся изготовлением печаток для ЦАП и АЦП. На главной странице скачал архив 6654.zip и обнаружил в нем ошибку. Обратите внимание на конденсатор 12Пф после трансформатора TV1. Ну и вставлю свои "три копейки". Данные платы повторяю ЛУТом (хотя есть возможность сделать фоторезистом), и при повторении у меня сливаются дорожки. Я считаю, дорожки можно сдалать чуть тоньше, пятаки под ноги микросхемы чуть уже, а под PLS не круглые, а овальные. Всё это по сути мелочи, но в конечном итоге паять будет удобнее. Плату изготовил со своими изменениями, всё получилось красиво, и не очень мелко. Алексей. R2DAR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 518] Автор : RA4UKL Дата : 06.01.2018 01:38 Алексей, спасибо, видимо зацепил мышкой, когда расставлял номиналы, дорожка съехала. По поводу изготовления платы. Именно эта плата была сделана ЛУТом, чтобы убрать слипшиеся дорожки и прочие атрибуты ЛУТа - протрите плату меламиновой губкой, предварительно смочив в воде, результат Вас удивит. По поводу пятаков PLS спорить не буду, тут кому как, а вот под АЦП уменьшать ширину площадок крайне не советую. Выводы АЦП лудятся крайне отвратительно, проглядеть непропай можно запросто. Поэтому зачистите выводы у пузо н микросхемы хотя бы абразивным ластиком перед пайкой. Второй момент, если будете паять с такой шириной обычным паяльником - сломаете глаза, даже с очками. Выложенная плата далеко не первой ревизии, все пройдено :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 519] Автор : R2RBN Дата : 06.01.2018 15:59 Подскажите,сильно ли влияет качество сигнала генератора на приём,а то что то палок многовато причём они с ростом частоты количество увеличивается или это от БП лезет. И второй вопрос есть вот такие монолитные усилители MAR-3SM частота от DC-2GHz Gain~12dB Output IP2+28dBm пойдут ли вместо этого УВЧ или не стоит их туда пихать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 520] Автор : EU1SW Дата : 06.01.2018 16:03 Это БП, ну или наводки от шины, или от Езернет. А что вы потеряете если попробуете их применить? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 521] Автор : RA4UKL Дата : 06.01.2018 16:10 Тоже лежит кучка разных mmic, был соблазн сделать и увч и драйвер на них. Мне не понравился Кш по сравнению с bfg591. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 522] Автор : UF3K Дата : 06.01.2018 16:41 И второй вопрос есть вот такие монолитные усилители MAR-3SM частота от DC-2GHz Gain~12dB Output IP2+28dBm пойдут ли вместо этого УВЧ или не стоит их туда пихать. 12 dB усиления, это маловато (для городских условий может и хватит, не уверен). Если поставите 2 шт., то IP3(in) будет IP3(out) - G1 - G2, т.е. 28 дБ - 12 дБ - 12 дБ = +4 дБ всего, что явно недостаточно для приличного приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 523] Автор : R2RBN Дата : 06.01.2018 16:47 попробуете их применить? ) Да особо нечего,просто все борются за динамику,а я особо во всяких IP3 и тд не особо разбираюсь вот и спросил. Мне не понравился Кш по сравнению с bfg591. Немного похуже,но другого нету не чего подходящего,а ждать ещё месяца полтора от китайцев не особо охота,тем более они у меня в наличии,буду пробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 524] Автор : LZ1AO Дата : 06.01.2018 16:47 RA4UKL, Шум BFG591 в каталоге - ето одно, шум его в усилителе, например в таком как на первой странице - другое . Вижу две цепи обратной связи, так что шум фактор будеть обязательно хуже каталожного. Шум фактор в 3 с чем то dB на КВ - ето совсем прилично. Давайте посмотрим. С MAR-3 шум фактор всего приемника ( предполагая, что NF АЦП с трансформатором 1:3 - около 30дБ) понизится с 30 до 18,23dB. Тоест чувствительност в CW, в 500Hz полосе, S/N 10dB получится около -119dBм, ето 0.25uV, в SSB - примерно 0.65uV. С идеальным нешумящим усилителем шум фактор получится 18.02dB. На практике, вряд ли заметите ету разницу в 0.21dB. В драйвере лучше не пользоватся таким, слишком маломощний. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 525] Автор : R2RBN Дата : 06.01.2018 16:51 попробуете их применить? ) Да особо нечего,просто все борются за динамику,а я особо во всяких IP3 и тд не особо разбираюсь вот и спросил. Мне не понравился Кш по сравнению с bfg591. Немного похуже,но другого нету не чего подходящего,а ждать ещё месяца полтора от китайцев не особо охота,тем более они у меня в наличии,буду пробовать. что явно недостаточно для приличного приемника. Значит буду заказывать у китайцев,пока сделаю на них попробую что получится. ПС блин нажал редактировать,а сообщение как отдельное напечаталось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 526] Автор : EU1SW Дата : 06.01.2018 16:59 Так 591 в чипедипе есть, может быстрее получится, чем у китайцев? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 527] Автор : UF3K Дата : 06.01.2018 17:08 пока сделаю на них попробую что получится. ДПФ поставьте перед ммик-ами, и станет все намного лучше (требования к IP3 существенно снизятся). Получится приемник среднего класса, как большинство промышленных трансиверов из main streem. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 528] Автор : R2RBN Дата : 06.01.2018 17:13 Так 591 в чипедипе Да дороговата получится за один транзистор с доставкой почти цена 10 транзисторов получается,наверное там и закажу придётся ещё чего не будь набрать чтобы доставку оправдать.Не когда там не заказывал,попробую. ДПФ поставьте перед ммик-ами, и станет все намного лучше Да сейчас и попробую,временно из трансвертера на укв выдерну платку увч,что да как посмотрю.Если успею до накрытия стола:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 529] Автор : EU1SW Дата : 06.01.2018 18:19 Буквально пару слов об УВЧ на 591-м. Под свои антенны и свой QTH я собирал немного другой вариант. Без эмиттерного резистора, и, поскольку входное в таком варианте близко к 25 омам, про входу автотрансформатор 3:2 для приведения к 50 ом. Усиление в таком варианте +24 дБ. Абсолютный уровень шума на выходе приемника при активации данного арианта УВЧ увеличивается на 2 дБ. Т.е близко к оптимуму. Ну и как я писал в стартовом топике, общий Кш системы в районе 8-9 дБ, не жертвуя особо динамикой, и это даже несколько избыточно, даже на 28. Я не смотрел конечно так уж основательно, в широком диапазоне, но в любительских участках я не вижу у себя дополнительных артефактов при активации УВЧ, даже без ДПФ, во всей полосе. Ночью принимаю станции на 20 из Южной Америки, при том что на 80 и 40 проход рвет стрелку ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 530] Автор : UN7RX Дата : 06.01.2018 18:32 +23дБм при токе 35мА и точке компрессии +10? Ну не знаю, даташит на 1ГГц все выдает... У меня довольно много этих сборок, разных, ERA, MSA, MAR. Никогда не приходило в голову их ставить по входу на КВ. Обычно использую их на УКВ, либо когда нужно усиление в действительно широкой полосе, например, вот тут ERA-5 (http://arcalc.com/articles.php?article_id=9). Вот его кстати, можно попробовать в УВЧ для данной конструкции попробовать, параметры у него получше. Но я все же на BFG135 поставлю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 531] Автор : R2RBN Дата : 06.01.2018 18:52 По про бывал только что,работает нормально но все портят "палки" не как не победю,сейчас БП импульсник,пойду найду свой старый трансформаторный от "виолы" может лучше станет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 532] Автор : EU1SW Дата : 06.01.2018 20:17 Добрый вечер! В таком дизайне будут проблемы, они уйдут, когда вы перенесете все в практическую плоскость.Либо по отсекам, либо на проводящую плоскость. С Рождеством! З.ы. И развязка по питанию аналоговой части АЦП будет весьма кстати -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 533] Автор : R2RBN Дата : 06.01.2018 20:50 Либо по отсекам, либо на проводящую плоскость. С Рождеством! З.ы. И развязка по питанию аналоговой части АЦП будет весьма кстати Спасибо и вам с Рождеством,корпус готов,питание разнесу как в корпус засуну,да и отсеки отдельные готовлю под каждую платку,толстой жести хорошо у меня полно.Я в принципе так и хотел,но не думал что так это все влияет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 534] Автор : UF3K Дата : 06.01.2018 21:17 По про бывал только что,работает нормально но все портят "палки" не как не победю,сейчас БП импульсник,пойду найду свой старый трансформаторный от "виолы" может лучше станет. С шиной от АЦП к ПЛИС вы жестко поступили. Там все-таки 96 МГц по всем проводам, а Вы на нее ферритовую защелку... Лучше снимите, пусть лучше немного лишнего шума с шины на вход попадет, чем чудеса ловить от непредсказуемых задержек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 535] Автор : R2RBN Дата : 06.01.2018 21:56 С шиной от АЦП к ПЛИС вы жестко поступили. Это я только сейчас по пробовал,до этого не было,уберу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 536] Автор : olegn77 Дата : 07.01.2018 11:20 Всем доброго дня, решил тоже попробовать сделать данную конструкцию, заказал демо-платку с Альтерой и программатором в комплекте, железки приехали, а программатор оказался не рабочий. Возможно ли залить прошивку с помощью ByteBlastera, который через LPT порт подключается? Заказал другой программатор, опять месяц ждать напрягает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 537] Автор : EU1SW Дата : 07.01.2018 11:36 Проекту все равно чем вы будете его шить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 538] Автор : RA4UKL Дата : 07.01.2018 13:13 А 5 вольт на плату подавали перед прошивкой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 539] Автор : UN7RX Дата : 07.01.2018 13:58 а программатор оказался не рабочий. Почему решили что не рабочий? Это USB Blaster? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 540] Автор : r3ya Дата : 07.01.2018 15:34 To R9FBT. У Вас Кварцевый генератор стоит прямо на плате АЦП. Какой уровень получился с кварцевого генератора? Может стоит после поставить аттенюатор на 3...6 db для подачи на АЦП? Кто что думает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 541] Автор : UF3K Дата : 07.01.2018 15:56 Может стоит после поставить аттенюатор на 3...6 db для подачи на АЦП? Кто что думает? Лучше не атт. , а узкий полосовой фильтр, это снизит джиттер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 542] Автор : olegn77 Дата : 07.01.2018 16:11 Для USBBlastera драйвера поставил, он определился системой, подключил его к плате, питание на нее подал, конечно. Красные светодиоды на ней стали премаргивать, нажал на запись и ничего не шьется. Комп начал страшно тупить, прям реальные тормоза. Долго игрался с драйверами, удалял, ставил, удалял dll-ки всякие, в итоге отвалилась клава, не мог залогиниться, пришлось винду переставлять. Попробовал на ноуте, там стоит Win7, та система вообще падала в синий экран. Все питания на программаторе в норме, и 5 и 3.3 вольта. Я по поводу БайтБластера спросил в том контексте увидится ли он Квартусом 16?, похоже его уже списали на свалку истории. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 543] Автор : EU1SW Дата : 07.01.2018 16:20 To r3ya Спасибо что напомнили ) Апдейт: На текущий момент у меня с выхода CMOS генератор стоит делитель 470/100 Ом. Параллельно вторичной обмотке трансформатора в тактировании встречно-параллельно 2 диода КД922. Не могу утверждать, что на моих антеннах и в моем QTH эти изменения сильно повлияли, но субьективно, за достаточно большой промежуток наблюдений, показалось что стало еще чище. Поэтому Ваше предложение считаю полезным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 544] Автор : UN7RX Дата : 07.01.2018 16:22 Для USBBlastera драйвера поставил, он определился системой, Какие драйвера и откуда вы поствили? В квартусе есть свои дравера для этого программатора, вы их поставили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 545] Автор : olegn77 Дата : 07.01.2018 16:25 Да из Квартуса и ставил драйвера -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 546] Автор : R2RBN Дата : 07.01.2018 16:31 Да из Квартуса и ставил драйвера Банально, питание на плату подавали когда программировали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 547] Автор : olegn77 Дата : 07.01.2018 16:37 Банально, питание на плату подавали когда программировали? Скажу больше, я ее даже шлейфом подключал к программатору. :-)). Да китайцы брак прислали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 548] Автор : Serg Дата : 10.01.2018 11:03 Для USBBlastera драйвера поставил, он определился системой, подключил его к плате, питание на нее подал, конечно. Красные светодиоды на ней стали премаргивать, нажал на запись и ничего не шьется. Тоже проблема с бластером. Горят всё время оба светодиода на нем. В Quartus не видно его, хотя драйвера установились. Но, при просмотре свойств его драйверов в диспетчере устройств, система падает в синий экран через несколько сек. Устанаваливал Quartus с подключенным бластером, может так не надо было? Других x64 PC нет в наличии, подключал в USB2 и 3 порты. Бластер с стм32 микросхемой внутри из купленного комплекта с платой алтеры. Что делать дальше, может там какие-то апдейты надо на quartus-15 установить? (если там более свежие драйвера в комплекте) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 549] Автор : UF3K Дата : 10.01.2018 11:54 Тоже проблема с бластером. Горят всё время оба светодиода на нем. В Quartus не видно его, хотя драйвера установились. Но, при просмотре свойств его драйверов в диспетчере устройств, система падает в синий экран через несколько сек. Устанаваливал Quartus с подключенным бластером, может так не надо было? Других x64 PC нет в наличии, подключал в USB2 и 3 порты. Бластер с стм32 микросхемой внутри из купленного комплекта с платой алтеры. Что делать дальше, может там какие-то апдейты надо на quartus-15 установить? (если там более свежие драйвера в комплекте) 1. Сталкивался с тем, что квартус ронял W7 в синий экран. Стояла куча всяких драйверов от разных USB-устройств. Помогло удаление их через "Программы и компоненты", т.е. простейшим методом. 2. Можно написать китайцу или снять короткое видео и открыть диспут на Али. Вообще, при общении китаец с EdaBoard произвел на меня впечатление порядочного, но очень занятого человека. Вообще написать надо, чтобы он обратил внимание на качество производства, т.к. не Вы последний, кто у него это будет покупать. 3. Можно попробовать посмотреть на плату бластера, погреть феном, промыть. Вполне может помочь. 4. Апдейты ни какие устанавливать не надо. Квартус у меня с подключенным бластером устанавливался без проблем. У нас слишком маленькая статистика по бластерам (покупали EU1SW, RZ3QD и я, у всех работает). Как вариант, можно поискать в интернет и сделать jtag самостоятельно, вплоть до такого варианта https://habrahabr.ru/post/343524/ И еще куча вариантов (https://www.google.ru/search?newwindow=1&safe=off&client=opera&source=hp&ei=K9dVWtbQDMOosgGTk4eACw&q=usb+blaster+altera+своими+руками&oq=usb-blaster+своими&gs_l=psy-ab.3.1.0i22i30k1l2.1042.32000.0.34532.30.23.6.0.0.0.69.1132.21.23.0....0...1c.1.64.psy-ab..1.27.1149.0..0j0i131k1j0i10i1i42k1j0i10k1j0i10i1k1j0i8i13i30k1.55.X3zEaTLLHtQ). PS: Если есть другие компьютеры, пусть и с 32-бит ОС, можно поставить на них драйвера бластера и посмотреть, будет ли все это падать при открытии диспетчера устройств. Т.е. долгая установка квартус не потребуется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 550] Автор : EU1SW Дата : 10.01.2018 12:20 На сайте марсохода помнится раньше были статьи про использование БайтБластера, но кажется после редизайна они отбыли в страну вечной охоты... В кэше гугла если только поискать Добавлено через 23 минут(ы): если там более свежие драйвера в комплекте) я б наоборот, предложил бы поискать от 11 Квартуса 32 битные, и посмотреть с ними потому как начинал я работать на 11 квартусе и 32 битной ОС - успешность шитья и стабильность 100% а на 64 битных системах и чипсетах с USB 3.0 - проглючивает иногда, и SignalTap неустойчиво работает. Где то тайминги разваливаются... другого обьяснения не находится пока -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 551] Автор : olegn77 Дата : 10.01.2018 12:25 В продолжении этой темы с неработающем USB Blasterom хочу сказать что у меня он тоже на STM32, я и мыл его спиртом и смотрел наличие генерации на кварце осцилографом, всё там работает, 8 МГц кварц генерит, вот заказал еще один экземпляр у другого продавца. Игрался тут с ByteBlasterom он тоже не работает по Вин 8 не запускается Altera Blaster service, пробовал на разных компах, вставил PCI платку с LPT портом, она взяла для порта адреса что то из диапазне Dxx, то есть не стандартные, подумал может из за этого. На другом компе встроенный LPT порт адрес 378, тоже не работает. Думаю для него нужна WinXP, но я уже не хочу ее ставить для экспериментов, буду ждать другой китайский бластер )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 552] Автор : UN7RX Дата : 10.01.2018 13:01 У нас слишком маленькая статистика по бластерам (покупали EU1SW, RZ3QD и я, у всех работает). Добавьте меня. Я не установил драйвера автоматом, при установке квартуса, просто забыл. Уже потом воткнул бластер, который, разумеется, не опознался и стал как неизвестное оборудование. Тогда я установил его через диспетчер оборудования, вкладка "Обновить драйвер" и указал папку с квартусом. Драйвер встал через inf. Все работает без проблем. Но есть существенное отличие от вышеупомянутых программаторов - мой на PIC18F14K50, кварц на 12МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 553] Автор : Serg Дата : 10.01.2018 13:26 я б наоборот, предложил бы поискать от 11 Квартуса 32 битные, и посмотреть с ними Где-то на "микроконтроллерных" сайтах вчера находил совет в такой ситуации взять драйвера от Quartus-13, надо поискать глубже, может отдельно есть они... На всякий случай спрошу наперед, те альтернативные усб-бластеры и прошивки к ним, которые предлагает интернет (на пик18 и атмега48) - они совместимы с Quartus-15 или даже нет смысла изготавливать? Но есть существенное отличие от вышеупомянутых программаторов - мой на PIC18F14K50. Повезло. Я вообще предполагаю может некорректно прошитый мне стм32 подсунули, светодиоды горят одинаково, что до установки драйверов, что после. У кого еще в наличии рабочие бластеры - при возможности снимите пож. крышку и какой там чип - отпишите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 554] Автор : EU1SW Дата : 10.01.2018 13:49 в такой ситуации взять драйвера от Quartus-13 да, это тот же совет, до 13-й версии Квартус был в том числе и 32 битной версии, а после 13 - только 64 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 555] Автор : UF3K Дата : 10.01.2018 14:36 2 oleg77: Олег, у меня совсем тупой вопрос по Вашему случаю, шлейф от бластера к плис прозванивали? Бывают плохо прожаты, лечится это молотком через тряпку (киянкой). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 556] Автор : Livas60 Дата : 10.01.2018 16:59 У меня собран на PIC18F14K50-I/SS. Работает без замечаний. Брал в EdaBoard вместе с модулем ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 557] Автор : olegn77 Дата : 10.01.2018 18:47 2 oleg77: Олег, у меня совсем тупой вопрос по Вашему случаю, шлейф от бластера к плис прозванивали? Бывают плохо прожаты, лечится это молотком через тряпку (киянкой). Да тут дело не в шлейфе, стоит только подключить программатор к компу, как тот через некоторое время падает в синий экран, даже без подключения платы с ПЛИС. Вот у товарища Livas60 программатор на ПИКе, а у меня на STM32, хотя покупали в одном магазине платки. Можно было бы попробовать считать прошивку из СТМ да думаю скорее всего она будет защищена от считывания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 558] Автор : Serg Дата : 10.01.2018 18:54 olegn77, Задал вопрос китайцу, он сказал надо драйвер от квартуса 13.1, может завтра пришлет. А то еще 5-6 гиг на SSD диске гонять не хочется в пустую чтобы только добыть драйвер после установки 13й версии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 559] Автор : RA4UKL Дата : 10.01.2018 19:00 279000 Шил этим. У меня Win8, драйвер ставил из папки Квартуса, по этой (http://i-hobby.org/blog/Electronics/83.html) инструкции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 560] Автор : Livas60 Дата : 10.01.2018 19:14 Вот драйвер, который идет в комплекте с Quartus 13.1: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 561] Автор : Serg Дата : 10.01.2018 20:42 Всем спасибо и в частности RA4UKL, Livas60. Драйвер по ссылке ra4ukl 2012 года, от Livas 2009. проверил 2012 год на XP - стало без зависаний. Поставил от Livas на 7x64 - все нормально видит и не виснет, даже что-то прошилось без ошибок, но пока не подключена периферия чтобы запустить программы. Похоже, что драйвера от Quatrus 15 - не совместимы с этим бластером на стм32! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 562] Автор : UN7RX Дата : 10.01.2018 21:45 Возникала необходимость отзеркалить порядок выводов с альтеры на DAC, для удобства монтажа. Общая схема взята Василия. Он в описании хорошо расписал (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1459083&viewfull=1#post1459083) цветовое обозначение выводов. Василий, как я понимаю, выводы черного цвета - быстрые, двунаправленные, общего назначения? Я могу их менять как мне удобно? К примеру, задействовать 103 пин? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 563] Автор : R2RBN Дата : 10.01.2018 22:07 Попробую ответить за Василия,совершенно верно черный так сказать"универсальные",и менять можно на такие же "чёрные". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 564] Автор : olegn77 Дата : 10.01.2018 22:08 [QUOTE=Serg;1484228]Всем спасибо и в частности RA4UKL, Livas60. Присоединяюсь к благодарностям, совместными усилиями заставили работать это чудо китайского программаторостроения. У меня тоже заработал с драйверами от 13 Квартуса, под вин7 прошилась платка, под восьмеркой тоже перестала система падать с этими драйверами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 565] Автор : Livas60 Дата : 10.01.2018 22:17 Да, можете. Внизу две ссылки на документы, описывающие свойства выводов микросхем используемого семейства Альтеры: https://www.altera.com.cn/content/dam/altera-www/global/en_US/pdfs/literature/dp/cyclone-iv/ep4ce22.pdf https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/dp/cyclone-iv/pcg-01008.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 566] Автор : UF3K Дата : 10.01.2018 22:37 2 UN7RX: Роберт, мне чуть привычнее (недавно стало) смотреть на это из Quarus. Суть - в PinPlanner медленные выводы обозначены треугольниками и в комментарии (при наведении курсора на пин) всплывает нечто о "Vref". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 567] Автор : UN7RX Дата : 10.01.2018 23:13 Livas60, Василий, а у Вас случайно сама схема (которая общая) не экпорт из sPlan? Просто хочу перерисовать схему с учетом изменений, можно конечно графику загнать и поверх нарисовать, но хлопотно, честно говоря. :smile: Не осталось .spl? RX3QFM, понятно Владимир, спасибо, учту! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 568] Автор : Евгений_vrn Дата : 11.01.2018 00:13 Бластер на стм32, ОС WIN10х64, драйвера подкачались из папки C:\altera\15.0, никаких проблем с драйверами и прошивкой не было. Бластер покупался в комплекте с Алтера 22к на Али у EDA Board в ноябре. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 569] Автор : Livas60 Дата : 11.01.2018 00:48 Василий, а у Вас случайно сама схема (которая общая) не экпорт из sPlan? Случайно, да, Роберт: :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 570] Автор : UN7RX Дата : 12.01.2018 18:07 Подскажите, где можно взять старые версии PowerSDR mRX PS? На гитхабе самая старая 3.3.9. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 571] Автор : Aivarss Дата : 12.01.2018 18:24 UN7RX, какую версию нужно? P.S. нашёл прямую ссилку: http://svn.tapr.org/repos_sdr_hpsdr/trunk/W5WC/PowerSDR_Installers/Archive/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 572] Автор : UN7RX Дата : 12.01.2018 18:54 О, спасибо! Разные версии попробую, до 3.3.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 573] Автор : UF3K Дата : 12.01.2018 18:59 О, спасибо! Разные версии попробую, до 3.3.9 А в чем смысл? Оно (почти оно) и с последними работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 574] Автор : UN7RX Дата : 12.01.2018 19:53 Я на всякий случай, не знаю как с новыми версиями себя поведет нетбук на Атоме, WinXP и аппаратный интерфейс. Потом будет другой РС, но запускать мне удобно на этом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 575] Автор : UF3K Дата : 12.01.2018 20:14 Роберт, понятно. Может и есть смысл старые версии проверить. Мы с Димой RZ3QD пробовали на ноуте со стареньким 2-хядерным селероном 1,8 ГГц, 2 ГБ ОЗУ и W7 32bit и XP 32bit (он заметно шустрее типовых eepc) - не взлетело в дефолтном состоянии. Сергей EU1SW как-то намекал, что если подкрутить FPS панорамы и ее точность (возможно что-то еще, уже не помню), то может и взлетит, но у нас руки не дошли попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 576] Автор : Aivarss Дата : 13.01.2018 01:06 При инсталации "новых" версий обязательно нужно удалять ошмётки предидущей версии!!! А то будут не понятки, а чё не фуричит то :crazy: А в том то и дело что файл конфигурации меняет "конфиругацию" от версии к версии, и многие жалобы на работу решались просто подчисткой 8-) При удалении файл конфигурации всё равно остаётся, так что только "ручками" ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 577] Автор : DeXter Holland Дата : 13.01.2018 17:14 так что только "ручками" в последних версиях малоактуально при обновлении поверх, так как настройки конвертируются . при откате, надо полюбому "ручками" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 578] Автор : UN7RX Дата : 13.01.2018 17:44 Вообще не обязательно инсталлировать .msi. Можно просто административно распаковать инсталлятор и использовать как portable, перенося и запуская с любой удобной папки. ------------------------------ Смертельный номер. Установил PowerSDR на нетбуке с N455, лень было делать это на D2550, лежащей в коробке, или на мощном десктопе. :crazy: Как вы помните, при первом старте идет пересчет таблиц, оптимизация. Третий час считает. :lol: Завтра запущу на чем-нибудь более подходящем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 579] Автор : UN7RX Дата : 14.01.2018 07:11 У кого конструкция работает с компьютером напрямую, LAN/LAN, без роутера? Не могу никак состыковать. Настраиваю по обычной схеме, как два компа. Кабель кроссоверный, впрочем и прямой пробовал, безрезультатно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 580] Автор : romanetz Дата : 14.01.2018 08:22 Убираю адрес на сетевой карте, чтобы компьютер сам себе придумал из диапазона 169.254.x.x тогда работает. Либо на компе DHCP сервер запускать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 581] Автор : rolin Дата : 14.01.2018 08:25 Настраиваю по обычной схеме, как два компа. Во-первых, при подключении трансивера к компьютеру должно появляться новое активное сетевое поключение. В-вторых, идем в настройки этого соединения в опции TCP/IP V4 и ставим там галочки по-умолчанию, никакие IP задавать не нужно. 279352 Потом можно запускать ПоверСДР и он сам найдет какой айпи получил трансивер. Желательно, чтобы галка Use static IP в настройках не стояла. P.S. работать не будет, если вы неправильно припаяли хоть один проводок к плате сетевого интерфейса и еще причин может быть несколько кроме этого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 582] Автор : UF3K Дата : 14.01.2018 08:44 2 UN7RX: Под W7 x64 применял этот DHCP-server http://www.dhcpserver.de/cms/download/ Сетевой карте на windows-компьютере нужно задать статический IP. Сервер настраивается визардом, т.е. очень просто. При соединении что кроссовым, что прямым патчкордом работает очень устойчиво. При включении в общую сеть через роутер тоже работает если DHCP раздает сервер на Linux. Если DHCP раздает роутер 3COM (с родной прошивкой, т.е. не OpenWRT и т.п.), то "железяка" не запускается. Все остальное от этого роутера работает нормально. Победить так и не смог, хотя не сильно упирался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 583] Автор : romanetz Дата : 14.01.2018 09:35 Похоже, из-за "отпиленного" пинга Оригинальный hermes-lite на плате bemicro-cv и физике DP83848 адрес получает с первой попытки без проблем. Роутер на OpenWRT x86 Прошивка из шапки на плате C4E22 и физике LAN8720 адрес получает один раз из десяти. В чём причина, пока не разобрался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 584] Автор : EU1SW Дата : 14.01.2018 10:22 Да мы уже вовсю пользуемся вашими прошивками... А вам все кажется... У кого конструкция работает с компьютером напрямую, LAN/LAN, без роутера У меня работает, вся отладка прошла в этом режиме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 585] Автор : Sergey RK4PH Дата : 14.01.2018 10:28 У кого конструкция работает с компьютером напрямую, LAN/LAN, без роутера? Не могу никак состыковать. Настраиваю по обычной схеме, как два компа. Кабель кроссоверный, впрочем и прямой пробовал, безрезультатно. не знаю, роутер у меня работает отдельно и нормально, а трансивер через кабель в LAN и порядок. Василий примерно давал информацию как всё сделать. Адрес свой прописал, но он свой потом указал и всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 586] Автор : romanetz Дата : 14.01.2018 10:43 Одно дело слепить абы как, другое - чтобы работало всегда. Ваши прошивки у меня не работают на точно таком же железе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 587] Автор : EU1SW Дата : 14.01.2018 10:44 Видимо это с чем то связано, у других работают ) Надежда то хоть есть, увидеть хоть что нибудь помимо неконструктивной критики? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 588] Автор : UN7RX Дата : 14.01.2018 10:55 а трансивер через кабель в LAN и порядок. Василий примерно давал информацию как всё сделать. Вы бы еще ОС указали. У меня WinXP. Василий написал хелп для варианта с роутером. У меня работает, вся отладка прошла в этом режиме. Честно говоря даже не пробовал с динамическим адресом, сейчас попробую. Но наверное правильнее всего будет DHCP-server использовать как Владимир. Можно в виде сторонней утилиты, а можно и встроенный - в WinXP он есть изначально, просто его активировать нужно через netsh. Ваши прошивки у меня не работают на точно таком же железе. Если мне память не изменяет, то вы грозились удивить всех своим вариантом прошивки еще странице этак на 10-й. С тех пор масса времени прошло, ничего, кроме критики никто не увидел. Есть простое правило, критикуя - предлагай альтернативу. В противном случае это банальная зависть и вытекающий из этого однозначный троллинг. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 589] Автор : romanetz Дата : 14.01.2018 10:57 Не работает не всегда, а при определённых условиях: через коммутатор, когда приёмник и компьютер получают адреса от роутера. Напрямую с локальными адресами (169.254.x.x) - всё работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 590] Автор : EU1SW Дата : 14.01.2018 11:01 Но наверное правильнее всего будет DHCP-server использовать. Я не вижу никаких причин мешающих Вам поступать как Вы считаете правильней, наверное ) Как говорится, лишь бы на пользу. Проверьте работоспособность по уже отработаной схеме и привнесите свое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 591] Автор : Livas60 Дата : 14.01.2018 11:03 Испытывал варианты сеть-сеть с DHCP сервером, сеть-сеть без DHCP сервера (посредством APIPA), сеть-роутер. Во всех случаях соединение происходит с первой попытки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 592] Автор : Sergey RK4PH Дата : 14.01.2018 11:04 Вы бы еще ОС указали. У меня WinXP. Василий написал хелп для варианта с роутером. Честно говоря даже не пробовал с динамическим адресом, сейчас попробую. Но наверное правильнее всего будет DHCP-server использовать. Можно в виде утилиты, а можно и встроенный - в WinXP он есть изначально, просто его активировать нужно netsh. Роберт, используется w7 x64. сам когда подключил всё, то тишина, но потом всё пошло. правда адрес свой прописал, но при включении PowerSDR указал другой. Заменил на указанный и всё пошло без проблем. Вы проверьте соединения плис и лан. Сам не думал, но это устройство работает и очень приятно))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 593] Автор : RA4UKL Дата : 14.01.2018 11:13 Роберт, у меня работает напрямую. APIPA адрес получает без проблем и всегда, правда немного дольше чем с DHCP. Что с прямым, что с кроссовым кабелем работает одинаково. Система Win8. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 594] Автор : EU1SW Дата : 14.01.2018 11:38 Без dhcp естественно будет пауза. Пока устройства не поймут что им "ничего не светит". Ничего вручную указывать или заменять не нужно. Нужно просто убедиться что физический линк поднимается (лампочки загораются). Если не загораются - искать причину. Если загораются - запускать ПСДР и несколько раз попробовать искать трансивер. Все найдется, при правильной сборке. Добавлено через 23 минут(ы): Если что, то у меня древний DIR-300, уже лет 10 раздает IP, роутит, вайфай вещает. На 48 кгц пересобрать в модуле приемников, то даже через вайфай стабильно работает на приемпередачу с планшетом на атоме. На 96 кгц уже ограничения самого вайфая, пощелкивания иногда. У меня на нем комп в соседней комнате висит и 2 телефона. Нестабильный канал для работы в эфире. Но радио китая послушать на кухне, или картофелеводов - без проблем ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 595] Автор : Serg Дата : 14.01.2018 12:11 Если собрана только плата альтеры (прошитая) и LAN, повер-сдр будет включаться (без ацп и его тактового)? Тут пока не включается, хотя IP dhcp-роутер выдает четко. В плане ликбеза интересно понять, DHCP дает IP уже при совместной работе с плис или там какой-то интеллект есть в LAN-микросхеме? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 596] Автор : EU1SW Дата : 14.01.2018 12:33 В плане ликбеза все очень просто и можете даже на примерах. Взаимодействие с dhcp описывается на языке верилог, можете пошарить в исходниках и все найдется. Я уж не помню, в ранних исходниках точно был закомментирован тестовый генератор, формирующий выборки синуса во входной буфер, вместо АЦП, но без тактирования все равно он работать не будет ессно. Запускал я еще вот в этой теме http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-на-коленке-quot-или-конструкция-выходного-дня-из-модулей-с-Али&p=1366772&viewfull=1#post1366772 Тактирование было от плл. Актуально ли заморачиваться соединением с ПСДР при отсутствующем АЦП? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 597] Автор : RA4UKL Дата : 14.01.2018 12:42 А как Вы определите без АЦП, работает у Вас или нет? Потока битов нет, даже шум не увидите. Разве что бегающие пакеты в окне LAN-соединения смотреть. Если плату АЦП прикрутитить, но не подать такт, то будете наблюдать рандомно прыгающую шумовую дорожку. По поводу мозгов в lan, тут (http://ww1.microchip.com/downloads/en/DeviceDoc/00002165B.pdf) подробно картинках нарисовано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 598] Автор : Serg Дата : 14.01.2018 13:10 А как Вы определите без АЦП, работает у Вас или нет? Потока битов нет, даже шум не увидите. Это и хотел узнать. Предполагал, возможно случайные "цифровые" шумы уже могут появится от наводок на параллельную шину данных. Раз нет, то и экспериментировать до полной сборки не буду дальше, разве что пару каких-то проводов от сетевой платы отключу и гляну, будет ли в таком варианте определятся роутером это всё хозяйство. Не смейтесь и не осуждайте - первый раз с такими устройствами сталкиваюсь, простой интерес на поверхностном уровне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 599] Автор : UF3K Дата : 14.01.2018 13:45 Сам не думал, но это устройство работает и очень приятно))) Я очень давно ждал, когда появятся подобные сообщения. Думаю, что для Сергея это будет лучшая благодарность за его труды. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 600] Автор : Serg Дата : 14.01.2018 13:57 На сколько будет катастрофой, если в разводке несущей платы для всех модулей (с общей фольгой на другой стороне) получается, что дорожки параллельных шин от модуля FPGA к АЦП (ЦАП) будут иметь разную длину, от 10 до 90 (120) мм? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 601] Автор : UF3K Дата : 14.01.2018 14:23 С высокой степенью вероятности это может обернуться катастрофой. Грубо длина волны l=c/(f*sqrt(e)), где e - диэлектрическая проницаемость материала. Для FR4 хорошего качества e = 4. Т.е. длина волны для частоты 96 МГц будет приблизительно 1,56 м, и 9 см это фазовый сдвиг (0,09/1,56)*360 = 20,76 градусов. В АЦП сигнал DRY, если посмотреть в даташит, задержан от фронта входных данных примерно на 45 градусов. Т.е. остается запаса очень мало, и если повезет при T=25C, то проблемы возникнут при изменении температуры окружающей среды. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 602] Автор : Serg Дата : 14.01.2018 14:35 RX3QFM, значит для AD6645 не годится. У AD9226 нет DRY, разница длины шин остатается, но клок будет 61.44МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 603] Автор : UF3K Дата : 14.01.2018 15:03 Очень смелый Вы человек! ) Эта прошивка без DRY и с такой тактовой точно работать не будет. Т.ч. Вы вначале спросите EU1SW возьмется он прошивку под такое железо переписывать или нет. Ну и опять же проблема с задержками такой тактовой частотой не сильно нивелируется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 604] Автор : EU1SW Дата : 14.01.2018 15:15 Володь, если даже у меня прошивка будет работать как автомат Калашникова, то при запуске ее в подобном конструктиве с разницей в длине линий 80 мм, то я даже не знаю. У меня две штуки 9226 совершенно естественным образом легли на одну "гребенку", езернет и ЦАП на другую. Сергей, покажите эскизно, что именно Вы задумали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 605] Автор : Serg Дата : 14.01.2018 15:25 Эта прошивка без DRY и с такой тактовой точно работать не будет. Т.ч. Вы вначале спросите EU1SW возьмется он прошивку под такое железо переписывать или нет. :-) Где-то в начале веток говорилось о том, что "годятся любые АЦП от 8 до 16 бит..." покажите что именно Вы задумали. Слева АЦП, справа FPGA. Это примерно, можно немного плотней сделать, но все равно разница длины дорог одной шины (D0...D11) может отличатся в десять раз. Плата простая чтобы утюгом, с одной стороны и минимум перемычек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 606] Автор : EU1SW Дата : 14.01.2018 15:45 Если не сможете утюгом провести дорожки между пятаками, то посоветую таки запаивать перемычки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 607] Автор : Serg Дата : 14.01.2018 15:53 Т.е. совсем не жилец... Прошивкой на 61.44 и без DRY сможете посодействовать, не сейчас, хотя бы через неделю-две? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 608] Автор : EU1SW Дата : 14.01.2018 15:58 Доделаю свой двухАЦПешный на 9226 - посодействую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 609] Автор : Serg Дата : 14.01.2018 16:09 Примерно на какое время ориентироваться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 610] Автор : UF3K Дата : 14.01.2018 16:18 Ага, Сергей, тут похоже эффект "страха утюга" (я только год назад стал использовать пленочный фоторезист, до этого все утюгом делал). Дорожки 0,3 мм прекрасно получаются, а при некоторой сноровке и технология 0,25/0,25 с выходом более 50%. Т.е. я бы не боялся развернуть АЦП на 90 градусов, и сделать нормально даже под ЛУТ, что бы не иметь головной боли с разбегом задержек. PS: Еще очень хочу порекомендовать разнести (УВЧ + АЦП) и ПЛИС по разные стороны платы. Этим Вы примерно 6 дБ выиграете в BDR, что переводит приемник уже в другой класс (по моим наблюдениям реального эфира). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 611] Автор : Serg Дата : 14.01.2018 16:25 Владимир, не хочу резистов... Утюг с дорожками 0.6-0.8 и пятаками не меньше 2.0 (проверено годами и получающееся с первого раза почти на любой бумаге из журнала) или уже подождать месяц и заказать в Китае с дорожками между пинов, двумя сторонами и vias. Правда, второй вариант убивает удовольствие самодельничества, как-то паяешь готовые платы и не те ощущения от процесса. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 612] Автор : EU1SW Дата : 14.01.2018 16:38 Еще очень хочу порекомендовать разнести (УВЧ + АЦП) и ПЛИС по разные стороны платы. Этим Вы примерно 6 дБ выиграете в BDR, что переводит приемник уже в другой класс (по моим наблюдениям реального эфира). Не все так печально. Заметь, у меня на одной стороне, но УВЧ экранирован, и я не теряю 6 дБ ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 613] Автор : UN7RX Дата : 14.01.2018 16:45 Роберт, у меня работает напрямую. APIPA адрес получает без проблем и всегда, правда немного дольше чем с DHCP. Что с прямым, что с кроссовым кабелем работает одинаково. Система Win8. На ХР все гораздо хуже. Из огромного множества попыток удалсь состыковать PSDR и железо пару раз. Многоминутное ожидание, потом соединяет через APIPA, но толку то - софт железо не видит. И начинаю без конца все перезапускать... Разумеется шлейфы проверил. Да и были бы неисправными, ни разу не поднял бы. Кстати, очень разочаровали готовые компьютерные шлейфы, с механической просечкой проводов. Контакт не слишком надежный, а иногда его сопротивление составляет десятки и сотни Ом. Переделал все на пайку. Посмотрим, повозимся. Варинты с замедленным, или нестабильным поднятием линка просто бессмысленны. Одно дело эксперименты, другое - реальный аппарата для работы. Я не вижу никаких причин мешающих Вам поступать как Вы считаете правильней, наверное ) Сергей, я никак не считаю, поскольку не сталкивался раньше с такой проблемой. Просто привык что тут выкладывают ГОТОВЫЕ конструкции и не учел, что Вы изначально предложили конструктор для экспериментов, который я воспринял как абсолютно готовую вещь. Сам виноват, нужно было внимательно отнестись к сути. Просто это холодный душ, вернувший к реальности. :smile: Должны же быть в бочке меда хоть одна ложка дегтя. Буду дальше возиться, пока есть желание. Всем спасибо за подсказки! :пиво: P.S. Кстати, а нельзя ли присвоить устройству статитческий адрес в прошивке? :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 614] Автор : M0TLN Дата : 14.01.2018 16:50 Одно дело слепить абы как, другое - чтобы работало всегда. Ваши прошивки у меня не работают на точно таком же железе. Я, может, подотстал, но вы писали, что у вас BeMicro c Cyclone V на борту? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 615] Автор : EU1SW Дата : 14.01.2018 16:57 Я тоже до этого не сталкивался раньше с такой проблемой, "готовая конструкция" в моем понятии - приобретение собранной и проверенной платы, либо аппарата в коробке. Как вы понимаете, в соседних ветках гермеса, хайсдр, у Ролина, висайр, не буду все перечислять, при заводских платах, боме, и всех остальных причиндалах очевидно тоже встречаются люди, у которых не заводится, им что то непонятно, софт не работает, не соединяется... Очевидно это тоже конструкторы, полуфабрикаты и проч. Зачем искать и назначать виноватых? Мне не важно, вы там виноваты, или я, роли не играет... Пришлите мне Ваш конструктор, я запущу его и отправлю Вам обратно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 616] Автор : UF3K Дата : 14.01.2018 17:58 P.S. Кстати, а нельзя ли присвоить устройству статитческий адрес в прошивке? Можно. Я в личку ответил с подробностями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 617] Автор : EU1SW Дата : 14.01.2018 18:14 Не уверен, что это поможет, АПИПА в девайсе срабатывает гораздо быстрее, чем "многоминутное ожидание". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 618] Автор : vadim_d Дата : 14.01.2018 20:39 На ХР все гораздо хуже Роберт, так может с его замены и начать? Если нет проблемы с другим компом для отладки, то я бы изначально воспроизвел уже многократно проверенную комбинацию софта, ОС и железа, а уже потом приступал бы к смелым экспериментам, поскольку я не "сетевик-затейник" по части знания тонкостей протоколов :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 619] Автор : Sergey RK4PH Дата : 14.01.2018 21:04 Я очень давно ждал, когда появятся подобные сообщения. Думаю, что для Сергея это будет лучшая благодарность за его труды. Владимир, я и сейчас могу выразить благодарность Сергею за данный проект, а так же Вам и Василию за разъяснение некоторых нюансов). Большое спасибо. Теперь осталось всё до кучи собрать и спокойно работать в эфире)). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 620] Автор : UN7RX Дата : 14.01.2018 21:21 Зачем искать и назначать виноватых? Хм, вообще виноватым я назначил себя. Поскольку сам неверно подешел к вопросу. С чего вдруг такая самоэкзекуция, Сергей? Давайте так - не обращайте на меня внимания, тут хватает желающих спрсить Вас о чем то. Если я что-то буду спрашивать в теме, вполне вероятно что (учитывая приличное количество уже работающих аппаратов) мне будет кому ответить. И нет проблем, ок? Спасибо. Попробовал на Win7. Действительно, почти моментальный коннект. Но с XP еще повожусь. Тут другая проблема всплыла, возможно косяк с платой, 22 альтерой. PSDR запускается, подхватывает железо, вижу IP и MAC адреса, кнопка Power зеленая и ... висит. Не зависает, а просто тишина. Панорамы нет, ничего не двигается. Стоит положить палец на FPGA, не прижать даже, а типа создать емкость, как все оживает - ну как оживает, дергается панорама, но явно с ненормальным уровнем, появляется звук - все это рывками, с периодичностью около секунды. Потом и это пропадает, остается зависшая панорама. На всякий случай прошелся по плате с флюсом, пропаяв все вывода и плиски и остального, ничего не изменилось. Вопрос к Василию - ваш вариант прошивки (я имею ввиду распиновку) универсальный, для платы с 10к подойдет? Чтобы шлейфы не переделывать. Просто у меня и 10Е22 и 22Е22 есть. Попробую первую прошить. Может где то сквозная металлизация убита.:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 621] Автор : EU1SW Дата : 14.01.2018 21:34 Да тут может быть просто некие нюансы действий пользователя, которые вносят "шум", которые предсказать невозможно. Например компьютер подключен к рутеру и имеет выделенный айпи адрес. Если выдернуть шнур и сразу включить трансивер и пытаться соединяться - кина не будет. Комп будет еще некоторое время иметь старый айпи, пока не истечет таймер. Подключать езернет при включеном вайфае с интернетом тоже мало пользы принесёт. Линк поднимется, адреса по апипа назначатся, а пакет псдр уйдет в интернет, а не в провод, соединения не будет. И это все не имеет отношения к функционированию конкретного устройства. Добавлено через 11 минут(ы): https://support.microsoft.com/ru-ru/help/220874/how-to-use-automatic-tcp-ip-addressing-without-a-dhcp-server -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 622] Автор : Livas60 Дата : 14.01.2018 22:00 ваш вариант прошивки (я имею ввиду распиновку) универсальный, для платы с 10к подойдет? Да, подойдет, если использовать версию проекта под 10К ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 623] Автор : romanetz Дата : 15.01.2018 05:45 Тут другая проблема всплыла, возможно косяк с платой, 22 альтерой. PSDR запускается, подхватывает железо, вижу IP и MAC адреса, кнопка Power зеленая и ... висит. Не зависает, а просто тишина. Панорамы нет, ничего не двигается. Стоит положить палец на FPGA, не прижать даже, а типа создать емкость, как все оживает - ну как оживает, дергается панорама, но явно с ненормальным уровнем, появляется звук - все это рывками, с периодичностью около секунды. Потом и это пропадает, остается зависшая панорама. На всякий случай прошелся по плате с флюсом, пропаяв все вывода и плиски и остального, ничего не изменилось. Те же симптомы наблюдаю. Добавил в программу счётчик по модулю 96 млн со входа adc_drdy и вывел его на светодиод, на случай, если вдруг тактовая частота с АЦП не проходит. Но нет, моргает как положено. Надо дальше копать. У меня есть просьба к собравшим и успешно запустившим этот комплект, выложите видео работы. Есть некоторые непонятные моменты касательно уровней сигналов и шума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 624] Автор : UF3K Дата : 15.01.2018 09:12 romanetz, лучше опишите проблему словами, так для всех полезнее будет. Вместо "отладки светодиодом" используйте SignalTap. У Сергея модульная прошивка, поэтому если не помещаются цепочки SignalTap в ПЛИС 10к, то закоментируйте на начальном этапе передатчик - место появится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 625] Автор : UN7RX Дата : 15.01.2018 11:43 Те же симптомы наблюдаю. Вот как, то есть это не уникально. Наверное Владимир прав и я поспешил все пропаивать. Ну, хуже не будет. Тогда пока не знаю что с ней делать, попробую 10к прошить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 626] Автор : UF3K Дата : 15.01.2018 11:55 В голове 2 предположения родились: 1) Похожая ситуация может возникнуть если зеркально попутаны разряды с АЦП в ПЛИС, т.е. D13 припаян к D0 и т.д. 2) Если стоил модуль Ethernet PFY DP83848, то у него другой базовый регистр, т.е. нужно менять в исходниках и пересобирать прошивку. Вот, поиграл немного в Шерлока Холмса... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 627] Автор : romanetz Дата : 15.01.2018 19:26 Вернул пинги (поддержку ICMP) - заработало нормально получение адреса по DHCP https://drive.google.com/open?id=1OIq9zjz1iLuhCn67E0BtQN4TDMJYGPsK qar архив проекта, сделан на основе файла из шапки (с одним АЦП) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 628] Автор : UN7RX Дата : 15.01.2018 20:56 Нашел причину. Проблема чисто аппаратная. Впрочем, совет Володи проверить распиновку в редакторе был не лишним, я использовал схему и проект Василия, были перепутаны два пина на ADC. Оказалось, что я конечно подключил DRY к выбранному пину, но вот к выводу 24 - нет. Он же не выведен на пины, а идет на обратную сторону к пустой площадке на генератора. Вот и выпал из поля зрения. Бросил короткий проводок, все завелось. При подключении метрового отрезка провода шум приличный, не знаю, то ли такой уровень наводок от аппаратуры вокруг, то ли от эфира. УВЧ пока не подключал. Еще раз спасибо RX3QFM за терпение и отдельное - за помощь в решении проблемы с LAN в нужном мне ключе. ;-) :пиво: И еще раз подчеркну - Сергей сделал всем поистине царский подарок. Не только полноценный цифровой трансивер, но и что немаловажно, отличное пособие для изучения этой темы - чертовски замечательный "вход", почти как "Hello, world!" для начинающих программистов! :super: Огромное спасибо! Будем дальше учиться и надоедать вопросами. :ржач: Все-таки дрянь эти обжимные компьютерные разъемы, ненадежна вещь. Переделал шлейф на ADC на пайку, не так красиво, зато нет проблем. Потом сверху нанесу черный герметик и будет норм. 279513 сделан на основе файла из шапки 10, или 22К? Там пара проектов. Кстати, а сколько раз можно перепрошивать ПЛИС, есть ограничения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 629] Автор : Livas60 Дата : 15.01.2018 21:29 Как всегда, причина в невнимательности. :-P Поздравляю с успешным запуском. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 630] Автор : LZ1AO Дата : 15.01.2018 21:41 UN7RX, В FPGA ничего не прошивается:-P. На плате - отдельная конфигурационная FLASH память. Циклы програмирования - более чем достаточно, для памяти EPCS серии - не менее 100000. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 631] Автор : UF3K Дата : 15.01.2018 21:52 Очень рад, что заработало!:smile: Всем очень рекомендую 3 раза проверить монтаж на соответствие схеме (я - довольно внимательный человек, и то ухитрялся только с 3-го раза найти ошибку монтажа), а потом открыть PinPlanner и проверить тоже раза 3 соответствие пинов и схемы. Кстати, а сколько раз можно перепрошивать ПЛИС, есть ограничения? Тут, я полагаю, нам столько не прожить... :cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 632] Автор : Livas60 Дата : 16.01.2018 10:51 Вернул пинги (поддержку ICMP) Если можно, вопрос. Почему для этих сигналов Вы установили уровень 2,5 Вольта: 279537 Благодарю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 633] Автор : romanetz Дата : 16.01.2018 12:24 Основная проблема с моими двумя экземплярами АЦП в том, что до уровней CMOS логики 3,3 В (3,3*0,7 - логическая единица по стандарту JEDEC, который в ПЛИС заложен) сигнал ADC_DRDY не дотягивается. Проверял как С1-65, так и логическим анализатором. Питание цифровой части 3,32 вольта. А шину данных АЦП до кучи сделал уже такую. По RMII, так делать нет необходимости, как выяснил, ошибка была в логике кода. На пятом циклоне этот вход (ADC_DRDY) пришлось сконфигурировать как 1,8-вольтовую логику. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 634] Автор : Genadi Zawidowski Дата : 16.01.2018 12:32 в том, что до уровней CMOS логики 3,3 В Так пришлось понижать на плате питание банков но +2.5 вольта? Если ограничиться только assignments, на логические уровни не влияет, только на анализ скоростей. И на трассирвку, кстати тоже. И на наводки при анализе... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 635] Автор : romanetz Дата : 16.01.2018 12:35 Входные уровни (пороги триггеров) меняются точно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 636] Автор : Genadi Zawidowski Дата : 16.01.2018 12:37 На каких циклонах меняются пороги при неизменном питании банка? Я про 4-й, разумеется. Офтопить кончаем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 637] Автор : UF3K Дата : 16.01.2018 12:50 Я все-таки позволю себе пофлудить на эту тему, т.к. кто-то может еще столкнуться с такой проблемой, и начать применять такие же странные методы. 279552 Когда уже совсем ничего не получается, то наконец RTFM (datasheet). Хотя с этого нужно начинать. Питание проверьте у цифровой части ad6645, и оцените суммарную емкость монтажа и входных пинов FPGA, она не должна превышать 10 пф. О, да! Еще посмотрите на щуп осциллографа, и удивитесь тому, какая у него входная емкость (приплюсуйте ее к предыдущему результату). PS: Вывод из сказанного - емкость монтажа ADC -> FPGA должна быть минимальной. Ну и ранее в ветке уже доказывал, что проводники должны быть одинаковой длины. С FPGA -> DAC ситуация не лучше. Плюс еще надо помнить о наводках и в режиме приема и в режиме передачи на аналоговые тракты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 638] Автор : UN7RX Дата : 16.01.2018 15:34 емкость монтажа ADC -> FPGA должна быть минимальной Владимир, есть смысл экранировать их друг от друга? Я вообще то делал длину шлейфов с расчетом на то, чтобы при реальном монтаже разместить платы в отдельные экранированные отсеки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 639] Автор : UF3K Дата : 16.01.2018 16:17 Роберт, я АЦП и УВЧ перенес на другую сторону основной платы (выполнена из двустороннего FR4), этого более чем достаточно оказалось. У Сергея АЦП и УВЧ расположены на той же стороне конструкции, экранирован только УВЧ, тоже достаточно оказалось. У RZ3QD было сделано по принципу "каждая плата в отдельной коробочке", получилось точно так же как у меня по параметрам. Если бы делал сейчас, то рискнул бы для эксперимента на другую сторону платы вынести только УВЧ. Т.е. можно сделать конструкцию совсем без экранов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 640] Автор : UN7RX Дата : 16.01.2018 16:42 Я хочу экранировать их не только друг отдруга, но и от остальных компонентов трансивера - платы аппаратного интерфейса, управления, встроенной ITX, мощного УМ. Вобщем чтобы исключить любые наводки. Ок, значит хуже не будет, это главное. )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 641] Автор : R2RBN Дата : 16.01.2018 18:23 Я у себя всё за экранировал.Всё на столе хорошо работало,а стоило в корпус начать запихивать,начались проблемы.То приём пропадает то ЛАН отваливается и тд.В итоге запихнул всё в экран,сейчас заново экранированными проводами буду соединять платы,посмотрим что получится,я тоже думаю хуже не станет. . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 642] Автор : UN7RX Дата : 16.01.2018 19:33 R2RBN, у вас LAN c ADC в одном отсеке? А почему не раздельно? И получается,что провода шлейфа от ADC к альтере разную длину имеют, раз сбоку подходят? Выше Владимир писал что это нежелательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 643] Автор : R2RBN Дата : 16.01.2018 19:43 LAN c ADC в одном отсеке? Не это фотка такая неважная,там разделено.А провода будут одинаковой длинны,все по самому длинному (мгтф с металлическим экранном) они там нормально уложатся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 644] Автор : UF3K Дата : 16.01.2018 19:49 To R2RBN: Я же только несколькими постами выше писал, что у АЦП очень невысокая нагрузочная способность цифровых выходов. Очень вероятно, что не заработает при экранированном МГТФ. Вы поймите, по этой шине 96 МГц данные идут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 645] Автор : Serg Дата : 16.01.2018 20:04 Принципиально ли сохранять изначальную концепцию подачи тактового сигнала последовательно через АЦП в ПЛИС, потом с ПЛИС в ЦАП... Параллельно на все узлы допустимо раздать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 646] Автор : R2RBN Дата : 16.01.2018 20:08 Вы поймите, по этой шине 96 МГц данные идут. да я понимаю я,чего же не понятного. Думаю более 10пф там не будет,ну а если не пойдёт переделаю,с боку плис поставлю,по самому короткому пути. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 647] Автор : UF3K Дата : 16.01.2018 20:18 Думаю более 10пф там не будет Понимаю, что datasheet читать неохота... 279588 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 648] Автор : R2RBN Дата : 16.01.2018 20:24 datasheet читать неохота.. Да естественно,лень. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 649] Автор : UF3K Дата : 16.01.2018 22:48 Принципиально ли сохранять изначальную концепцию подачи тактового сигнала последовательно через АЦП в ПЛИС, потом с ПЛИС в ЦАП... Параллельно на все узлы допустимо раздать? С данным вариантом прошивки это принципиально. Параллельно такты подавать нельзя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 650] Автор : romanetz Дата : 17.01.2018 11:41 Почти всё заработало, кроме полосы 384 кГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 651] Автор : RA4UIR Дата : 17.01.2018 11:49 что то AD6645 пропали с али, нет бордов и отдельно микросхем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 652] Автор : romanetz Дата : 17.01.2018 12:04 Борда тут https://m.ru.aliexpress.com/item/32730197994.html Микрухи на ебэе есть, как пример https://m.ebay.com/itm/AD6645ASQZ-105-AD6645-A-D-Converter-IC/272251464284?hash=item3f6373aa5c:g:uokAAMXQgwNSKC2F -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 653] Автор : RA4UIR Дата : 17.01.2018 12:11 спасибо, а то по имени чипа не получалось найти на ali. на ебай у того продавца заказал 30 декабря, до сих пор не переведено в отправку, трека нет, продавец говорит отправил...ждите, какая то мутная история. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 654] Автор : R2RBN Дата : 20.01.2018 09:51 что то AD6645 пропали с али Да не куда они не пропали (https://ru.aliexpress.com/item/1-AD6645-AD6645ASQ-AD6645ASQ-80-qfp/32843262926.html?ws_ab_test=searchweb0_0%2Csearchweb201602_0_10152_10151_10065_10344_10068_10342_10343_10340_10341_10543_10084_10083_10307_10615_10301_10313_10059_10534_100031_10604_10103_10607_10606_10142_10125%2Csearchweb201603_0%2CppcSwitch_0&algo_expid=d9275e6b-98a8-4ea5-b208-cb16cfaca595-0&algo_pvid=d9275e6b-98a8-4ea5-b208-cb16cfaca595&priceBeautifyAB=0),там просто у них поиск по дурному работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 655] Автор : Serg Дата : 20.01.2018 10:55 Да не куда они не пропали Это 80МГц-овые, под них нужен другой клок, 61.44 и возможно 76.8 и модернизация п/о? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 656] Автор : R2RBN Дата : 20.01.2018 11:42 Это 80МГц-овые Точно,сразу не заметил. Но тем не менее они на али есть,а вот поиск там плохинкий,сам когда искал так он мне и не выдал не одного лота на ацп,зато по ссылке в начале темы он есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 657] Автор : UN7RX Дата : 20.01.2018 17:30 Попробовал запустить PSDR на материнке Intel D2550MUD2. Проц одноименный, 2Гб памяти. Диск SSD на 16Гб, больше ему и не нужно. Плату покупал давно, под SDR (обычный), на тот момент была в "атомном" топе, критерии были по наличию LPT и LVDS. Вчера убил вечер на проблему с отваливанием LAN порта на матери, просто отключался и все. Оказалось, последние драйвера от интел просто фуфло. Старые работают отлично. Средняя, рабочая загрузка процессора видна на скрине. Могу добавить, что нижний предел в районе 43%, верхний (при каких то переключениях и прочих переходных процессах) кратковременно может доходить до 70-75%. 279889 WinXP специальная версия, SP3 и все последние обновления, плюс вырезано все, что не нужно, что резко облегчило и дистрибутив и память (всякие ненужные службы). Скрин возможно выглядит немного искаженным, потому что на 24' мониторе выставлено 1024х768, именно такое разрешение будет на запланированном 7-ми дюймовом дисплее. Погонял, сравнил с IC-756. Согласен с Владимиром RX2QFM - УВЧ отключать нет смысла никакого. Во всяком случае с моей версией УВЧ, на BFG135. По чувствительности примерно равен 756 с Preamp1 и хуже чем с Preamp2. Нужно будет все-таки попробовать УВЧ на ERA-5. Шум точно оценить не могу, по ощущениям шумнее чем 756, но во-первых на входе нет абсолютно ничего, никаких фильтров (даже ФНЧ на входе АЦП, не нашел миниатюрной индуктивности на 270нГ), во вторых та паутина которую представляет собой макет, наводит и ловит свои же помехи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 658] Автор : EU1SW Дата : 20.01.2018 19:32 В псдр менюшечка есть хорошая для таких экранов, называется "collapse" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 659] Автор : Livas60 Дата : 20.01.2018 19:55 Роберт, по входному уровню калибровку делали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 660] Автор : Aivarss Дата : 20.01.2018 23:06 UN7RX, Есть способы уменьшит нагрузку, если интересно, могу подсказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 661] Автор : UN7RX Дата : 20.01.2018 23:53 Василий, пока ничего не калибровал. У меня две платы, 10к и 22к, я их по очередни обкатываю, понемногу эксперементируя с прошивкой, так что до калибровки пока руки не дошли. :smile: Aivarss, в принципе, то что есть тоже вроде не перегружает, все равно ничего кроме псдр на неми не будет.Но вообще любые советы пригодятся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 662] Автор : Sergey RK4PH Дата : 20.01.2018 23:57 Попробовал запустить PSDR на материнке Intel D2550MUD2. Проц одноименный, 2Гб памяти. Диск SSD на 16Гб, больше ему и не нужно. Плату покупал давно, под SDR (обычный), на тот момент была в "атомном" топе, критерии были по наличию LPT и LVDS. Вчера убил вечер на проблему с отваливанием LAN порта на матери, просто отключался и все. Оказалось, последние драйвера от интел просто фуфло. Старые работают отлично. Средняя, рабочая загрузка процессора видна на скрине. Могу добавить, что нижний предел в районе 43%, верхний (при каких то переключениях и прочих переходных процессах) кратковременно может доходить до 70-75%. 279889 WinXP специальная версия, SP3 и все последние обновления, плюс вырезано все, что не нужно, что резко облегчило и дистрибутив и память (всякие ненужные службы). Скрин возможно выглядит немного искаженным, потому что на 24' мониторе выставлено 1024х768, именно такое разрешение будет на запланированном 7-ми дюймовом дисплее. Погонял, сравнил с IC-756. Согласен с Владимиром RX2QFM - УВЧ отключать нет смысла никакого. Во всяком случае с моей версией УВЧ, на BFG135. По чувствительности примерно равен 756 с Preamp1 и хуже чем с Preamp2. Нужно будет все-таки попробовать УВЧ на ERA-5. Шум точно оценить не могу, по ощущениям шумнее чем 756, но во-первых на входе нет абсолютно ничего, никаких фильтров (даже ФНЧ на входе АЦП, не нашел миниатюрной индуктивности на 270нГ), во вторых та паутина которую представляет собой макет, наводит и ловит свои же помехи. Роберт, были бы рядышком немного отсыпал индуктивности на 330нГ. У самого паутина пока и помех не заметил, загрузка 26%. Понравилось как работают ДПФ от пилигрима). УВЧ ещё по пробую собрать, что тут на форуме писали и посмотрю в кучи всё). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 663] Автор : UN7RX Дата : 21.01.2018 00:13 Василий, пока ничего не калибровал. У меня две платы, 10к и 22к, я их по очередни обкатываю, понемногу эксперементируя с прошивкой, так что до калибровки пока руки не дошли. :smile: Aivarss, в принципе, то что есть тоже вроде не перегружает, все равно ничего кроме псдр на неми не будет.Но вообще любые советы пригодятся. EU1SW, нее, это перебор. :smile: Я переделывал интерфейс под экран 480х600, давно, для старой версии, под аппаратное управление. Часть контролов убрал, часть изменил, либо минимизировал. Получилось симпатично. Хотел сейчас показать, но увы, есть только исходники, не нашел куда дел скомпилированный проект, они под VS2003, я под VS2005 работал, сейчас никакая студия не стоит, не могу быстренько скомпилировать. Хоть версия там и старая, надеюсь, код интерфейса (он на шарпе) не слишком отличается, визуально то он мало изменился, переделаю под 1024х768 и будет норм. Исходники современных версий доступны. Добавлено через 13 минут(ы): 330нГ на 330 нГ есть, фнч 5-го порядка в УВЧ стоят. Нет ФНЧ на входе самого АЦП, там простенький, там и стоит на 270. Но это неважно, все равно ДПФ будут. Кстати, интересный момент, при подключении УВЧ полезный сигнал как и положено возрастает, а вот шум резко снижается. PS Да блин, не понимаю чего тема закрывается то?! :shock: Второй раз такая фигня случается. Хорошо Айварс ткнул. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 664] Автор : UN7RX Дата : 21.01.2018 01:15 Момент есть непонятный. При включении NR и особенно NR2 появляются хрипы и искажения. Отчего это может быть? wav залил на Яндекс диск, а то 25Мб. https://yadi.sk/d/SubqvCDP3Rdftwhttps://yadi.sk/d/SubqvCDP3Rdftwhttps://yadi.sk/d/SubqvCDP3Rdftw https://yadi.sk/d/SubqvCDP3Rdftw -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 665] Автор : Aivarss Дата : 21.01.2018 01:44 UN7RX, ИМХО скорее всего от недостатка "мощи", NR и NR2 довольно серьезно нагружают процессор, сам замечал. По оптимизации, при нехватке "мощи" проще всего урезать полосу, вместо 192 поставить 48. В повседневной работе разницу скорее не заметите. Закладка Audio - Primary - Sample rate. Можно поигратся с Buffer size, но можно поиметь отрицательный эффект. Далее, Display - General - Main display FPS. С 15 можно опустить до 10. Разница есть, но при экономии ресурсов вполне. Далее, Display - RX1(RX2) - Fast Fourier Transform ставим Size на Min, картинка становится не очень красивая, но ресурсы экономит конкретно. Если ресурсы компа позволяют, ставим на Max. Тогда каждый чих на CW видно на панораме ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 666] Автор : UN7RX Дата : 21.01.2018 02:42 Ну я бы согласился, увидев загрузку процессора под 90%. Но 40-55... Впрочем, попробую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 667] Автор : UF3K Дата : 21.01.2018 08:40 Объясню почему на АЦП поставил 270 нГ. В линейке 0603, которая была под руками, 270 и меньше намотаны на керамике, а 300 и больше на феррите. Думаем про IP3, и вывод ясен. В УВЧ поменять проще, если что-то пошло не так. Такую катушечку легко намотать самому проводом 0,35-0,4 мм на сверле диаметром 2-2,5 мм (больше диаметр нельзя, т.к. помех насосет больше чем отфильтрует; понятно, что лучше всего SMD в этом смысле). Если хочется, можно потом на припаянной кисточкой с клеем по виткам мазнуть. Файл что-то не проигрывается в PowerSDR, не разобрался почему. В HDSDR проигрывается, но странно. Вообще, как по мне, в PowerSDR NR работает отвратительно, никогда не использую. Даже придумать не могу ситуацию, когда бы мне это пригодилось. Шум при включении УВЧ может снижаться, как раз за счет приема помех АЦП на верхних зонах Найквиста (у УВЧ на выходе фильтр стоит). Именно поэтому, в свое время, убедил Сергея оставить идеи принимать УКВ на верхних зонах, т.к. конвертер получается эффективнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 668] Автор : UN7RX Дата : 21.01.2018 08:57 Почему без УВЧ шумит сильнее при подключении антенны, вообще вопросов не вызывало. У меня в УВЧ стоят катушки на 330нГ без феррита, 1206. С NR конечно нужно разбираться, эффект подавления шумов отличный просто, если бы не искажения. Да и иметь цифровой трансивер без такой функции, совсем не комильфо. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 669] Автор : UF3K Дата : 21.01.2018 09:50 Роберт, маленький фильтр, расположенный на плате АЦП, дает заметный эффект, т.к. по дороге от фильтра УВЧ до АЦП в верхних зонах наводки есть из-за неидеального КСВ по входу. Так же заметно влияние конденсатора 10-12 пФ на входе АЦП, он позволяет 1-1,5 дБ выиграть по чувствительности на верхних КВ диапазонах. Я придумал, когда нужен NB! :smile: Если ожидается Аврора, а я хочу спать, можно спать более комфортно. Но на шипящем CW и такой NB сгодится. :smile: PS: Если кто-то не видел, я как-то выкладывал фото доработок АЦП на УКВ-портале - http://forum.vhfdx.ru/tekhnicheskiy-forum/cifrovoj-transiver-dlja-pch-pod-ukv-i-svch/330/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 670] Автор : EU1SW Дата : 21.01.2018 10:03 NR , a особенно NR2 нагружает процессор, я писал об этом в части обсуждения, касающейся слабых машин. Обработка не успевает завершиться к моменту прихода очередного блока, единственное решение - играться с размерами буферов, задержками, интерфейсами аудио, количеству точек ффт для экрана, с целью оптимальных настроек, минимизирующих ущерб, либо более радикально - переходом на полосу обзора 96 кГц. И это касается не только ПК, на распберри 2 аналогичная песня, при 96 кгц и выключенных фильтрах все гладко, включаем NR начинаются выпадения, при NR2 интерфейс вообще замерзает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 671] Автор : Livas60 Дата : 21.01.2018 11:01 Откалибровал приемник уровнем -73dBm. Вот что получилось. Усилитель ВЧ отключен: 279951 Усилитель ВЧ включен: 279952 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 672] Автор : EU1SW Дата : 21.01.2018 11:19 Добрый день, Василий! Да, все верно, так и должно быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 673] Автор : UN7RX Дата : 21.01.2018 11:23 Попробовал все варианты которые предложил Aivarss, кроме Sampe Rate ничего практически не влияет. А вот с этим параметром странность, работает только 192000 (на вкладке Primary). Все остальные варианты превращают сигнал в прерывистый, например на 48000 в пульсации звука с очень низкой частотой, около 1 Гц. Игры с размером буфера ничего не дают. На вкладке VAC 1 те же параметры можно менять без таких эффектов, но и положительных нет. Там по умолчанию 48000. Но то что это не хватает процессора, однозначно. На десктопе с 6 ядерным Xeon-ом (с частотой 3ГГц) при включенном NR2 и SNB (эти два фильтра сильнее всего грузят) загрузка процессора достигает 10-11% и при этом качество звука просто идеальное. Подскажите, сам софт PowerSDR использует все ядра процессора, умеет это? Или одно? Дело в том, что есть платы ITX, которые очень хорошо себя показывают при многопоточной обработке, быстрее того же D2550 в 2-3 раза. Но частота процессора у них невелика, чуть больше 1ГГц, поэтому при использовании 1 ядра они бесполезны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 674] Автор : EU1SW Дата : 21.01.2018 11:38 А на вкладке primary семпл рейт менять не надо, надо во вкладке аудио, если используется vac Добавлено через 11 минут(ы): Могу предложить внести изменения в параметры фильтров и перекомпилировать проект, будет выходной семпл рейт 96 кгц, его и нужно будет выставить во вкладке primary. Должно стать полегче. Обзор при этом конечно же станет тоже 96 кгц. Но вполне возможно при этом атом уже потянет NR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 675] Автор : UF3K Дата : 21.01.2018 12:11 Подскажите, сам софт PowerSDR использует все ядра процессора, умеет это? Или одно? Все: 279962 На шумовую полку внимания обращать не нужно, это совсем другой проект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 676] Автор : UN7RX Дата : 21.01.2018 12:23 А на вкладке primary семпл рейт менять не надо, надо во вкладке аудио, если используется vac Пробовал и там. Там изначально стоит 48000, что интересно, при изменении на 96000, или 192000 ХУЖЕ почему то не становится. :smile: Практически ничего не меняется. Как и при изменении буфера, или задержки. Могу предложить внести изменения в параметры фильтров Сергей, я конечно бы попробовал. Чисто из интереса, надо же что то с этой материнкой делать. :smile: Ну, а не получится, будем думать насчет железа помощнее. :roll: Все: О, а вот это хорошо. Есть интересные miniITX на АМД, четыре ядра, очень хорошая производительность в многоядерном варианте. Даже если перекомпилировать как предложил Сергей, выходной семпл рейт в 96кГц и этого будет недостаточно для Атома, то на тех платах однозначно пойдет. Можно конечно не мудрить и просто взять за основу что-то из i5-i7 на miniITX, но дорогие они, блин. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 677] Автор : EU1SW Дата : 21.01.2018 12:26 Я вот прямо сейчас обратно подоткнул мать с Celeron 847 2 ведра по 1.1 ГГц. Я раньше именно на ней работал, но цифр загрузки не помню, сейчас посмотрим. Таблицы при первом запуске обсчитались за 40 минут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 678] Автор : sgk Дата : 21.01.2018 12:30 Все: Всего у Вас выполняется 92 процесса. Утверждать что именно программа PSDR равномерно распределилась для выполнения на всех 4-х ядрах нет оснований. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 679] Автор : EU1SW Дата : 21.01.2018 12:33 Давайте без перегибов... Если у Вас есть достоверная информация о том, что псдр НЕ используем многоядерность - предоставьте, не надо тут диспут разводить на ровном месте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 680] Автор : Livas60 Дата : 21.01.2018 12:34 Сергей, добрый день. Кодеком еще не занимались? Я начал ковырять, уперся в модуль Hermes_clk_lrclk_gen. Никак не могу сообразить как получить нужные выходные частоты при входной 96МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 681] Автор : UF3K Дата : 21.01.2018 12:41 Всего у Вас выполняется 92 процесса. Утверждать что именно программа PSDR равномерно распределилась для выполнения на всех 4-х ядрах нет оснований. Если хотите для себя убедиться, то посмотрите исходный код PowerSDR (он открытый). Они еще со времен перехода с VB на C# стали использовать многопоточность, а было это примерно в 2000-м году, если память мне не изменяет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 682] Автор : sgk Дата : 21.01.2018 12:44 Давайте без перегибов... Вопрос стал актуальным. Если у Вас есть достоверная информация что псдр используем многоядерность - предоставьте. Можно в личку или более подходящую тему. RX3QFM Пробовал на серверной плате с двумя Ксеонами, всего 24 потока, теми средствами что располагал распараллеливания не заметил. Правда это было в 2012 году, может что изменилось любопытно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 683] Автор : UN7RX Дата : 21.01.2018 13:10 Таблицы при первом запуске обсчитались за 40 минут. На D2550MUD2 это заняло куда больше времени. Точно не скажу, но около 2-3 часов (версия псдр 3.3.9). :crazy: Причем на чистейшей ОС, с отключенными ненужными службами и прочей лишней нагрузкой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 684] Автор : UN7RX Дата : 21.01.2018 14:21 Если у Вас есть достоверная информация что псдр используем многоядерность - предоставьте. Флексы настаивают на многоядерных процессорах, подчеркивая что одноядерные могут не потянуть. http://kc.flexradio.com/KnowledgebaseArticle50063.aspx -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 685] Автор : EU1SW Дата : 21.01.2018 15:42 Celeron 847, 2 ядра, 1,1 ГГц, разница между выключенным NR и NR2 доходит до 10% Два ядра задействовано, производительность уже на грани, хрустит местами. по ссылке сравнение селерона с атомом пару тройку лет назад я спокойно работал на этом железе, но со звуковой картой, и загрузка явно не была такой высокой, сеть грузит, еще можно посмотреть "пурсигнал", выключение снижает нагрузку https://fcenter.ru/online/hardarticles/motherboards/35294-Intel_Celeron_847_kak_al_ternativa_Atom_obzor_i_testirovanie_materinskoj_platy_MSI_C847IS_P33 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 686] Автор : Aivarss Дата : 21.01.2018 15:55 Это было давно когда я тестировал на нагрузку, тогда мне данные настройки помогали. Но я совершенно не исключаю, что за это время код подрихтовали и эти настройки уже не оказывают заметного влияния. Но Sample rate и FFT просто не могут не влиять на нагрузку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 687] Автор : EU1SW Дата : 21.01.2018 15:59 Aivarss, входной семпл рейт фиксирован, а выходной не играет большой роли, FFT влияет, равно как и фреймрейт панорамы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 688] Автор : UN7RX Дата : 21.01.2018 16:06 по ссылке сравнение селерона с атомом Даже 2700 ему сливает... Как я уже писал, D2550 бралась под "обычный" псдр и с картой Delta 44 работала отлично, хватало процессора. Я как запустил псдр с железом, с тех пор все таблицы сравнений процессоров прошерстил в подборе вариантов. :smile: Проблема в "золотой середине", то что хочется - дорого, что не нужно... то не нужно. Тут (http://pro-spo.ru/vse-o-kompyuterax-i-noutbukax/5172-proizvoditelnost-proczessorov-rejting-2017) и тут (http://www.chaynikam.info/cpu_table.html) удобно сравнивать. Сергей, по предложению насчет выходного семпл рейта - как можно его изменить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 689] Автор : EU1SW Дата : 21.01.2018 16:32 По семплрейту в файле receiver.v отредактировать текст, строки 155 и 165 memcic #(.STAGES(11), .DECIMATION(5), .ACC_WIDTH(48)) на memcic #(.STAGES(11), .DECIMATION(10), .ACC_WIDTH(60)) скомпилировать и загрузить в primary поменять 192 на 96 должно заработать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 690] Автор : romanetz Дата : 21.01.2018 16:44 Старые Xeon'ы совершенно бескомпромиссны по соотношению цена/MIPS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 691] Автор : EU1SW Дата : 21.01.2018 17:07 Сергей, добрый день. Кодеком еще не занимались? Я начал ковырять, уперся в модуль Hermes_clk_lrclk_gen . Никак не могу сообразить как получить нужные выходные частоты при входной 96МГц. Добрый! Нет еще, но я прорабатывал вопрос, планировал использовать PLL -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 692] Автор : UN7RX Дата : 21.01.2018 17:28 Старые Xeon'ы Для десктопов - да. Но не для плат miniITX. Чисто плата под 1150, или 1366 дороже чем готовая с i5-2410M у которой производительности для псдр хоть отбавляй. EU1SW, Сергей, я чуть позже попробую и обязательно отпишусь, спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 693] Автор : EU1SW Дата : 21.01.2018 17:35 Что б 2 раза не вставать, если выставить 20 и 72 то получится 48 кгц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 694] Автор : UF3K Дата : 21.01.2018 18:27 Старые Xeon'ы совершенно бескомпромиссны по соотношению цена/MIPS Не все так радужно, если добавить цену серверной материнки и цену ECC-памяти. А если придет идея прикупить серверную ОС... А то у sgk уже не распределялись треды по ядрам Зеона... :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 695] Автор : UN7RX Дата : 21.01.2018 19:51 Попробовал скомплить под 96кГц. Проблема ушла на 90%, причем на NR2 результат даже лучше чем на NR, при этом на 192кГц было наоборот. Что интересно - процессор загружен всего на 38%, но тем не менее на пиках искажения есть. При включении SNB хрипит весьма. Ну и конечно не мог не попробовать на 48кГц. Качество что на NR, что на NR2 очень хорошее, искажений не слышно вообще. Если включить одновременно NR и SNB, появляются искажения, но если только один фильтр, то все нормально. NB и прочее ничего не добавляют. Итого - будь у меня вместо D2550 что-то вроде E2-3800, на 96 летало бы отлично. В принципе, мне больше и не нужно. Уж не говоря о чем то более мощном типа упомянутого выше i5. Но D2550 даже на 48кГц абсолютно впритык. Вердикт - списать в... на кухню ее что ли. Рецепты хранить. :smile: Кстати, тем кто планирует что-то на линуксе, посмотрите на это (http://www.hardkernel.com/main/main.php). Никакая клубничка при сопоставимой цене рядом не стот. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 696] Автор : EU1SW Дата : 21.01.2018 20:07 Вот если б знать точно что pihpsdr на этом взлетит, то и можно б было запланировать покупку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 697] Автор : UF3K Дата : 21.01.2018 21:02 2 UN7RX: Роберт, спасибо за ссылку. Натыкался на эту плату несколько раз и забыл. Нужно будет повнимательнее посмотреть на порты и т.д. 2 EU1SW: Сереж, pihpsdr взлетит с высокой вероятностью, т.к. его делали под Debian, Debian есть и под Odroid. Конечно нужно немного посмотреть, но железяка выглядит привлекательно. Обидно, что мало народ пишет про всякие тонкости, типа нагрузочной способности gpio, и т.п. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 698] Автор : EU1SW Дата : 21.01.2018 21:15 там актуальный вопрос совместимость с библиотекой GPIO, валкодер и кнопки. Ранее встречал упоминания о некоторых проблемах с запуском этой штуки на nonRPi платформах, то аудио не то, то еще какая то дрянь... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 699] Автор : Aivarss Дата : 22.01.2018 01:14 Как то спрашивал автора piHPSDR(G0ORX/) насчёт плат Odroid. Должно встать без проблем и вопросов, и тянуть в разы лучше :super: Щас не упомню, на C2 штоли :roll:. Если интересно, поищу ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 700] Автор : EU1SW Дата : 22.01.2018 05:53 Интересно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 701] Автор : EU1SW Дата : 22.01.2018 12:20 Ну, отчет ) я вот сутки погонял на Селероне 847, Sandy Bridge, 2 core, 1,1 GHz связку PowerSDR & WSJT-X работать можно, но я б отметил, что это минимальные требования, ту же страницу ПСКрепортера открыть в браузере уже долго, в наушниках проскакивает хруст, а так, если цифрой, и ничего более так и сойдет... со вчерашнего вечера наловилось чото даже ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 702] Автор : Livas60 Дата : 22.01.2018 13:04 Сергей, добрый день. Какая выходная мощность была? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 703] Автор : EU1SW Дата : 22.01.2018 13:10 Добрый день! Это был SWLинг и немножечко DXing ) Я тут в просто коробочку потихоньку запихиваю, ФНЧ под 100 ватт готового нету, поэтому не хулиганил сотней без доп фильтрации ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 704] Автор : RX9A Дата : 22.01.2018 14:31 У меня такой видеонаблюдение тянет должно хватить для сдр. Только win10 На борту и память и ссд и вифи. https://ru.aliexpress.com/store/product/QOTOM-OEM-Pico-105-70-ITX-X5-Z8350-Quad-Core-1/108231_32848944838.html?spm=a2g0v.12010612.0.0.319852a8lijYNZ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 705] Автор : Aivarss Дата : 22.01.2018 17:46 Нашёл ответ John Melton, автора piHPSDR: It will work with Odroid but the problem I have found with all other boards us their poor support of GPIO. In particular their support for interiors which are really beaded for the encoders. I have not found a Linux system it will not compile and run on. It dies not have to ba an ARM system. -- John You can also use one or more MCP23017 GPIO expander chips. They connect using the I2C bus and each one can add 16 GPIO pins. -- John -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 706] Автор : UN7RX Дата : 23.01.2018 21:00 я вот сутки погонял на Селероне 847, Sandy Bridge, 2 core, 1,1 GHz связку PowerSDR & WSJT-X Есть вариант на AMD Е2-3800 - моментально, недорого, завтра можно купить. И остально, что на скрине. Но остальное - резко дороже, долго и хлопотно. Если Celeron 847 потянул эту связку, то АМД-ый процессор просто обязан. Может не заморачиваться и приобрести именно его? Перебор тоже ни к чему. Единственный минус для меня - отсутствие LVDS, ну да бог с ним, подключу дисплей через конвертер HDMI... :roll: 280149 Есть у этой АМД платформы одно серьезное преимущество, видео обрабатывается не интерированными в процессор средствами, съедая его ресурсы, а интегрированной отдельной видеокартой Radeon HD 8280 легко тянущей 4к (сам видел). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 707] Автор : UT0UM Дата : 23.01.2018 23:07 AMD Е2-3800 Celeron 847 LVDS Radeon HD 8280 кажется тема не про компьютеры? или я ошибаюсь? или тут уже можно что попало писать? :roll: Добавлено через 18 минут(ы): UN7RX, чтобы не засорять авторскую тему, будьте так добры, откройте тему "Выбор компьютера для СДР программы" и перенесите туда свои муки выбора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 708] Автор : UN7RX Дата : 23.01.2018 23:14 или я ошибаюсь? или тут уже можно что попало писать? Ошибаетесь. Здесь обсуждается все под конструкцию Сергея. Именно и только под нее. От софта и ОС платформ, до железа. Вас не заботит обзор УВЧ,ДПФ, вариантов софта и железа под линукс, или андроид, еще что то для периферии авторской конструкции? Но очень не приветствутся неумный троллинг. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 709] Автор : vadim_d Дата : 23.01.2018 23:21 От софта и ОС платформ, до железа Роберт, вроде я внимательно следил за темой, но видимо пропустил. Чем Вам удалось решить проблему с настройкой сети под Win XP? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 710] Автор : UN7RX Дата : 23.01.2018 23:59 Вадим, проблема решается несколькими способами. Если компьютер достаточно быстрый, можно оставить все как есть, у меня на десктопе Win7x64, там проверить не смог, но есть HP на Е6550 на WinXP, на нем коннект через APIPA поднимается за несколько секунд. Можно через DHCP сервер, Владимир так делал, но я нашел лишь TFTP, который отлично "раздал" адрес компьютеру, но не выдал почему то на внешку. Ну и сам остановился на статическом IP, лично меня это больше всего устраивает. Хотя и накладывает определенные сложности выходящие за чисто технические рамки. :smile: Кстати, выше romanetz, выкладывал вариант с поддержкой ICMP. Вобщем, все работает. Все таки требуется определенный порог мощности компьютера, чтобы все работало без ненужных проблем. ___________ Сорри, ввел в заблуждение, на Е6550 тоже семерка. ХР на Атоме, мгновенный коннект, но статика А вот вам причина проблемы APIPA под Windows XP 280175 В принципе, можно вообще отключить (https://support.microsoft.com/ru-kz/help/314053/tcp-ip-and-nbt-configuration-parameters-for-windows-xp) запрос по DHCP, если это сетевое соединение будет работать только с трансивером... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 711] Автор : EU1SW Дата : 24.01.2018 09:48 выше romanetz, выкладывал вариант с поддержкой ICMP. А зачем нужен icmp? Добавлено через 37 минут(ы): Особенно интересует связь между наличием/отсутствием icmp в контексте "проблем" с соединением. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 712] Автор : Genadi Zawidowski Дата : 24.01.2018 11:40 А зачем нужен icmp? Процитирую: Вернул пинги (поддержку ICMP) - заработало нормально получение адреса по DHCP -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 713] Автор : EU1SW Дата : 24.01.2018 11:59 Экспериментальная версия с переменным битрейтом для 22к ПЛИС, 48/96/192/384 кГц Выбирать во вкладке Primary в меню Setup PowerSDR Передачу не проверял, нет возможности сделать это быстро, макет в стадии переноса в корпус, на 384 кГц виден небольшой подъем панорамы по краям, это из за того, что корректирующий FIR рассчитан на 11 каскадный CIC, а используется 5 каскадный, но и так разница по ресурсам более чем очевидна 79% ПЛИС вместо 70% Прошу заинтересованных лиц протестировать и оценить актуальность, потому что если "иметь ввиду" с перспективой на 4 приемника - в 22к влезут только с фиксированным рейтом, и конечно без ICMP, который отжирает 1500 элементов(77% вместо 70% на исходном коде с фиксой), если же предположить, что будет использоваться только ПоверСДР, то она все равно больше 2-х не алёё, то можно и кордик пожирнее, и CIC раздуть, и пинг оставить ) Процитирую: Геннадий, в этой цитате очень мало реального смысла, к сожалению. Проект изначально был резан под 10К, и если бы без ICMP ВСЕ не работало, то этого проекта никто бы не увидел. ICMP и DHCP - разные протоколы, поэтому возвращение ICMP с целью, что б заработало DHCP - особая уличная магия с констрейнами, и связана она скорее с тем, что Квартус по другому разместил проект на кристалле. Добавлено через 8 минут(ы): Алгоритм для модификации: я выложил на всякий случай весь архив, разворачиваем его Квартусом в любую папку и запоминаем путь. Заходим в папку со своим рабочим проектом, сохраняем файл receiver.v под другим именем, или меняем ему расширение на .txt, заодно можно и старые файлы для программера сохранить. Потом идем в папку с новым проектом, путь к которой мы запомнили ранее, и и копируем оттуда файлик receiver.v в папку со своим рабочим проектом. Компилируем, шьем и проверяем. При необходимости восстанавливаем все удалением нового файла и переименованием обратно старого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 714] Автор : Genadi Zawidowski Дата : 24.01.2018 12:07 добавление ICMP что б заработало DHCP Оба поверх UDP - и похоже его-то и сносит... Но я так понял, никто этой магией (почему квартус оборзел) не будет заниматься, так что хоть так... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 715] Автор : EU1SW Дата : 24.01.2018 12:50 остается вопрос почему не работает у единиц, или даже у одного, но работает у остальных, и поле с граблями видится достаточно обширным ) А с другой стороны, очень вероятно что нужно просто где то таймер увеличить, но для проверкинужно тестовое окружение, в котором НЕ работает, а где мне его дома взять? Добавлено через 7 минут(ы): Для информации, неоценимую услугу проекту оказал RT9I, именно он договорился с китайцем о выпуске дешевых версий 15 и 22к ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 716] Автор : Livas60 Дата : 24.01.2018 14:10 Прошу заинтересованных лиц протестировать Сергей, добрый день. Заменил в проекте со своей распиновкой файл receiver.v одноименным файлом из Hermes_Lite_22k_CW_sidetone_variable_rate. Работает корректно только на 192кГц. Возможно еще что то еще нужно из Hermes_Lite_22k_CW_sidetone_variable_rate? Скрин прилагаю: 280207 Запись: https://drive.google.com/open?id=1Om9Zev-gF8xtSNlhYuHxd2D32iyu3dEV -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 717] Автор : EU1SW Дата : 24.01.2018 15:40 Василий, если бы я менял еще что то, я бы сообщил об этом. Переоткройте проект, квартус мог не отследить изменение файла., а тупо загнал кэшированное. Смотрите за временем компиляции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 718] Автор : UN7RX Дата : 24.01.2018 15:49 Экспериментальная версия с переменным битрейтом для 22к ПЛИС, 48/96/192/384 кГц Выбирать во вкладке Primary в меню Setup PowerSDR Ух ты, вещь! Спасибо! :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 719] Автор : Livas60 Дата : 24.01.2018 18:34 Перекомпилировал оригинальный проект Hermes_Lite_22k_CW sidetone variable_rate, только изменил назначение выводов под свою схему соединений. После этого все заработало. :smile: Сергей, спасибо за дальнейшее развитие проекта! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 720] Автор : R2RBN Дата : 24.01.2018 20:34 А от чего зависит задержка сигнала,переделал заново расположение плат чтобы соединения по короче были,и начались задержки те мышкой по спектру частоту переключаешь,частота на индикаторе меняется сразу,а потом секунды через 2 передвигается спектр и звук на эту частоту. Это ЛАН или АЦП?Провода по минимум сделаны,вроде ёмкость не должна влиять.Причём это проявляется не всегда,раз может всё нормально ,а потом опять "заедать" начнёт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 721] Автор : UN7RX Дата : 24.01.2018 20:39 R2RBN, через роутер подключаете, или напрямую? Если через роутер, то там масса причин может быть. Посмотрите через tcpview кто еще пытается ломиться через LAN -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 722] Автор : EU1SW Дата : 24.01.2018 20:47 Это ЛАН или АЦП? Это не набортный лан и не ацп. Свич ваш возможно перегружен, буферит пакеты, или буфера самой ОС, в самой железыке просто нет столько памяти, что бы настолько задерживать обмен. Может Винда обновляется, или еще тысяча причин, как и написал Роберт выше постом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 723] Автор : R2RBN Дата : 24.01.2018 20:58 роутер подключаете Да через роутер,сейчас нашёл платку от старого компа лан надо попробовать на прямую те поставлю отдельно ещё лан и через него попробую,витую пару завтра с работы возьму, обожму и попробую,а пока так. Добавлено через 5 минут(ы): буферит пакеты, или буфера самой ОС Ясно, да скорее роутер у меня на нём пол дома висит 2 телевизора,2 компа +смарт приставка,да ещё и телефоны.А винду даже специально вчера переставил,загрузка по памяти и процессорам не более 25 процентов.Буду пробовать подключать на прямую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 724] Автор : EU1SW Дата : 24.01.2018 22:05 Могут быть проблемы с маршрутизацией. Я сам не силен особо в этих делах, но на моем ноуте, если трансивер подключен прямо в железный порт, и активен вайфай, то поверсдр не находит трансивер, видимо пакет дискавери уходит в вайфай подсеть. Хотя стоит выключить вайфай как все находится. Добавлено через 57 минут(ы): И это не относится к особенностям данной конструкции ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 725] Автор : RA4UKL Дата : 24.01.2018 22:55 если трансивер подключен прямо в железный порт, и активен вайфай, то поверсдр не находит трансивер, видимо пакет дискавери уходит в вайфай подсеть. Хотя стоит выключить вайфай как все находится. Не наблюдал у себя данной проблемы. Может, route -f ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 726] Автор : EU1SW Дата : 25.01.2018 08:08 Может быть... Но это совсем другая история. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 727] Автор : r3ya Дата : 27.01.2018 19:43 Кто как прикрутил телеграфную манипуляцию (или манипулятор) к девайсу? Клавиатура не устраивает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 728] Автор : EU1SW Дата : 27.01.2018 22:26 как альтернативу клавиатуре можно почитать инструкцию Добавлено через 7 минут(ы): инет глючит, не дописал... либо на железный ком порт назначаете педаль и ключ, либо виртуальную пару, и пробрасываете в логгер ну либо еще как нибудь, как придумаете 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 729] Автор : R2RBN Дата : 28.01.2018 10:37 Подключается манипулятор как на картинке,ну а настройки постом выше. Можно по идее и переходник использовать к примеру на ch340 самый дешёвый у китайцев. Там правда эти выводы на разьём не выведены но там можно спокойно подпаяться и получится манипулятор. PS к стати подключил на прямую к компу трансивер,как к бабушке сводил,задержки пропали,да и в основном помехи какие были исчезли,но мне удобнее было бы всё таки через роутер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 730] Автор : Serg Дата : 28.01.2018 10:43 При таком подключении на общей железяке манипулятора будет не корпус, надо ставить оптопары и батарейку, если по уму делать, чтобы не палить СОМ порты или усб-сом адаптеры. По хорошему просится аппаратная манипуляция (вертикальный ключ к fpga), а электронный ключ уже каждый может сделать на любом пик-атмел из десятков вариантов схем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 731] Автор : R2RBN Дата : 28.01.2018 11:33 Так заземлите корпус,зачем контакты то заземлять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 732] Автор : EU1SW Дата : 28.01.2018 11:44 По моему мнению заводить манипуляцию на фпга - нишевое решение, не вписывающееся в парадигму SDR через Ethernet. Добавлено через 6 минут(ы): Но коньюктура такова, что надо и кодек прикрутить, и манипуляцию ) PS к стати подключил на прямую к компу трансивер,как к бабушке сводил,задержки пропали,да и в основном помехи какие были исчезли,но мне удобнее было бы всё таки через роутер. Может быть тогда имеет смысл добавить какой нибудь отдельный, ну из недорогих четырех портовых, свич, изолировав трафик трансивера и компьютера от основной сети? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 733] Автор : Serg Дата : 28.01.2018 11:51 Так заземлите корпус,зачем контакты то заземлять. Посмотрите ранее предложенную схему, там DTR предлагают пустить на общий контакт ключа, у многих манипуляторов (самодельных и готовых) он соединен с корпусом-основанием. По моему мнению заводить манипуляцию на фпга - нишевое решение Это нормальное решение во всех сдр такого класса, манипуляция без задержек обработки и зависимости от виртуальных портов, ОС и т.п. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 734] Автор : R2RBN Дата : 28.01.2018 12:33 он соединен с корпусом-основанием. Да я понял про что вы говорите,это решается многими способами,это уже кто как захочет. Тем не менее в документации flex ключ подключается к PowerSdr именно так. имеет смысл добавить какой нибудь отдельный, Да можно и так попробовать,тем более у меня валяются без дела несколько.Есть ещё отдельно платка расширения ЛАН,не как через неё не попробую,может нормально будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 735] Автор : EU1SW Дата : 28.01.2018 14:55 Это нормальное решение во всех сдр такого класса, манипуляция без задержек обработки и зависимости от виртуальных портов, ОС и т.п Это компромиссное и вынужденное решение, а не "нормальное", что б как нибудь заткнуть дыру с задержками, потому что софт, ОС и все такое... Если у меня к примеру трансивер стоит в кладовке, то что мне, наушники, микрофон и клоподав в кладовку проводами тянуть? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 736] Автор : romanetz Дата : 29.01.2018 17:58 Как пересчитывать КИХ-фильтр в приёмнике (практически) из последнего проекта? Немного напрягают "уши" :-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 737] Автор : r3ya Дата : 29.01.2018 18:44 ... то что мне, наушники, микрофон и клоподав в кладовку проводами тянуть? ) Дык наушники уже сделали чтобы проводами тянуть. :) остался ключ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 738] Автор : EU1SW Дата : 29.01.2018 23:47 Сделал исключительно под давлением и из академического интереса... ) Я пользуюсь ASIO драйверами на ПК. Подождите немного, будет вход для ключа. Добавлено через 6 минут(ы): Romanetz, Добавьте stages для второго сис, и все станет на свои места ) 5 каскадов, как для тестов заимствовано у прототипов, на самом деле выглядит не эстетично, с точки зрения "ушей", но даже с точки зрения влияния на основной канал приема не влияет. Там немножечко еще нужно пересчитать grows bit необходимо, не думаю что это проблема для пытливого ума, заодно размер varcic 11 порядка порадует. Но, помнится нас обещали порадовать правильным кодом, все в нетерпении... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 739] Автор : Сергей1971 Дата : 31.01.2018 21:32 Всем доброго вечера.Кто нибудь может нарисовать блок схему на Hermes_Lite_22k_CW (http://www.cqham.ru/forum/attachment.php?attachmentid=271996&d=1506926051) со всеми связями и разрядностью. Чтоб понимать из чего состоит и как между собой взаимодействуют компоненты.А то в Верилоге совсем ни бумбум. С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 740] Автор : R2RBN Дата : 31.01.2018 21:59 может нарисовать блок схему Долгое и неблагодарное занятие,если интересно как это работает то вот пример (https://habrahabr.ru/post/204310/) приёмника,здесь тоже самое сделано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 741] Автор : UF3K Дата : 31.01.2018 22:27 Всем доброго вечера.Кто нибудь может нарисовать блок схему на Hermes_Lite_22k_CW со всеми связями и разрядностью. Чтоб понимать из чего состоит и как между собой взаимодействуют компоненты.А то в Верилоге совсем ни бумбум. Есть в Quartus такой инструмент, называется "RTL-viewer". Он делает то, что Вам хотелось бы. Но, поскольку Вы даже не удосужились хотя бы попробовать, то ответ:"Нет, схемы мы рисовать "не можем"". :-( Извините. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 742] Автор : Сергей1971 Дата : 31.01.2018 22:32 Спасибо не знал.Буду пробовать.Ни в Верилоге ни в Квартусе я не когда не работал, поэтому такие вопросы. С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 743] Автор : labuda51 Дата : 31.01.2018 23:05 Прошился версией variable горят два крайних светодиода-это правильно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 744] Автор : UN7RX Дата : 31.01.2018 23:17 Есть в Quartus такой инструмент, называется "RTL-viewer". Он делает то, что Вам хотелось бы. Но, поскольку Вы даже не удосужились хотя бы попробовать Владимир, если бы Сергей1971, не спросил, то наверное за исключением трех-пяти человек в этой теме, остальные, включая меня, понятия об этом не имели бы. Реально тяжелая тема... Вот уж действительно, за все приходится платить, в том числе за кажущуюся простоту. это правильно У меня ни на одной плате после правильной прошивки не светились, так светятся только на новой, не прошитой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 745] Автор : labuda51 Дата : 31.01.2018 23:32 UN7RX.На не прошитой плате были бегущие огни из 4 светодиодов.Прикручу LAN посмотрю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 746] Автор : UF3K Дата : 31.01.2018 23:37 Роберт, ну Вы знаете, я не так часто срываюсь на сарказм, но иногда бывает. Тема действительно тяжелая для тех, кто хочет "взять и спаять". Возможно, даже имеет смысл в шапку вынести, что эта тема для тех, кому нужно ядро современного DUC/DDC трансивера за 150$, с очень неплохими параметрами, но при этом нужно не бояться осваивать что-то новое. В прочем, она, как и вся эта область довольно новая, хоть и в любительском радио уже более 10 лет витает. Я не знаю... Просто иногда некоторые вопросы буквально в ступор ставят. Сама формулировка "я верилога не знаю, изучать не хочу, но вы ДОЛЖНЫ мне нарисовать" просто ушибает. И это не то что к Сергею RK6AYX, а просто при каждом втором обращении происходит. Да, непросто... PS: Вот в данном случае не смог смолчать. Ни кто же не обещал бесплатных курсов по Quartus или verilog. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 747] Автор : Livas60 Дата : 31.01.2018 23:58 Прошился версией variable горят два крайних светодиода-это правильно? А это зависит от того как Вы назначили выводы ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 748] Автор : labuda51 Дата : 01.02.2018 00:16 Livas60.В прошивке ничего не менял шил как есть.Правда были проблемы с установкой usb blastera и конвертацией sof в jic.Просто распиновка меня полностью устраивала.Наверно платы одинаковые.А может свободные выводы не поставил забыл как называется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 749] Автор : Сергей1971 Дата : 01.02.2018 16:50 Владимир, Верилог это язык програмирования и он не легкий.Чтобы его изучить даже не один месяц понадобится и то чтобы написать грамртно програму надо иметь блоксхему перед глазами со всеми связями между собой.По крайне мере так мы делали в институте когда изучали Фортран.И где я писал что ВЫ ОБЯЗАНЫ , я спросил сможет ли кто? С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 750] Автор : UR3IQO Дата : 01.02.2018 16:58 я спросил сможет ли кто? В проекте OpenHPSDR (из которого это все выросло) есть подборка видеоуроков (автор Kirk Weedman). Смотрите и изучайте, сделаны они как раз по теме SDR. Верилог на самом деле очень прост как язык, основной нюанс в том, что он не совсем традиционно "исполняется" если так можно выразиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 751] Автор : R2RBN Дата : 01.02.2018 17:00 перед глазами со всеми связями между собой Вы ссылку мою смотрели,там и блок схема и всё расписано что да как,плюс проект готовый,разбирайтесь на здоровье там всё тоже самое что и тут(принцип). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 752] Автор : EU1SW Дата : 01.02.2018 18:07 Чтобы его изучить даже не один месяц понадобится и то чтобы написать грамртно програму надо иметь блоксхему перед глазами со всеми связями между собой. Могу гарантировать, что для сборки этого трансивера от вас не требуется ни изучать верилог, ни писать программу, тем уж более грамотно, ни тратить много месяцев. Максимум - освоить компиляцию, распиновку, прошивку. Для этого достаточно полчаса, коллеги постарались, написали и выложили мануалки. Для всего остального, квартуса, верилога, DSP, SDR, информации в сети - терабайты, учитесь на здоровье. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 753] Автор : romanetz Дата : 01.02.2018 19:01 http://verilog.openhpsdr.org/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 754] Автор : UF3K Дата : 01.02.2018 19:09 Верилог это язык програмирования и он не легкий Отвечу, но только потому, что это может быть полезно остальным. Олег прав, verilog относительно простой язык (У меня ушло пару дней, что бы изучить настолько, что этого хватило что бы начать понимать чужой код. При этом я не программист по образованию, а радиоинженер.), и вполне достаточно просто в Гугле набрать "учебник verilog". Главное отличие от Фортрана, С и т.д. состоит в том, что это язык описания аппаратуры. Т.е. все что происходит, происходит не в цикле (как в микропроцессоре), а параллельно. Т.е. по сути, вы имеете набор транзисторов внутри FPGA и на verilog программируете связи между ними. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 755] Автор : Сергей1971 Дата : 01.02.2018 19:51 Всем спасибо за ссылки и пояснения.Будем разбираться. С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 756] Автор : Rublik Дата : 05.02.2018 00:53 Всех приветствую. Подскажите по светодиодам на плате модуля LAN. При извлеченном LAN кабеле на модуле горит зелёный светодиод, а при подключении кабеля загорается оранжевый диод, зелёный начинает мигать. Так и должно быть? На сетевых картах по умолчанию ничего не горит, при подключении кабеля один диод горит всегда, а другой сигнализирует активность на линии.... Пакеты на трансивер отправляются, а от трансивера ничего не приходит. Не подключается ни по APIPA, ни по DHCP, ни на прямую в системник, ни в роутер. В ручную устанавливал IP сетевой карты 169.254.1.10, маска 255.255.0.0 - не помогло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 757] Автор : romanetz Дата : 05.02.2018 06:47 По цветам - да, всё правильно Прошивку уже готовую брали или компилировали в квартусе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 758] Автор : Rublik Дата : 05.02.2018 09:40 Брал с шапки. Hermes_Lite_96_RXTX_ CW_sidetone_10k без изменений в PIN PLANER. Откомпилировал, создал файл прошивки и загрузил в плату. Трансивер собран по данной распиновке. Пробовал загружать Hermes_Lite_DRY_96_pll_tx (опять же с первой страницы) с соответствующими изменениями в PIN PLANER, результат тот же. На страницах форума было сообщение, что аппарату можно присвоить статический IP, но как это сделать не уточнялось, а точнее обсуждалось в личке. Может кто подсказать, как присвоить трансиверу "стандартный " IP, к примеру 192.168.1.123 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 759] Автор : UF3K Дата : 05.02.2018 10:01 Rublik, по логике, светодиоды ведут себя правильно. Причем, оно нормально работает и с прямым, и с кросс-патчкордом. 1. Нужно проверить соответствие распайки PHY-FPGA назначению выводов в PinPlanner Quartus. Если не соответствует, то изменить, перекомпилировать и прошить FPGA. 2. DHCP срабатывает не со всеми серверами DHCP, это из моей практики. И убедитесь, что у Вас в сети есть DHCP сервер. 3. Я не использую APIPA, но как мне помнится, в этом случае сетевая карта компьютера не должна иметь ни каких назначений (проверьте и вкладку "Дополнительно"). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 760] Автор : romanetz Дата : 05.02.2018 12:14 У меня версия с pll и varcic не работала, пока констрейны в hermes-lite.sdc не поправил -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 761] Автор : labuda51 Дата : 05.02.2018 12:36 Romanetz.У меня тоже без патрона в патроннике не стреляет-надо цевьё(констрейн) передёрнуть.Можно узнать как конкретно эта версия названа в теме что-бы зря не мучиться.Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 762] Автор : romanetz Дата : 05.02.2018 13:59 У меня сейчас вот так сделано # Hermes.sdc # # # #************************************************************** # Time Information #************************************************************** set_time_format -unit ns -decimal_places 3 #************************************************************************************** # Create Clock #************************************************************************************** # externally generated clocks (with respect to the FPGA) # create_clock -period 99.000MHz [get_ports ADC_ready] -name ADC_ready create_clock -period 50.000MHz [get_ports clk50mhz] -name clk50mhz create_clock -period 50.000MHz [get_ports rmii_osc] -name rmii_osc derive_pll_clocks derive_clock_uncertainty #************************************************************************************* # Create Generated ClocK #************************************************************************************* # internally generated clocks # create_generated_clock -divide_by 2 -source rmii_osc -name PHY_RX_CLOCK_2 {hermes_lite_core:hermes_lite_core_inst|ethernet:ethernet_inst|PHY_RX_CLOCK_2} create_generated_clock -divide_by 2 -source rmii_osc -name Tx_clock_2 {hermes_lite_core:hermes_lite_core_inst|ethernet:ethernet_inst|Tx_clock_2} create_generated_clock -divide_by 1 -source ADC_drdy -name pll_96mhz {ifclocks:PLL_IF_inst|pll_96mhz} #************************************************************************************* # Set Clock Groups #************************************************************************************* set_clock_groups -asynchronous -group { PHY_TX_CLOCK \ Tx_clock_2 \ PHY_RX_CLOCK \ PHY_RX_CLOCK_2 \ } \ -group {PLL_IF_inst|altpll_component|auto_generated|pll1|clk[0]} \ -group {PLL_IF_inst|altpll_component|auto_generated|pll1|clk[1]} \ -group {PLL_IF_inst|altpll_component|auto_generated|pll1|clk[2]} ## set input delays create_clock -period 25.000MHz -name vrxclk set_input_delay -add_delay -max -clock vrxclk 31.0 [get_ports {RX_DV}] set_input_delay -add_delay -min -clock vrxclk 9.0 [get_ports {RX_DV}] set_input_delay -add_delay -max -clock vrxclk 31.0 [get_ports {PHY_RX }] set_input_delay -add_delay -min -clock vrxclk 9.0 [get_ports {PHY_RX }] # set output delays create_clock -period 25.000MHz -name vtxclk set_output_delay -add_delay -max -clock vtxclk 10.0 [get_ports {PHY_TX_EN}] set_output_delay -add_delay -min -clock vtxclk -2.0 [get_ports {PHY_TX_EN}] set_output_delay -add_delay -max -clock vtxclk 10.0 [get_ports {PHY_TX }] set_output_delay -add_delay -min -clock vtxclk -2.0 [get_ports {PHY_TX }] ## AD6645 RX Path set_input_delay -add_delay -max -clock ADC_ready 3.78 [get_ports {ADC_ready}] set_input_delay -add_delay -min -clock ADC_ready 0.5 [get_ports {ADC_ready}] set_input_delay -add_delay -max -clock ADC_ready 3.78 [get_ports {ADC_in }] set_input_delay -add_delay -min -clock ADC_ready 0.5 [get_ports {ADC_in }] ## AD9866 TX Path ## Adjust for PCB delays ##set_multicycle_path -to [get_ports {ad9866_txsync}] -setup -start 2 ##set_multicycle_path -to [get_ports {ad9866_txsync}] -hold -start 0 ##set_multicycle_path -to [get_ports {ad9866_tx }] -setup -start 2 ##set_multicycle_path -to [get_ports {ad9866_tx }] -hold -start 0 ##set_output_delay -add_delay -max -clock adc_clock 2.0 [get_ports {ad9866_txsync}] ##set_output_delay -add_delay -min -clock adc_clock -0.3 [get_ports {ad9866_txsync}] ##set_output_delay -add_delay -max -clock adc_clock 2.0 [get_ports {ad9866_tx }] ##set_output_delay -add_delay -min -clock adc_clock -0.3 [get_ports {ad9866_tx }] ## Slow outputs set_false_path -from * -to {leds userout exp_ptt_n} ## Slow inputs set_false_path -from {extreset exp_present dipsw } -to * P.S. С кварцевым генератором вместо PLL в плиске как будто уши прочистили трансиверу. Сегодня приехал генератор, впаял. Плата АЦП самодельная. Трансы все от сетевых карт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 763] Автор : UF3K Дата : 05.02.2018 14:09 romanetz, даже интересно стало, Вы это на полном серьезе написали, или прикалываетесь так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 764] Автор : romanetz Дата : 05.02.2018 14:36 на 5-20 дБ, в зависимости от частоты, шумовая полка вниз ушла -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 765] Автор : UF3K Дата : 05.02.2018 15:21 Я не про это. PLL там конечно дрянь, но опять же не до такой степени. Я про констрейны. PS: И да, напомню, что в этой ветке обсуждается железо на 10к. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 766] Автор : romanetz Дата : 05.02.2018 15:47 Это вы сами придумали, про 10к? В шапке проект на 22к лежит Что не так с констрейнами, по-вашему? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 767] Автор : Rublik Дата : 05.02.2018 19:50 И убедитесь, что у Вас в сети есть DHCP сервер. Продолжаю попытки соединить трансивер с компьютером на прямую. Установил программу для DHCP строго по инструкции с сайта https://ru.wikihow.com/%D1%83%D1%81%D1%82%D0%B0%D0%BD%D0%BE%D0%B2%D0%B8%D1%82%D1%8C-DHCP-%D1%81%D0%B5%D1%80%D0%B2%D0%B5%D1%80-%D0%B2-%D0%BB%D0%BE%D0%BA%D0%B0%D0%BB%D1%8C%D0%BD%D0%BE%D0%B9-%D1%81%D0%B5%D1%82%D0%B8 В итоге вижу, что сервер выдаёт трансиверу IP адрес 192.168.0.100, и показывает MAC адрес аппарата, но подключатся PowerSDR не желает. PING 192.168.0.100 выдает сообщение о недоступности адреса. Почему? Мне думается дело в настройках шлюза и DNS, но не обладаю знаниями какие адреса устанавливать. И нужно ли устанавливать какой то DNS в пункте 10 в инструкции по ссылке выше? 281365 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 768] Автор : UN7RX Дата : 05.02.2018 20:07 Сергей, а можно вывести сигнал управления при нажатии кнопки TUNE? Чтобы снижать мощность до безопасной, например, или для автоматического тюнера без специализировнного интерфеса (типа САТ и т.д.) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 769] Автор : rz3qs Дата : 05.02.2018 20:19 можно вывести сигнал управления при нажатии кнопки TUNE? Чтобы снижать мощность до безопасной Если речь о PWRSDR, то все делается в ней, мощность для TUNE ставим сами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 770] Автор : UN7RX Дата : 05.02.2018 20:30 Я о другом. Как вывести управление на свободный пин ПЛИС, что нажата именно эта кнопка. На exp_ptt_n сигнал будет как просто при переходе на передачу, так и при нажатии TUNE. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 771] Автор : EU1SW Дата : 07.02.2018 00:03 как вывести нажатие тюн на пин? На мой взгляд следует как то передать нажатие в трансивер, модифицировав код поверсдр, а там уже дело техники... Можно просто дергать неиспользуемые переменные, например дизер, или перестановку бит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 772] Автор : rolin Дата : 07.02.2018 02:06 EU1SW, сигнал Tune выходит на трансивер в составе управления платой Apollo. Достаточно вывести нужный бит на пин. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 773] Автор : EU1SW Дата : 09.02.2018 09:02 Я тут неспешно пришел к мысли, что пришло время в платку вместо светодиодов оптроны впаивать, и развязка, и как минимум еще 4 пин не будут простаивать бесполезно. Т.е.можно птт_экст, тюн, УВЧ, аттенюатор, все туда перевести. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 774] Автор : UN7RX Дата : 09.02.2018 10:25 Сергей, спешно согласен с этой мыслью и с нетерпением буду ждать, поскольку делаю не макетный трансивер, а законченную конструкцию и очень бы не хотелось потом все переделывать, а даже изменение пары пинов повлечет за собой как минимум, необходимость изготавливать новые шлейфы многоконтактный разъемов, да и потом цепочка потянется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 775] Автор : EU1SW Дата : 09.02.2018 10:34 т.е. там всякие там подключения кодека, медленного ацп для КСВ, внешнего ключа Вы уже заранее заложили в конструкцию? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 776] Автор : Livas60 Дата : 09.02.2018 10:40 как минимум еще 4 пин не будут простаивать бесполезно Я тоже сделал такой вывод и решил поискать модуль ПЛИС с более рациональной разводкой выводов. На Али нашел такой модуль, но с 6К микросхемой. Заказал модуль и микросхему 22К отдельно. Вот что получилось: 281690 Схема этого модуля: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 777] Автор : Rublik Дата : 09.02.2018 10:45 Сергей. Спасибо за конструкцию. Все запустилось, всё работает, и очень радует. Причины моих мучений оказались в шлейфах от HDD Заметил их проводом МГТФ и всё запустилось с первого раза. Теперь приступаю к ДПФ и УМ. Идея сделать отдельные выводы на АТТ и УВЧ очень хорошая,будем ждать и повторять. А если когда то будет АЦП для КСВ- будет вообще супер. Ещё раз спасибо за Вашу работу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 778] Автор : EU1SW Дата : 09.02.2018 11:09 Василий, ну там все равно, при том при сем у 22к в этом корпусе меньше GPIO пин, поэтому надо много думать ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 779] Автор : Livas60 Дата : 09.02.2018 11:27 Сергей, добрый день. Да, из-за того, что "полезных" выводов у 22К ПЛИС меньше приходится, по мере развития проекта, считать каждый из них. В этом модуле больше выводов разведено на внешние разъемы (практически все доступные) и удобнее делать соединение модулей между собой. Вот что у меня из этого получилось: 281695 281696 281697 281698 При работе над конструкцией были допущены некоторые просчеты, которые пришлось устранять по мере обнаружения. :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 780] Автор : UN7RX Дата : 09.02.2018 11:41 т.е. там всякие там подключения кодека, медленного ацп для КСВ, внешнего ключа Вы уже заранее заложили в конструкцию? Я не собираюсь делать любимый многими UA1FA в варианте "всю жизнь на боку". То есть, бесконечно усовершенствовать его по мере появления новых решения и идей. Буду делать исходя из принципа разумной достаточности. Тем более что много чего уберу из интерфейса PSDR. Так что звук через SB, ключ через софт, и прочие вещи меня вполне устроят, но если вывод сигнала управления тюнером нужен, то тут ничего не поделаешь - нужен. Конструктивно мой вариант сборки малосигнальной части схож с вариантом Василия, но платы помещены в экранированные отсеки, а некоторые вообще будут вынесены в другое место, например УВЧ размещен в своем экранированном отсеке в модуле с ДПФ. Кстати, усилитель ТХ после DAC проще всего выходит на OPA2674 по двухтактной схеме. За выходные плату новую сделаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 781] Автор : EU1SW Дата : 09.02.2018 11:46 Василий, Вы таки дописали кодек? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 782] Автор : Livas60 Дата : 09.02.2018 11:59 Нет, Сергей, не дописал. Пока не имею возможности заниматься этим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 783] Автор : EU1SW Дата : 09.02.2018 12:03 Понятно, я вот тут до вторника себе больничный лист нагулял простудой ) Поболеть, отдохнуть, поработать спокойно и углубленно, а то за работой и времени нет ) Может и успею запилить, чем черт не шутит ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 784] Автор : Livas60 Дата : 09.02.2018 12:14 То, что заболели это плохо, но, что появилось дополнительное время для проекта - это хорошо.:-P С нетерпением буду ждать. Удачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 785] Автор : romanetz Дата : 09.02.2018 12:26 Я в другом проекте радио, в Одиссее или в Openhpsdr уже видел программный модуль для кодека -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 786] Автор : EU1SW Дата : 09.02.2018 21:35 с подачи и с помощью Владимира RX3QFM для прошивки 22к протестирован вариант кордика "пожирнее" и немного пересмотрена разрядность выхода кордика для минимизации спуров кордика и всей цифровой части. тестовый сигнал 14 битный, уровнем близким к 0 дБfs, генерируется по таблице, подается на вход вместо АЦП. результаты следующие, ширина бина ффт - 0,732 Гц, дизера нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 787] Автор : r3ya Дата : 10.02.2018 08:50 А я все о своем, наболевшем. Подключил манипулятор телеграфный через COM порт (переходник с USB на FT232BL). Да, на передачу переключается, тон идет, НО манипуляции точки-тире нет...Есть ли у кого возможность воткнуть три проводочка в COM порт и попробовать манипуляцию телеграфа? (Делал из мануала на FLEX). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 788] Автор : EU1SW Дата : 10.02.2018 10:34 Александр, Вы простите, кого проверять собрались? инструкцию флекс, или меня, или RX3QFM, который еще летом в CW связей набомбил? ))) Я приводил скрин рабочих настроек, когда Вы обратились за помощью в первый раз. Не помогает? тем более для меня непонятно звучит Ваше описание проблемы, "на передачу становится, тон идет, манипуляции нет..." Что Вы подразумеваете под тоном и манипуляцией? Я просто в CW не работаю, тонкостями сленга не владею ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 789] Автор : Сергей1971 Дата : 10.02.2018 12:31 Сергей, а можно новую прошивку для 22к? С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 790] Автор : EU1SW Дата : 10.02.2018 12:49 Какую новую? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 791] Автор : EU1SW Дата : 10.02.2018 14:28 Обновление для 22k Расширеные кордики RX/TX (low spurs) Фиксированный выходной рейт 192 кгц 2RX+ 1TX cwkey input - вход для вертикального ключа или датчиков CW, semi break, время задержки ptt выставляется в powersdr активное состояние - пин на массу, поэтому сразу рекомендую в целях сохранности входов ПЛИС цеплять транзисторный оптрон, а светодиод либо подтягивать к плюсу, если у вас ключ, либо выход датчика с ОК, либо соответственно на массу, если ваш датчик выдает логический уровень. Аналогично поступить и со входом ptt_in. tune output - выход индикации режима tune, в powersdr необходимо включить опцию apollo (tnx rolin) остальное пока без существенных изменений Не забудьте пожалуйста расставить пины согласно своего хардверного дизайна. todo: ШИМ выход для регулировки мощности из программы, аудиокодек, медленный АЦП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 792] Автор : EU1SW Дата : 10.02.2018 15:29 Обновление для 22k регулировка выходной мощности в режиме Гермес, будет работать как регулировка с передней панели софта, так и калибровка в сетапе по диапазонам. DAC_ALC - шимированный выход 0-3,3 вольта На выходном пине ПЛИС монтируем делитель, например 4,7 и 3 кОм, что бы привести верхнюю границу к опорному ЦАП 1,25 вольта, и фильтрующую емкость. Изменения в обвесе DAC: пин INT/EXT (16) поднять с массы и подключить к аналоговому плюсу DAC, сигнал с выхода делителя подать на референсный вход REFIN (17), вход высокоомный, дополнительная блокировка по ВЧ будет не лишняя. todo: аудиокодек, медленный АЦП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 793] Автор : R2RBN Дата : 10.02.2018 15:37 Не, придётся всё таки ПЛИС менять на 22к ,вон какие "плюшки" к ней попёрли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 794] Автор : UN7RX Дата : 10.02.2018 15:57 Не успеваю обновлять первый пост. :ржач: Сергей, может мне пока притормозить, скажете когда можно? Я вообще то уверен что это изначально шедевр по совокупности плюсов, но то что добавлено, вообще аффигеть как шикарно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 795] Автор : EU1SW Дата : 10.02.2018 16:22 Подождем до вечера ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 796] Автор : Livas60 Дата : 10.02.2018 16:24 Обновление для 22k Не успел пересобрать под себя предыдущую версию, как уже обновление.:-P Сплошной праздник. Сергей, снимаю шляпу. :super::пиво: Сейчас пересоберу по новой. Железо готово. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 797] Автор : Сергей1971 Дата : 10.02.2018 17:33 Обновление для 22k. Сергей.Спасибо за чудесно проведенную работу. С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 798] Автор : Livas60 Дата : 10.02.2018 19:27 Запустил версию с регулировкой мощности. По быстрому проверил. Все супер. Прием отличный, ключ работает, сигнал Tune присутствует, выходная мощность регулируется. Сергей, спасибо за проделанную работу. Выздоравливайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 799] Автор : R2RBN Дата : 10.02.2018 20:23 Я правильно понял, это для 1 ацп 22к плис и цап,2 приёмника и 1 передатчик,просто не особо последнее время следил,может что изменилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 800] Автор : Livas60 Дата : 10.02.2018 21:28 Да, все верно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 801] Автор : EU1SW Дата : 11.02.2018 10:49 Обновление для 22k (Tnx Василий UR5KIM! за тестирование и багфикс ) Теперь микрофон и наушники на борту аппаратный I2S кодек Wolfson WM8731, использовалась платка с Али наподобие такой https://ru.aliexpress.com/item/FREE-SHIPPING-Wm8731-module-audio-module-mcu-fpga-music/1674210328.html требует доработки, нужно поднять 2 ножки, для перевода контрольного интерфейса в режим SPI Для совместимости параллельно оставлен текущий код дельтасигма модуляторов для наушников из предыдущих версий О доработке немного позже Пожалуйста не забывайте выставлять распиновку в соответствие со своей хардверной конфигурацией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 802] Автор : Сергей1971 Дата : 11.02.2018 11:35 Сергей. У меня при компиляции выдает ошибку в Квартусе 15 и 17 *Error (114012): Can't read database file D:/RADIO/SDR on BOARD/2Hermes_Lite_22k_CW_sidetone_fix192_cwkey_input_tune_out_power_control_WM8731_OK/Hermes_Lite_22k_CW_sidetone_fix192_cwkey_input_tune_out_power_control_WM8731_OK_restored/*Что это может быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 803] Автор : Livas60 Дата : 11.02.2018 11:37 Переделка модуля кодека заключается в следующем. Нужно поднять выводы 21 и 22 микросхемы кодека WM8731. Вывод 21 (сигнал MODE) подключить через резистор 10к к цепи +3.3 Вольта, питающей модуль кодека. Вывод 22 (сигнал CSB) необходимо вывести на разъем для подключения к ПЛИС. Схема подключения приведена ниже. Обозначения сигналов модуля кодека соответствуют заводской маркировке выводов платы, за исключением сигнала CSB. Названия внешних сигналов это имена сигналов в исходниках проекта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 804] Автор : EU1SW Дата : 11.02.2018 11:42 Что это может быть? Сергей, у вас есть кодек? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 805] Автор : Livas60 Дата : 11.02.2018 11:53 при компиляции выдает ошибку Скачал. Открыл. Скомпилировалось и в 15 и в 17 Квартусе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 806] Автор : Сергей1971 Дата : 11.02.2018 11:54 Пока еще нет ,но буду заказывать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 807] Автор : EU1SW Дата : 11.02.2018 12:23 Когда получите, тогда может и прошивка без проблем откроется... Вам сейчас она без надобности. Решайте проблемы по мере их поступления. Добавлено через 27 минут(ы): Не, придётся всё таки ПЛИС менять на 22к ,вон какие "плюшки" к ней попёрли. большинство этих "плюх", кроме второго приемника и расширеных кордиков ессно, будет доступно на 10К, со временем обновлю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 808] Автор : UN7RX Дата : 11.02.2018 13:45 Поясните плиз, в чем плюс аппаратного кодека? Все равно же нужен компьютер, в котором есть SB, через которую можно работать. Задержки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 809] Автор : EU1SW Дата : 11.02.2018 14:07 с периодичностью вижу от Вас этот вопрос, Роберт ) Задержки? и не более того... Люди, которые плотно и много работают телеграфом мне обьяснили суть проблемы, и попросили ) Я ж писал уже, манипуляция на фпга, звук на борту, для меня не более чем "просили сделать, я сделал". ) Это не входит в мое личное видение концепции радио через Ethernet ) ну, может быть еще полезно при подключении к приблуде с экраном и валкодером на распберри, не нужна USB звуковая -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 810] Автор : UN7RX Дата : 11.02.2018 14:22 с периодичностью вижу от Вас этот вопрос, Роберт )Да просто народ так восторгается, что решил уточнить - а вдруг что-то архиважное пропущу! :smile: Спасибо за исчерпывающий ответ, Сергей! еще полезно при подключении к приблуде с экраном и валкодером на распберри, не нужна USB звуковая Точно, если что-то маленькое собирать, на простом железе. У меня как раз на 10к плата осталась, вот ее можно будет именно в таком качестве использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 811] Автор : Radiotester Дата : 11.02.2018 14:28 EU1SW, Сергей, добрый день. А для 10к возможно теоретически прикрутить кодак? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 812] Автор : EU1SW Дата : 11.02.2018 14:30 Роберт, не видел еще особых восторгов сегодня ))) да, именно для распбери это будет очень полезно, так как там Езернет контроллер сидит на USB, поэтому звуковая там совершенно не к месту с точки зрения производительности Radiotester, пожалуйста, не заставляете меня вставать 2 раза, можете просто внимательно прочесть текст постов на это странице, а то детский сад какой то... ) кто подошел попозже все заново обьяснять ) большинство этих "плюх", кроме второго приемника и расширеных кордиков ессно, будет доступно на 10К, со временем обновлю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 813] Автор : Livas60 Дата : 12.02.2018 21:58 Небольшое напоминание и некоторые рекомендации для тех, кто хочет повторить или уже приступил к изготовлению этого трансивера. По мере развития проекта и расширения функционала трансивера начинает сказываться ограниченное количество сигнальных выводов у применяемых ПЛИС. Поэтому лучше использовать для входных управляющих сигналов в первую очередь те выводы ПЛИС, которые являются однонаправленными и могут работать только на вход. У 22К микросхем это выводы 52-55, 90, 91 и 126-129. Нужно также учитывать такую конструктивную особенность этих входов, как отсутствие в микросхеме встроенных подтягивающих резисторов. Поэтому, если подключать к этим выводам внешние входные сигналы, например, такие как ptt_i и cwkey input, то нужно предусмотреть резисторы номиналом порядка 4.7к-10к, подтягивающие эти выводы к цепи +3.3 вольта. Необходимо помнить также и о том, что выводы 7, 31, 46, 65, 80, 105, 119 и 136 этой ПЛИС хотя и двунаправленные, но имеют ограничение по быстродействию по сравнению с остальными выводами. Поэтому лучше стараться избегать подключать к ним быстродействующие цепи, относящиеся, в первую очередь, к АЦП и ЦАП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 814] Автор : UN7RX Дата : 12.02.2018 22:26 Василий, в этом смысле очень удобно и наглядно пользоваться Вашей схемой с цветовой индикацией выводов по назначению. Закреплено в первом посту Готовый для повторения вариант от UR5KIM (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1454456&viewfull=1#post1454456) А вообще да, порты тают...:-( Не зря Сергей возмутился желанием светодиодов на платке бесполезно присутствовать. :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 815] Автор : Livas60 Дата : 12.02.2018 23:24 Роберт, когда рисовалась эта схема, вопрос с выводами у меня еще не возникал. С учетом же всех функций, которые предложил Сергей на данный момент, у меня на модуле осталось 10 свободных выводов. Из них 4 забрал измеритель КСВ и выходной мощности. Возможно надумаю прикрутить аттенюатор по входу, а это потребует еще минимум 3-х выводов ПЛИС. Останется всего три. :cry: Приходится экономить. У себя на кросс-плате я не предусмотрел подтягивающих резисторов по внешним входам, потому, что просто не знал этой особенности некоторых входов ПЛИС. Вылезло это уже на этапе компиляции. Пришлось тулить резисторы по месту. Поэтому и написал предыдущее сообщение, что бы никто не прошелся по тем же "граблям". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 816] Автор : EU1SW Дата : 13.02.2018 19:55 Закрываем вопросы насчет манипуляции в компорт. в меню PowerSdr для PTT и Key на выбор предлагаются сигналы DTR и RTS, следует понимать, что согласно наименованию это ВЫХОДНЫЕ линии, на приемной стороне им соответвуют DSR и CTS, поэтому внешнюю манипуляцию и PTT физически заводим на ПРИЕМНЫЕ пины DSR и CTS порта. Только что проверил на ch430 - перемыкаю CTS на массу, в эфире посылка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 817] Автор : UN7RX Дата : 13.02.2018 21:42 Разархивировал предполедний архив от Сергея (без аудиокодека), не долго думая оставил все по умолчанию и после компиляции получил кучу ошибок. Вчитался - оказывается, ругается на слишком длинное имя файла и путей (папку так же обозвал). :smile: Сократил, все норм. Возможно надумаю прикрутить аттенюатор по входу, а это потребует еще минимум 3-х выводов ПЛИС Мне кажется что аттенюатор можно к софту и не прикручивать. Реализовать атт на 3-5 ступеней аппаратно несложно, но это конечно в зависимости от того что будет в конце - черный ящик с полным управлением по лану, или настольный трансивер с "ручным" интерфейсом. Из них 4 забрал измеритель КСВ и выходной мощности. А можно подробнее? О чем речь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 818] Автор : Serg Дата : 13.02.2018 23:42 Мне кажется что аттенюатор можно к софту и не прикручивать. Если он будет прикручен (и УВЧ), то с-метр в повер-сдр будет всегда показывать настоящий уровень сигнала, не зависимо от положений усиления или ослабления входа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 819] Автор : Livas60 Дата : 14.02.2018 00:28 А можно подробнее? О чем речь? Узел , схема которого позаимствована у "взрослого" Гермеса для отображения КСВ и выходной мощности в PowerSDR. Подробнее завтра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 820] Автор : UN7RX Дата : 14.02.2018 08:41 аттенюатор по входу, а это потребует еще минимум 3-х выводов Сделать через декодер, с двух выводов можно будет трехуровневый атт сделать (не считая отключения), один вывод экономится. Если он будет прикручен (и УВЧ), то с-метр в повер-сдр будет всегда показывать настоящий уровень сигнала Пины I менее дефицитны чем I/O, поэтому если уж экономить, то проще подавать на пару приемных пинов код с переключателя АТТ. Его вообще на диодах можно реализовать, без всяких микросхем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 821] Автор : EU1SW Дата : 14.02.2018 09:10 Пины I менее дефицитны чем I/O, поэтому если уж экономить, то проще подавать на пару приемных пинов код с переключателя АТТ. Его вообще на диодах можно реализовать, без всяких микросхем. Роберт, вот это уже фантазии на тему, с которыми мы боремся ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 822] Автор : UN7RX Дата : 14.02.2018 09:23 Не, про 4 светодиода у помню. :smile: Кстати, нужно будет сделать миниплату с оптронами, чтобы напрямую посадить на их место. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 823] Автор : Livas60 Дата : 14.02.2018 14:34 В приват приходят вопросы относительно варианта конструкции этого трансивера на основе общей несущей кросс-платы, фото которой я приводил в сообщении 779 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1496442&viewfull=1#post1496442). Решил сделать краткое описание и опубликовать его здесь. Плата выполнена на базе модуля ПЛИС фото и схема которого есть в сообщении 776 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1496420&viewfull=1#post1496420). На ней разведена версия с одним АЦП и 22к ПЛИС, позволяющая получить 2 приемника и 1 передатчик. В конструкции реализованы все опубликованные на настоящий момент Сергеем (EU1SW) расширения базовых возможностей. Это встроенный телеграфный ключ, выход сигнала Tune, управление выходной мощностью передатчика, встроенный аудиокодек, который поддерживает вывод звука тракта приемника, а также микрофонный и линейный входа для тракта передатчика. Дополнительно предусмотрен узел измерения выходной мощности и КСВ, который пока еще в программе не реализован. Все основные сигналы выведены на отдельные разъемы кросс-платы, находящиеся выше модуля ПЛИС, в том числе, тоже на отдельный разъем выведены и все оставшиеся свободными сигнальные выводы ПЛИС. Разъем с входами медленного АЦП размещен под модулем УВЧ приемника. При изготовлении платы нужно учитывать тот момент, что заказанные модули, которые придут от продавца, могут отличаться конструктивно от использованных мною и нужно будет изменить разводку платы в соответствии с этими отличиями. Например, я видел версию модуля аудиокодека с другим расположением выводов. Модули на кросс-плате скомпонованы таким образом, чтобы в случае необходимости можно было заключить любой из них в отдельный экранированный отсек. Переходы со стороны пайки «земляных» контактных площадок нижней стороны платы на сплошной слой фольги ее верхней стороны выполнены посредством пустотелых монтажных пистонов, через которые проходят выводы разъемов, подключаемые к общему проводу. При отсутствии таких пистонов придется сверлить дополнительные отверстия и использовать для соединения контактных площадок с общим проводом проволочные перемычки. Все покупные модули подверглись тем или иным доработкам. Представить их помогут фото и схема модуля ПЛИС, ссылки на которые я давал в начале этого сообщения. Вкратце об этих доработках. В модуле ПЛИС произведена замена 6К микросхемы на 22К. Также заменен один разъем с «мамы» на «папу». На фото он черного цвета. Замену разъема можно и не делать, но его замена немного облегчает изготовление кросс-платы. Удалены также резисторы R15, R16 и кварцевый генератор 50МГц. Контактная площадка, к которой был припаян выход этого генератора соединяется перемычкой с выводом 125 модуля. Хочу обратить внимание, что этот вывод не задействован программным обеспечением трансивера, а используется исключительно только для подвода сигнала DRY с модуля АЦП на тактовый вход ПЛИС. «Паутину» из остальных проводов, которую видно на фото модуля ПЛИС можно не паять. Это цепи питания узлов PLL3 и PLL4, которые есть в 22К и отсутствуют в 6К ПЛИС. В 6К ПЛИС на эти ножки разведены сигнальные цепи. На данный момент в проекте пока используется только один узел PLL и эти провода можно не запаивать. Будет работать и без них. Я на всякий случай запаял, как того требует производитель чипа. В модуле АЦП, в дополнение к известным уже доработкам входной цепи микросхемы АЦП, перенесен на другую сторону платы модуля 20-ти контактный разъем. Разъем питания удален и на его место установлены два других по 2 контакта с разных сторон платы. Один для подачи питания с кросс-платы на модуль, а другой для запитки самодельного модуля с кварцевым опорным генератором 96МГц. На модуль АЦП установлен не смонтированный изготовителем модуля разъем для подключения выхода опорника к АЦП. Имеющийся для этого на модуле разъем SMA я не использовал. В модуле LAN разъем с угловыми выводами заменен на разъем с прямыми выводами. В модуле кодека нужно поднять выводы 21 и 22 микросхемы кодека WM8731. Вывод 21 (сигнал MODE) подключить через резистор номиналом порядка 10к к цепи +3.3 вольта, питающей модуль кодека. Вывод 22 (сигнал CSB) необходимо завести на разъем для подключения к ПЛИС. Для этого сверлится отверстие под вывод, фольга общего провода вокруг отверстия прорезается для образования контактной площадки к которой припаивается этот дополнительный вывод разъема и соединяется с 22 ножкой микросхемы. При сверлении этого отверстия вынужденно повреждается дорожка, идущая к выводу IIC_DAT модуля так как она проходит через точку установки дополнительного вывода. Эту дорожку восстановил дополнительной перемычкой. Схемы узлов регулировки выходной мощности и измерителя КСВ позаимствованы у трансивера Гермес. Каких-либо особенностей они не имеют. Во вложении схема соединений узлов и модулей между собой, а также рисунок печатной платы. Они немного изменены по сравнению с платой, изготовленной мною. В них я исправил некоторые свои просчеты (не фатальные), выявленные в процессе компиляции программного обеспечения уже после изготовления печатной платы. Возможно при этом что-то «сломал» в разводке. Я этого не обнаружил, но быть внимательным при повторении не помешает. Все узлы после завершения монтажа заработали сразу после первого включения и никакой дополнительной наладки не потребовалось. В заключение хочу поблагодарить Сергея за прекрасную конструкцию, процесс изготовления которой и ее эксплуатация доставляют только положительные эмоции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 824] Автор : Serg Дата : 14.02.2018 15:07 >Пины I менее дефицитны чем I/O, поэтому если уж экономить Там еще просматривается экономика в перспективе установить двоично-десятичный дешифратор на юзер-оуты, т.о. вместо 7 ног можно 3-4 на бенд-дату задействовать всего. Может даже софт менять не нужно, т.к. биты птицами ставятся в настройках повера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 825] Автор : EU1SW Дата : 14.02.2018 15:14 Причем просматривается настолько очевидно, что Вы, Сергей, видимо, первый отважились об этом открыто написать ) Видимо правда настолько шокирует, что все обходили этот вопрос стороной ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 826] Автор : UN7RX Дата : 14.02.2018 21:37 Василий, а почему не применили более простой вариант регулировки мощности, как Сергей описал - через простой делитель? Что дает ОУ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 827] Автор : Livas60 Дата : 14.02.2018 23:29 Такая схема регулировки мощности применена в Гермесе. Повторитель на ОУ устраняет влияние выходной интегрирующей цепи на входной делитель. Поскольку плата проектировалась без предварительного макетирования узлов, я не рискнул упрощать этот узел, не зная как это отразится на стабильности выходного напряжения. Поэтому использовал проверенное в Гермесе решение . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 828] Автор : UN7RX Дата : 15.02.2018 05:37 ADC для pwr/swr оказался неожиданно дефицитным - на Али его нет и довольно дорогим (с учетом доставки) дорогим на Ибее. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 829] Автор : RV3DLX Дата : 15.02.2018 08:18 UN7RX, Роберт, как я понимаю, Сергей предлагал для регулировки мощности поставить переменный резистор, которым можно регулировать опорное напряжение на микросхеме DAC. В Гермесе можно регулировать мощность прямо из программы, это удобнее и можно выставлять желаемую мощность для каждого диапазона. Схема при этом не сильно усложняется, всего один операционный усилитель добавляется. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 830] Автор : EU1SW Дата : 15.02.2018 08:51 ADC для pwr/swr оказался неожиданно дефицитным - на Али его нет и довольно дорогим (с учетом доставки) дорогим на Ибее Посему не спешим, есть другой вариант. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 831] Автор : EU1SW Дата : 15.02.2018 10:03 Дэвид реализовал в одиссее-2 КСВ метр на mcp3202, в чипедипе я нашел аналогичный, но 8 канальный mcp3208, разницы особой нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 832] Автор : Livas60 Дата : 15.02.2018 10:25 Когда я выбирал из MCP3202, MCP3208 и ADC78H90 в поле доступа были все три. Цена у всех примерно одного порядка. MCP3202 почему то оказался немного дороже остальных. Я решил взять 78H90. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 833] Автор : EU1SW Дата : 15.02.2018 10:33 Добрый день,Василий! Локальные магазины со своим ассортиментом всегда портят малину ))) но не суть, пусть будет 2 варианта, кому что доступнее окажется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 834] Автор : UN7RX Дата : 15.02.2018 10:36 mcp3208 Сергей, это совсем другое дело! 10$ за 5 штук, против 30$ за один для ADC78H90 :super: MCP3204 еще дешевле. PS А все таки интересно, кто как включает DAC по питанию, на 3.3в после, или на 5в до стабилизатора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 835] Автор : LZ1AO Дата : 15.02.2018 20:17 UN7RX, десят дней тому назад покупал ADC78H90, заплатил 38 Euro за 10штук, Mouser, включенний 20% НДС. MCP320x - они дешевле, но не в 10 раз. 35 долларов за ADC78H90 - ето фантазии торгаша, не покупайте больше ничего у етих ребят :) Обратите внимание, ADC78H90 в десят раз бьстрее Микрочипского. Етим и возпользовались в Орионе, где его запустили на более вьсокую Fs, делая пиковьй детектор мощности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 836] Автор : Livas60 Дата : 15.02.2018 20:59 Да, Сергей совершенно прав насчет быстродействия ADC78H90. Я как-то забыл упомянуть об этом. Пробовал запускать свою на частоте 8МГц. Работает без проблем. По документации это ее предельная рабочая частота. Что касается стоимости, то свои я покупал по 3 Euro за штуку. Сейчас глянул на сайте поставщика, где покупал. Цена прежняя - 105 гривен за штуку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 837] Автор : rolin Дата : 15.02.2018 21:09 LZ1AO, ADC78H90 в десят раз бьстрее Микрочипского. Етим и возпользовались в Орионе, где его запустили на более вьсокую Fs, делая пиковьй детектор мощности. 48 кГц достаточно, чтобы оцифровать все что надо и не надо для пикового детектора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 838] Автор : UN7RX Дата : 15.02.2018 21:09 но не в 10 раз. 35 долларов за ADC78H90 - ето фантазии торгаша, Ну никто и не говорит в 10 раз, прочтите внимательно. А что до фантазий - тут не Европа и у меня источники Али и Ибей. На Али их просто нет, на ибее цена указана. И зачем нам тут высокое быстродейстие? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 839] Автор : RV3DLX Дата : 16.02.2018 08:10 UN7RX, Роберт, хочу извиниться, что в своем сообщении 829 немного ввел Вас и других в заблуждение. Просто читал, что ранее Сергей предлагал для регулировки мощности поставить просто переменный резистор, но потом он сделал регулировку из программы, а я по невнимательности это сообщение пропустил. Но, все же думаю операционный усилитель будет поставить не вредно (как сделал Василий и как в Гермесе). Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 840] Автор : labuda51 Дата : 16.02.2018 11:50 Livas60.На плате ответные разъёмы стоят?Отличная мысль-попробовать взять монтажку,если получится по ногам расставить разъёмы и смонтировать мгтф.К EU1SW просьба-если можно сделайте прошивку с улучшенным кордиком на 192 кгц только для приёмника на ПЛИС 22К в соответствуещей ветке.Появилось время заняться проектом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 841] Автор : Aivarss Дата : 16.02.2018 12:10 EU1SW, присоединяюсь к просьбе, но с поправкой ;-) Меня интересует для двух ADC. Livas60, уточнение. Т.е. ваш последний вариант схеми можно считать "золотим" стандартом? Мысль всё поставить на "матплату" очень заманчивая :super: Возможно сделаю свой вариант с заказом плат у китайцев. Плату управления от RA3PKJ заказал, сделали со свистом и со свистом(DHL) прислали(7 штук). Прикинул сколько вариант от Livas60 будет стоит, ~30$ за 5 штук. Уже не вижу смысла самому возится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 842] Автор : EU1SW Дата : 16.02.2018 13:16 А я не понял что за просьба... Подозреваю, что вы каждый о своем. Уж не сочтите за труд, пояснить... ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 843] Автор : Livas60 Дата : 16.02.2018 13:18 На плате ответные разъёмы стоят? Так выглядит "голая" плата сверху. 282217 Возможно сделаю свой вариант с заказом плат у китайцев Одна за мной в комплекте с платой управления.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 844] Автор : EU1SW Дата : 16.02.2018 13:26 Что есть плата управления? Может и мне стоит очередь занять где нибудь? ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 845] Автор : UN7RX Дата : 16.02.2018 13:39 Сергей, это наверное про это (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1479043&viewfull=1#post1479043). Слева, по центру. Вот тут (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1479148&viewfull=1#post1479148) отвечал. Я от кросс-платы в таком исполнении как у Василия отказался, смысла в ней особого не вижу. Хороша для тиражирования абсолютно готового изделия, но как Сергей выдал две прошивки подряд, я лишний раз убедился что от добра добра не ищут, шлейфы очень даже хороши. :smile: А на кросс плате придется то дорожки резать, то новые проводить. Как размещу все, свой вариант покажу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 846] Автор : labuda51 Дата : 16.02.2018 13:42 EU1SW. Чего хочется.Убрать из прошивки 22К с улучшенным кордиком на 192 кгц строчки для передатчика-это как бы для обучения но чтобы приёмник работал.Или как понимаю закомментировать их.Тоже самое обучение на лету.Выложить прошивку в первоначальной теме про приёмник из готовых модулей с Али.Если Вы на тему приёмника предложите что-то ещё будет вообще отлично.Связь с ПК LAN8720.Желательно с программой HDSDR.То есть ещё и DLL.Ну не хочется покупать промышленный SDR если есть практические конструкции и модули к ним. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 847] Автор : Aivarss Дата : 16.02.2018 14:00 EU1SW, уточнаю ;-) я имею ввиду вашу улучшенную прошивку, но для двух ADC. Если вас платка интересует, обговорим ;-) Livas60, да без проблем 8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 848] Автор : EU1SW Дата : 16.02.2018 14:00 Айварс, при наличие готовой платы - вообще без проблем, главное что бы была возможность оттестировать непосредственно, не прибегая к помощи зала ) Я бы на этом и подвел черту, выпустили бы под конкретную плату под 2ацп софт, и финиш. Пока не будет больших плис доступно изобретать особо уже не будет чего. Лабуда51, извините, мне совершенно не представляет интереса все что вы хотите получить. Закомментируйте строчку сами, если она вам не нужна, я не буду возражать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 849] Автор : labuda51 Дата : 16.02.2018 14:19 EU1SW.На нет и суда нет.Также извините что побеспокоил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 850] Автор : EU1SW Дата : 16.02.2018 14:49 Подытожим, учитывая то, что практически все реализовано, представляет интерес завершающий полный вариант с двумя АЦП и заказом готовых плат, выпуском финальной версии фирмвари именно под эти платы, готовой к заливке. Подразумевается наличие джампера, 1/2 АЦП, т.е.универсальность. Давайте обсудим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 851] Автор : Aivarss Дата : 16.02.2018 15:17 Я только за :super: Основную "матплату" желательно по меньше в размерах, так как это влияет на конечную цену. Универсальность только приветствуется. Выводи что для разных конечных целей меняют своё назначение можно развести и "переключение" делать с помощью перемычек. Фотки плати управления ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 852] Автор : Livas60 Дата : 16.02.2018 15:31 желательно по меньше в размерах Скорее всего сделать меньше общей площади плат модулей не выйдет, разве что применить их размещение с двух сторон кросс-платы. :smile: Применение 2-х АЦП заставит, наверное, ограничивать функционал аппарата. Скажется дефицит выводов ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 853] Автор : LZ1AO Дата : 16.02.2018 15:39 rolin, 48 кГц достаточно, чтобы оцифровать все что надо и не надо для пикового детектора Запустили они АЦП с клоком в почти в максимуме, несмотря на Ваше мнение. Вот, в ANAN-100D например. https://github.com/TAPR/OpenHPSDR-Firmware/tree/master/Protocol%202/Angelia%20(ANAN-100D) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 854] Автор : Aivarss Дата : 16.02.2018 15:54 Livas60, тут такую мысль не озвучил. Можно основу из "голой" плати делать, а "матплату" как бы по центру. Три уровня получается. Хотя с другой стороны, там где я брал, не так уж и дорого даже полная получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 855] Автор : LZ1AO Дата : 16.02.2018 15:57 Livas60, У некоторьх двойньх АЦП - мултиплексированная шина, а ето существенно сокращает количество выводов. Тоже вариант. Я пользую LTC2294, 2X12bit, работает успешно на 98.304MHz, хотя в даннье написано 80 :) . На днях PA3GSB, автор Radioberry, ето клон Гермеса Лайта 1, объявил свою вторую версию - на Циклоне 10. Цена етих 10-х циклонов оказалась очень разумной, 10CL016YE144C8G, которий 16k, в PQFP144 стоит 14.5 USD у нас. Будем надеятся что китайцы скоро наделают платы и на них... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 856] Автор : EU1SW Дата : 16.02.2018 18:28 работает успешно на 98.304MHz, хотя в даннье написано 80 Сергей, а у меня обратная мысль, опуститься на 76,8... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 857] Автор : UN7RX Дата : 16.02.2018 20:27 LZ1AO, не нужно тут ни про гермесы, ни про клоны, ни про прочее, я неоднократно предупреждал! Фотки плати управления Ленивые китайцы. У меня на самодельной двухсторонняя разводка, они же предлагают перемычки проволочные лепить... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 858] Автор : Aivarss Дата : 17.02.2018 13:22 UN7RX, извиняюсь конечно за прямоту, но вы тут на метра RA3PKJ "наехали" :roll: Китайцы тут ни причём, это автор такую разводку сделал. Кстати любезно поделился, но это уже к автору. Мне тоже это(перемички) как то ну так. Есть ещё мысли что подправить, там видно будет. Насчёт изготовления плат китайцы просто "озверели", такие сроки, при таких ценах :super: При том что там где я делал, какое то время назад цены реально были ниже плинтуса. Я то могу DHL(бесплатно!!!, 21 заказал, 26 получил), у большинства присутствующих к сожалению такой опции нету :-( Минус только один, надо одну, делают от пяти и больше :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 859] Автор : R2RBN Дата : 17.02.2018 13:56 Не много не в тему,всё таки платы делать кто то будет,может полезно оказаться. 2 доллара 10 плат 2х сторонних с металлизацией и шелкографией,маской. (https://jlcpcb.com/quote) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 860] Автор : Sergey RK4PH Дата : 17.02.2018 14:29 Не много не в тему,всё таки платы делать кто то будет,может полезно оказаться. 2 доллара 10 плат 2х сторонних с металлизацией и шелкографией,маской. (https://jlcpcb.com/quote) Может быть кто то и присоединился,но печатки на 22к, а многие все равно10к закупили и продочками все:-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 861] Автор : R2RBN Дата : 17.02.2018 14:48 многие все равно10к закупили и продочками все:-) А что мешает на обеи версии сделать,там правда доставка не дешевая,но всё равно под 1000 р выходит очень качественных плат на 10 человек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 862] Автор : UN7RX Дата : 17.02.2018 15:04 но вы тут на метра RA3PKJ "наехали" При чем тут Николай? Он предложил вам готовую плату - доводите до ума, тем более если заказываете у производителя. Я точно так ж, давно взял его вариант, дав, чуть изменил под себя и сделал. Вобщем то верно, это не китайцы ленивы, а тот кто им передал без коррекции плату. Там, кстати, кое что еще нужно было откорректировать. Так никто и не ответил, как предпочли DAC запитывать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 863] Автор : Livas60 Дата : 17.02.2018 15:14 Я на 3.3 вольта подключил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 864] Автор : labuda51 Дата : 17.02.2018 21:50 Нашёл 123х159 недорого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 865] Автор : EU1SW Дата : 17.02.2018 22:30 выходит очень качественных плат на 10 человек размера 10х10? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 866] Автор : romanetz Дата : 17.02.2018 22:53 Цены и качество соответствуют заявленным, берите смело, я у них заказывал платы под АЦП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 867] Автор : Livas60 Дата : 18.02.2018 12:30 Там, кстати, кое что еще нужно было откорректировать. Роберт, а можете уточнить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 868] Автор : ra3tes Дата : 19.02.2018 18:15 Кто-нибудь пробывал данное устройство запускать с программой QUISK (http://www.cqham.ru/forum/showthread.php?33389-QUISK-%EA%F0%EE%F1%F1%EF%EB%E0%F2%F4%EE%F0%EC%E5%ED%ED%E0%FF-sdr-%EF%F0%EE%E3%F0%E0%EC%EC%E0) ? Если кто запускал, отпишитесь пож-ста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 869] Автор : UF3K Дата : 19.02.2018 18:36 Я запускал с Quisk. В принципе, оно работало, но у меня сам по себе Quisk подтормаживал (панорама) и работал нестабильно (иногда зависал), в т.ч. и с аналоговыми SDR. Разбираться до конца не стал, т.к. Quisk меня не устраивает для работы в эфире. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 870] Автор : EU1SW Дата : 19.02.2018 19:04 Там может ІD гермеса надо написать в коде, если не взлетает. А других причин и нету. С CuSDR работает. Или Вы не пробовали еще? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 871] Автор : UN7RX Дата : 19.02.2018 19:17 Livas60, Василий я для двусторонних имел ввиду, если делать as is как у Николая, все норм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 872] Автор : Alexproga Дата : 19.02.2018 23:33 Здравствуйте коллеги, я повторил трансивер с альтерой 10, такой вопрос - каким способом можно проверить имд передатчика используя только трансивер, Quisk не осилил с его питонами:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 873] Автор : UF3K Дата : 19.02.2018 23:42 В PowerSDR mrx включите дуплекс, закольцуйте тракт с необходимым ослаблением, и воспользуйтесь встроенными генераторами PowerSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 874] Автор : UN7RX Дата : 20.02.2018 20:36 По УВЧ - сюда (http://www.cqham.ru/forum/showthread.php?37370-%D3%C2%D7-%ED%E0-BFG591). По покупкам/заказам и прочему по печатным платам, по конкретике, в ЛС. Чтобы и это не обсуждать несколько страниц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 875] Автор : UN7RX Дата : 21.02.2018 16:37 Парни, подскажите, подойдет для ADC SWR/PM MAX147? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 876] Автор : Alexproga Дата : 21.02.2018 22:07 Здравствуйте, поделюсь впечатлениями: 1. прием и передача отлично, нет зеркального канала на прием, остатка несущей и обратной полосы на передачу нет, это плюс!!! 2. по сравнению с сдр 1000 при перестройке частоты - туго. В повер сдр 2.5.3 сдр 1000 при смене частот эфир "плавный, летит вслед за мышкой" в DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8/page88)нет плавности, настройка идет рывками - это маленький, но минус. 3. EU1SV - п.2 это для информации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 877] Автор : EU1SW Дата : 21.02.2018 22:16 Добрый вечер! Рад, что у Вас получилось портировать проект на другую плис. По пункту второму совершенно непонятно ) чес слово, у меня верньер, на основе ардуино нано, по USB, формирует cat посылку для поверсдр, через виртуальный компорт. Оптический боурнс. Крутану колесо - летит плавненько и славненько, что панорама, что звук, как в аналоговом ГПД. Может производительности не хватает? У меня есть опыт использования паверсдр и для звукокартных трансиверов, я не чувствую разницы, ее и не должно быть по части больших задержек. Там вопрос может быть только касательно конкретных настроек. Звук откуда берете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 878] Автор : Alexproga Дата : 21.02.2018 23:05 здравствуйте, нисколько не портировал, пользовался этим )))Hermes_Lite_96_RXTX_ CW_sidetone_10k.zip звук эму 1212 асио, "латенси" 8 мс. пользуюсь "олд" сдр 1000 и Вашим проектом. О +/_ написал выше. По загрузке ЦП обе программы 30-40%. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 879] Автор : EU1SW Дата : 21.02.2018 23:14 Скорее всего это нечто локальное, вероятно размеры буферов, разрешение панорамы. По логике работы оба варианта идентичны, при указании мышкой новой частоты железо переходит на новую частоту. Ну самое банальное - шаг перестройки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 880] Автор : Alexproga Дата : 21.02.2018 23:29 ок, не буду спорить, подарите управление мощностью шим для 10К. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 881] Автор : EU1SW Дата : 21.02.2018 23:41 Ок, на выходные постараюсь проапдейтить версию для 10 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 882] Автор : EU1SW Дата : 24.02.2018 21:14 Извините коллеги, сегодня был увлечен изготовлением материнки для УКВ версии, дуальный АЦП ад9226 на половинной от 122.88, осваивал фоторезист, было так увлекательно, что немного позабыл про обещание ) Воскресенье наш день ) Системная плата и плата трансветеров получились вроде неплохо, буду паять, и попутно подумаем что и куда переносить. Кстати вопрос, опора 10 мгц актуальна? Или уже моднее иные номиналы частот для синхронизации? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 883] Автор : UF3K Дата : 25.02.2018 00:03 Сергей, опора 10 МГц актуальна в том смысле, что на эту частоту относительно недорого можно найти б/у OCXO, новые немного подороже, есть GPS-стандарты частоты. С рубидием проще, они как правило программируемые, но это скорее для СВЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 884] Автор : EU1SW Дата : 25.02.2018 10:52 Я , Владимир, задаю вопросы в том смысле, что после того, как что нибудь сделаю появляются вопросы "а зачем это", "а почему так", "хочу по другому". Показалось что проще спросить заранее, но видимо увы, с тобой мы и в скайпе можем поболтать... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 885] Автор : M0TLN Дата : 25.02.2018 11:25 ...Системная плата и плата трансветеров получились ... Сергей, а схему трансвертера не покажете? А то, по аналогии, опять увидим "почему так? по-другому лучше" :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 886] Автор : EU1SW Дата : 25.02.2018 13:26 Сергей, я схемы на обоях рисую, рядом с верстаком, стыдно показывать ... )))) Ничего революционного ) Да и проверить перед публикацией расчеты по распределению усиления вначале надо бы, все может поменяться... я исходил из Кш АЦП 40 дБ, макс. усиление УПЧ 37 дБ (аттенюатор межкаскадный заложил в ПП), смеситель ADE, полосовые и ммик spf5043z. на передачу с выхода ЦАП, после ФНЧ, аттенюатор, смеситель ADE, полосовой, ммик spf5043z, аттенюатор, драйвер на 591-м, модуль RA601317. Предусмотрен коммутируемый отвод для подключения трансвертера 1296 в точках перед приемным смесителем и перед драйвером -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 887] Автор : M0TLN Дата : 25.02.2018 18:07 На выход напрашивается https://www.aliexpress.com/item/CA5815CS/32405692620.html - один на все (ну, кроме 1.2Ггц). А что в качестве задающего генератора? SI5xx? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 888] Автор : EU1SW Дата : 25.02.2018 18:48 vcxo 122.88, возможно будет зацепиться за опорник. Сишки мне показались шумными. Добавлено через 24 минут(ы): Странно, посмотрел вот сейчас даташиты, все там вроде нормально с джиттером у сишек, в общем нет у меня ответа на вопрос, почему не si53xx. ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 889] Автор : UN7RX Дата : 26.02.2018 22:28 Нарисовал я новые схему и печатку под модуль DAC с OPA2674 и уже собрался было делать, но засомневался вот по какому поводу. Конструктивно мне такой вариант нравится, но есть ли разница, как будет включен ДПФ, сразу после DAC, или после предварительного усилителя на ОУ? Ку примерно 20дБ, то есть, на выходе получится в районе 0,6Вт. Потом будет трехзвенный ДПФ на кольцах, как в СДР1000 и многих других схемах. Есть ли весомая разница, где фильтровать, до, или после ОУ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 890] Автор : EU1SW Дата : 26.02.2018 22:47 Тут дело такое, на "сквозняк" выход ЦАП на вход АЦП демонстрирует ИМД3 порядка -80, могу уже немного ошибиться, но порядок третьей гармоники на выходе ЦАП примерно становится понятен, доли процента. По моему мнению фильтровать там особо нечего. А вот на выходе 2674 уже как качнете. Вообще я получаю достаточно вменяемые характеристики тракта передачи при наличие только фнч на выходе dac, и фнч на выходе рд100, имд3 лучше -30, и третья гармоника на выходе передатчика, после фнч, подавлена более -50. Мощность порядка 80 ватт, но ограничение по моему мнению кроется в том, что надо таки заменить в середине рд06 на рд15. Пока лень ) И, соответственно "вменяемость" получаемых результатов зависит от поставленных целей, скажем так, я сильно не заморачивался, внеполоска меньше -50 для моего QTH и мощности достаточна, и укладывается в регламент. Для более глубокого осмысления и мощностей выше желательно проводить "лабораторную". Сразу могу сказать, ближайшие внеполосные подавлены на -80. А дальше уже темные территории. Но анализатор, при подаче выхода с рд100, без выходного фнч, ничего криминального не показывает, кроме гармонических составляющих. Уф, все что знал, рассказал ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 891] Автор : UN7RX Дата : 26.02.2018 22:58 Спасибо Сергей, абсолютно подробно все разложили! Все вопросы сняты! :up: Значит все ок, буду дальше делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 892] Автор : rolin Дата : 26.02.2018 23:02 ФНЧ сразу после ЦАП абсолютно обязателен, так как он выполняет функцию формирования синусоиды . Вспомните схемы на DDS ках, хоть где-то не стоит ФНЧ на выходе ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 893] Автор : EU1SW Дата : 26.02.2018 23:04 Он там имеется, неотъемлемая составляющая модуля цап, иначе называется "восстанавливающий фильтр". К чему комментарий? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 894] Автор : rolin Дата : 26.02.2018 23:10 Пардон, подумал что вопрос про этот ФНЧ. Если речь про полосовые ФНЧ, то они нужны будут только на фф выходе усилителя мощности . Данная техника лишена недостатков аналоговых СДР ов типа СДР1000 и повторять ту схемотехнику тут нет необходимости. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 895] Автор : UF3K Дата : 26.02.2018 23:56 повторять ту схемотехнику тут нет необходимости Необходимости нет, но если кто-то имеет относительно близких соседей, или собирается использовать в раскладе SO2R или Multi-multi, то ДПФ в передающем тракте очень желательны. Как мне помнится с летних измерений, шум передатчика -120 dBc/Hz, а это не так уж и много. Кто сейчас в стадии настройки, проверьте что получилось, думаю всем интересно будет. Суть - летом пробовал работать в дуплексе на диапазоне 40 м при разносе 20 м между антеннами и мощности 10 Вт. Шум свого передатчика заметно мешал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 896] Автор : UN7RX Дата : 27.02.2018 00:04 ДПФ по определению будут - на приеме, ну и чего бы не использовать их на передачу? Ну и ФНЧ в УМ конечно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 897] Автор : EU1SW Дата : 27.02.2018 08:05 Суть - летом пробовал работать в дуплексе на диапазоне 40 м при разносе 20 м между антеннами и мощности 10 Вт. Шум свого передатчика заметно мешал. А дпф тут не помогут ) Да и с лета многое изменилось, я бы даже сказал - все... Зачем приводить цифру, которая неактуальна? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 898] Автор : VFO Дата : 27.02.2018 10:33 Странно, посмотрел вот сейчас даташиты, все там вроде нормально с джиттером у сишек Ну где же нормально. Десятки пикосекунд. В то время как для реализации параметров, например, 16-ти битного АЦП необходим джиттер порядка 100 фемтосекунд, что и демонстрирует популярный CVHD-950 (40 fsec). Сишка в тысячу раз хуже. Достаточного одного "подхода к снаряду" (анализатору спектра), чтобы развеять миф о 8-ми или 10-ти ногой микросхемке, которая сама всё делает, а нам за это ничего не будет. Ну мегагерц до 20-ти или 30-ти там спектр ещё на что-то похож, но не выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 899] Автор : EU1SW Дата : 27.02.2018 11:24 Ну может разные даташиты смотрим.. В любом случае это не актуальная дискуссия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 900] Автор : Radiotester Дата : 06.03.2018 00:09 Доброго времени суток. Может кто поделится подробностями выполнения входного транса для АЦП, и выходного для ЦАП? Самое главное интересна полярность включения обмоток (н-к). Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 901] Автор : UN7RX Дата : 06.03.2018 09:20 Там же обычный 1:4. Можете поставить те что рекомендованы - ADT4-1, или аналогичные, или намотать на кольце. Вообще тему полезно читать. В ней все есть (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1439206&viewfull=1#post1439206). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 902] Автор : EU1SW Дата : 08.03.2018 21:30 FYI Готовится (подготавливается, для почти трехлитрового дизеля) вариант двухканального 12 битного, с кроссплатой, ад9226, тактовая 61.44, передача на тактовой х2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 903] Автор : R2RBN Дата : 10.03.2018 20:03 От чего зависит точность установки частоты на выходе цап?У меня с ростом частоты увеличивается разность показаний частоты на экране и на выходе цап до нескольких килогерц на 10ке,частоту смотрел частотомером. Генератор у меня не очень качественный,от 96мгц точно есть небольшие отклонения ,это может влиять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 904] Автор : EU1SW Дата : 10.03.2018 20:06 В поверсдр в меню есть калибровочный коэффициент, он именно для этого предназначен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 905] Автор : R2RBN Дата : 10.03.2018 20:10 меню есть калибровочный коэффициент Спасибо не знал,как я понимаю это TX Display Cal? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 906] Автор : EU1SW Дата : 10.03.2018 21:04 нет, это hpsdr freq cal correction factor Добавлено через 48 минут(ы): кстати, появились вот такие платки https://ru.aliexpress.com/item/RS485-communication-module-sound-detector-sound-level-scoring-shell-instrument-noise-sensor-WST60M/32827169756.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 907] Автор : M0TLN Дата : 11.03.2018 00:57 кстати, появились вот такие платки https://ru.aliexpress.com/item/RS485-communication-module-sound-detector-sound-level-scoring-shell-instrument-noise-sensor-WST60M/32827169756.html и вот такие https://ru.aliexpress.com/item/AD-AD9226-12/32834063080.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 908] Автор : EU1SW Дата : 11.03.2018 07:52 Тот аудио сигнал который имеется на борту двухканальный. Для чего применено два канала Потому, что если "применить" один, всегда найдется человек, который спросит "а почему один?" Совершенно очевидно, что в 2 независимых канала можно вывести 2 независимых сигнала. Добавлено через 17 минут(ы): вот такие https://ru.aliexpress.com/item/AD-AD...834063080.html Эти вообще приятные ) жаль, что их раньше не было, были несколько в другом дизайне, хотя распиновка сигнальных линий совпадает, можно любые будет использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 909] Автор : EU1SW Дата : 11.03.2018 09:31 И картинка в дополнение к сказанному выше, либо RX2 или SubRx, или хоть оба вместе... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 910] Автор : Сергей1971 Дата : 12.03.2018 12:21 Всем доброго дня.Скажите пожалуйста есть ли прошивка для Полная схема соединений малосигнальной части готового варианта и описание от 14.02.18 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1498728&viewfull=1#post1498728) ? Прошивка от Обновление от 11.02.2018 не подходит по выходам и по схеме. С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 911] Автор : Сергей1971 Дата : 12.03.2018 13:52 Всем доброго дня.У меня вопрос по существу.ADC ready подавать на какую ножку - по схеме идет на 55 и перемычка на 24,по прошивке идет ADC ready pin_24 и еще есть clk50mhz pin_23?Что куда как подавать и программировать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 912] Автор : UN7RX Дата : 12.03.2018 13:57 Сергей1971, выводы под себя сами прописывайте, они все время меняются и будут меняться, Сергей постоянно модернизирует и дополняет прошивку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 913] Автор : UF3K Дата : 12.03.2018 18:26 У меня вопрос по существу.ADC ready подавать на какую ножку - по схеме идет на 55 и перемычка на 24,по прошивке идет ADC ready pin_24 и еще есть clk50mhz pin_23?Что куда как подавать и программировать? 55-й это "транзитный" пин, он нужен, если собираетесь все собирать на разъемах, т.е. на него надо подать сигнал adc_ready и соединить с 24-м пином. Сам 55-й пин в прошивке "повесить в воздухе". На плате FPGA стоит генератор 50 МГц, он припаян к 23-му пину, можно отпаять если хочется. Много раз в теме этот вопрос уже обсуждался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 914] Автор : Livas60 Дата : 12.03.2018 20:56 Скажите пожалуйста есть ли прошивка для Полная схема соединений малосигнальной части готового варианта и описание от 14.02.18 ? Да, есть. В сообщении 801 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1497243&viewfull=1#post1497243): http://www.cqham.ru/forum/attachment.php?attachmentid=281859&d=1518335364 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 915] Автор : RC3ZQ Дата : 15.03.2018 22:33 Livas60, Доброго времени суток. Я зашел в тупик и "топчусь" уже второй день на одном месте....помогите пожалуйста.:roll: Модули распаяны по Вашей схемке из поста #242 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1454456&viewfull=1#post1454456) (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1454456&viewfull=1#post1454456) Прошивка взята Hermes_Lite_22K_1ADC из поста #318 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1459083&viewfull=1#post1459083) И тут я то ли с альтерой путаю что то ли х.з. На микросхеме модуля написано EP4CE10E22C8N. Скачал Quartus II 15.0 (64-bit) Web Edition и Cyclone IV device support (cyclone-15.0.0.145.qdz) . На сайте пришлось регистрироваться, потом только дали скачать. Программа установилась довольно таки шустро ( у меня AMD 3ядра 3,1ггц, 8Гб ОЗУ). Естественно увидела Cyclone IV device support библиотеку при установке. Начал устанавливать драйвер на usb blaster REV.C и тут винда 7ка х64 начала падать в bsod (экран смерти). На буржуйском форуме кое как прочитал что для китайского клона бластера нужен иной драйвер https://www.eevblog.com/forum/microcontrollers/quartus-usb-blaster-windows-10-blue-screen-of-death/ « Reply #19 on: February 14, 2017, 07:41:46 AM » Драйвер переустановил и винда в "смерть" улетать перестала. Но попытка прошить Вашей прошивкой заканчивается не удачей 284712 Толи у меня модуль не такой как у Вас толи программатор просто не видит этот модуль. А может "криво" прогер работает. Питание 5в на плату от usb подключено. Работу в разделе программатора я начинаю по инструкции с 12го пункта (прошивка готовая #318 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1459083&viewfull=1#post1459083) Где я туплю подскажите пожалуйста? Я в первые пользуюсь таким софтом, и от sdr техники очень далек. Пионерские познания((((. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 916] Автор : Serg Дата : 15.03.2018 22:36 Тут тупите: Прошивка взята Hermes_Lite_22K_1ADC из поста #318 На микросхеме модуля написано EP4CE10E22C8N. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 917] Автор : RC3ZQ Дата : 15.03.2018 22:57 Serg, Сергей, добрый вечер. Спасибо. То есть мне нужно пересобрать прошивку под 10К верно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 918] Автор : Serg Дата : 15.03.2018 23:01 Взять какую-то из предложенных под 10к фпга и назначить в ней пины согласно своей схемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 919] Автор : UF3K Дата : 15.03.2018 23:02 То есть мне нужно пересобрать прошивку под 10К верно? Нет, так не получится. Вам нужна прошивка под 10к из 1-го сообщения в теме. Только придется пины расставить в ней под свой монтаж. 284713 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 920] Автор : RC3ZQ Дата : 15.03.2018 23:08 Только придется пины расставить в ней под свой монтаж. Добрый вечер. Спасибо. Чего собственно и боюсь что ладу не дам в "пин планере". Буду пытаться "укурить".:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 921] Автор : EU1SW Дата : 16.03.2018 09:54 Ничего там невероятного нет. Взять открыть большой проект и можно либо карандашиком записать, либо распечатать. Потом открыть маленький, и то же самое поставить и скомпилять... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 922] Автор : UN7RX Дата : 16.03.2018 10:51 В Экселе удобно делать. Экспортировать пины в csv, загнать через импорт данных с разделителями в таблицу и прописывай в соседнем столбце новые номера пинов. Чем удобно - можно на ходу все менять не теряя предыдущие варианты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 923] Автор : EU1SW Дата : 16.03.2018 10:56 Удобно через копирование файла с распиновкой в папку проекта ) Но поскольку все сложно и вызывает сомнения - карандашик наш друг ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 924] Автор : UN7RX Дата : 16.03.2018 11:07 Ну да, если просто нужно распиновку скопировать то тянешь из другого проекта. :smile: Я имею ввиду если не готовый файл пинов применяешь, а кардинально меняшь расстановку, например с другой платой ADC. Заменил, сохранил, импортировал в проект. Сергей, вопросик. По поводу SWR/PWR. Это не бродит где то в планах? 8-) В эти выходные буду делать, на новой платах уже разведено под медленный АЦП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 925] Автор : EU1SW Дата : 16.03.2018 11:16 Я помню, но пока даже руки не дошли регулировку мощности прикрутить в маленькую прошивку ))) Тупо нет времени, а на выходных хочется расслабить мозг... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 926] Автор : RC3ZQ Дата : 16.03.2018 18:30 EU1SW, Сергей, Добрый вечер. Большая я так понимаю это та что для 22К из шапки темы(от 02.01.2018 Hermes_Lite_22k_CW_s idetone.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=271996&d=1506926051)) ? Верно? Распиновка изначальная в проекте должна соответствовать схеме ту что потом позже публиковал Василий (из поста #242 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1454456&viewfull=1#post1454456) (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1454456&viewfull=1#post1454456))? Да и я переустановил для пробы более свежую версию компилятора Quartus (Quartus Prime 17.1) Lite Edition. Он совместим при работее с проектами которые на более старых версиях выполнены? Может "откатится" ? И не попутаю ли я те D0.....D13 альтеры которые идут к АЦП на те D0.....D13 которые идут к ЦАП? Я пока углубленно не ковырялся в пин планере, ну чуть уже смысл понял. Завтра займусь более углубленно. Поэтому пока не понимаю некоторых тонкостей....:roll:пардон -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 927] Автор : labuda51 Дата : 16.03.2018 19:27 Если в файле QSF поменять пины ADC то и в PIN-planere они меняются.Не знаю правильно ли это но попробую скомпилировать и прошить.Пришёл TCXO ROJON на 96 Мгц.Если AD8138 запитать от аккумуляторов 2х2х1.2v с подпиткой через диоды- это что-нибудь даст в плане шумов?. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 928] Автор : EU1SW Дата : 19.03.2018 20:07 RC3ZQ, Как Ваши успехи в расстановке пин? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 929] Автор : RC3ZQ Дата : 20.03.2018 00:43 EU1SW, Доброго времени суток! Подходил к "победе", но победить быстро и точно помог Василий UR5KIM. За что ему огромное человеческое спасибо! Проект скомпилировался и удачно "залез" в Альтеру. Но со спец дровами на бластер, чуть ранее писал. Ато комп в bsod падал с теми что в папке с Квартусом15. Два дня не мог понять почему у меня трансивер конектится но не шума не спектра нет. Оказывается я ступил конкретно - не подтянул +5В на АЦП:ржач:. Я просто думал что не надо (сбил с мысли разьем где двух полярное питание), опять же Василий "ткнул носом" и пошло все.;-) Сейчас у меня радио принимает и передает, но не работает на прямую через звуковуху, только через виртуальный кабель. По передаче зву по микрофону перекачан, "чуйка" дурная и шелест стоит. Еще у меня подрезано окно программы Power Sdr (низ окна). Менял разрешение экрана но не помогает. Тоже не знаю пока что делать. Прием с УВЧ не особо хороший (без УВЧ слаб совсем) но мне надо позаниматься с фнч по входу АЦП и трансформатор проверить. Антенна тоже пока магнитная рамка комнатная на 80м. Ниже скрины настроек, может что по программе подскажите. Спасибо.285001285002285003285004 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 930] Автор : Sergey RK4PH Дата : 20.03.2018 01:43 Приветствую Всех! Кто может подсказать причину "Error starting HPSDR hardware, it is connected and powered?" Всё работало без проблем и сейчас не пойму, что случилось. Уже пробовал разные версии ставить и всё равно такое происходит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 931] Автор : EU1SW Дата : 20.03.2018 07:37 Разные версии чего? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 932] Автор : Aivarss Дата : 20.03.2018 10:58 Sergey RK4PH, проверяйте "железо", там может быть всё что угодно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 933] Автор : RV3DLX Дата : 28.03.2018 12:48 Получил все модули для этого устройства (пока не пришла микросхема FPGA для перепайки. Пока сделал временно кросс-плату на макетнице, потом займусь печаткой по образу, что сделал Василий. Обнаружил (правда про это уже писалось), что микросхема ADC очень сильно нагревается, приспособил радиатор, которых много можно найти на старых материнских платах. Радиатор не стал приклеивать на микросхему, а закрепил его с помощью подпружиненных винтов. Как только придет микросхема, буду ее перепаивать и прошивать. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 934] Автор : RC3ZQ Дата : 28.03.2018 15:07 Да такой радиатор туда само раз, греется сильно АЦП. Я наклеил маленьки и не хватает. Ребят а моды AM и FM тестировал кто либо на передачу? У меня несущая идет а модуляции нет. Хотия вижу на спектре в программе несущий и модуляцию. Вопрос к автору Сергею EU1SW может в ПО не предусмотрены эти моды? пока не пришла микросхема FPGA для перепайки Я так понимаю есть и модули готовые под 22к. Можно заказать сразу его. https://ru.aliexpress.com/item/-/32834586200.html?spm=a2g0s.8937460.0.0.hw2At2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 935] Автор : RV3DLX Дата : 28.03.2018 15:30 есть и модули готовые под 22к Да, я знаю, спасибо! Но я заказал тот модуль, что рекомендовал Василий, под него он разработал печатную кросс-плату. Перепаять микросхему не так уж сложно, главное, что бы из Китая приехала исправная, будем надеяться. Вчера пришел и кварцевый генератор, плату под него я уже сделал, она будет пристегиваться сверху платы АЦП, для этого я и срезал часть ребер на радиаторе. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 936] Автор : EU1SW Дата : 28.03.2018 16:03 Вопрос к автору Сергею EU1SW может в ПО не предусмотрены эти моды? это про какое ПО вопрос? мне трудно вообразить, что у вас рождаются предположения, что в ПоверСДР будто бы вдруг не оказалось ЧМ и АМ на передачу... Значит вопрос о каком то другом ПО... ) А ПО ПЛИС знает только что нужно попикать в наушники и в ЦАП, когда CW, остальные моды не его забота. Но на всякий случай повторюсь, что я, тестируя андерсемплинг, алекал с хендика и на хендик на двойке, ессно в ЧМ. У меня несущая идет а модуляции нет. Хотия вижу на спектре в программе несущий и модуляцию. это очень загадочно и таинственно... хотелось бы увидеть пруф -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 937] Автор : EU1SW Дата : 28.03.2018 18:07 под него он разработал печатную кросс-плату Я писал вроде ранее, что разработал ПП под стандартный модуль, 22к, который не требует перепайки... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 938] Автор : RV3DLX Дата : 28.03.2018 18:12 что разработал ПП под стандартный модуль Сергей, здравствуйте! Вроде бы подробно читал эту ветку форума, но эту информацию проглядел. Будьте добры, подскажите, где эта информация. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 939] Автор : RC3ZQ Дата : 28.03.2018 19:04 Но на всякий случай повторюсь, что я, тестируя андерсемплинг, алекал с хендика и на хендик на двойке, ессно в ЧМ. Здравствуйте Сергей, тогда понятно...буду "ковырять" настройки powersdr. Пруф- ох и слово.:ржач: В мои годы октябренка таких не было:-P Ну видео сейчас уже не могу записать - на работу убегаю. А вот скрины. 285633285634 Это при "АЛЁЁЁ" в микрофон. Может усиления мало. Я еще правда и по ssb не разобрался полностью, фон сильный идет мимо модуляциии. Но это надо VAC ковырять наверное... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 940] Автор : EU1SW Дата : 29.03.2018 08:22 Коммутацию привести в порядок надо для начала Добавлено через 5 минут(ы): Будьте добры, подскажите, где эта информация. Юрий, я ожидаю прибытия плат от китайского изготовителя, исключительно с целью проверить себя, запаяв и запустив хотя бы одну ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 941] Автор : RC3ZQ Дата : 29.03.2018 08:57 Коммутацию Если мне, то чего коммутацию? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 942] Автор : EU1SW Дата : 29.03.2018 09:09 Вы сами писалм ранее, что у вас помимо непонятных Вам проблем еще и фон присутствует... Программное обеспечение фон не генерирует, и виртуальный аудиокабель тем более )))) Соответственно начните с физических подключений у себя там на месте. Очень вероятно, что когда решите этот вопрос, все остальные уйдут тоже... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 943] Автор : EU1SW Дата : 31.03.2018 08:59 Платы вчера пробные получил, и задающие rojon на 76.8 МГц, с этим номиналом тактовой есть вероятность еще немного улучшить SFDR, и, главное, использовать FIR с делением на 8. сразу нашлось пару мелких косяков моих с маской, но ничего, скальпелем поскрести... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 944] Автор : Livas60 Дата : 31.03.2018 09:40 Сергей, добрый день. Ждем результатов запуска. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 945] Автор : RC3ZQ Дата : 01.04.2018 18:52 EU1SW, Сергей, доброго вечера и с праздником! Воозможно ли реализация проекта на 10к но с адио кодаком (чтоб на борту был вход и выход ауди..микр) ? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 946] Автор : EU1SW Дата : 01.04.2018 20:01 Доброго! не возвращался я к тому варианту, поднакопилось... кодек, регулировка мощности, макета не было, сейчас есть возможность на базовой плате оперативно менять модули, 22<->10К. Посмотрим, как время будет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 947] Автор : RV3DLX Дата : 04.04.2018 01:03 Запаял микросхему FPGA 22К. С заливкой прошивки сразу не заладилось. Плохо быть бестолковым:-P:-P:-P, и нужно читать подробно инструкцию, а не по диагонали (это я про себя). Пришлось обращаться за помощью к Василию. Я по незнанию сначала в Квартусе делал автодетект, загружал файл прошивки и при нажатии кнопки Start ничего не поучалось. Василий растолковал, что нужно просто загружать файл и нажимать Start, после этого все получилось. Спасибо Василию за помощь!!! Сейчас соединил устройство с компьютером и прием работает. Правда здесь у меня нет антенны и кругом полно всякой техники создающей помехи, но на кусок провода мощные вещалки принимаются. Сначала правда при первом подключении программа PowerSDR сразу вставала на передачу, я сообразил, что входы РТТ и ключа у меня не подтянуты на 3,3 Вольта, припаял резисторы и все стало как и должно быть. Теперь вопрос к знатокам: смущает меня сильный нагрев платы FPGA в районе стабилизаторов, как с этим обстоят дела у других? Может быть не стоит напряжение 3,3 Вольта для других модулей брать с этой платы, а поставить отдельный стабилизатор? Буду продолжать дальше. Нужно теперь запустить передачу. Большое спасибо авторам этой конструкции! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 948] Автор : EU1SW Дата : 04.04.2018 09:18 От стабилизаторов 3.3 платы ПЛИС питаются PHY и цифровые части АЦП и ЦАП, на плату подается 5 вольт, нагрев естественно есть, но палец не шипит и припой не плавится ) в крайней версии еще и кодек от него запитан. Если подавать более 5 вольт нагрев сильно увеличивается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 949] Автор : RV3DLX Дата : 04.04.2018 11:08 EU1SW, Сергей, спасибо за ответ! У меня так и есть, от стабилизатора платы ПЛИС питаются все эти устройства, кроме ЦАП (он у меня пока не стоит). Подаю на плату конечно 5 Вольт. Модуль ПЛИС установлен на кросс-плате вниз элементами, поэтому я потрогать стабилизаторы не могу, что бы определить какой из стабилизаторов наиболее горячий. Припой конечно не плавится, но держать палец на плате в районе этих стабилизаторов нельзя, горячо. Микросхема АЦП с тем радиатором, что я поставил греется слабо (по крайней мере сам радиатор чуть теплый). Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 950] Автор : EU1SW Дата : 04.04.2018 11:13 По моему мнению перебарщивать особого смысла нет, в смысле обвешивать все железом для достижения температуры 28 градусов на всех элементах ) "палец нельзя держать", если я не ошибаюсь, это в районе 60 градусов, для кремния - морская пыль ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 951] Автор : EU1SW Дата : 04.04.2018 22:17 работает безотказно, иногда не выключаясь по нескольку дней. Юрий. Юрий, давно хотел Вам сказать спасибо за вебсдр ) А вот и случай представился! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 952] Автор : RV3DLX Дата : 05.04.2018 10:29 EU1SW, Сергей, к сожалению сейчас этот вэбсдр не работает. Юрий RN3DKT, который поддерживал этот сдр, сейчас в отъезде. Ну а я только приемники делал. Думаю мы это полезное дело восстановим. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 953] Автор : RV3DLX Дата : 05.04.2018 17:05 Подключил на прием свой пока еще полуфабрикат к нормальной антенне. Принимает хорошо, несмотря на то что пока нет УВЧ. Да он наверно на НЧ диапазонах не нужен, на ВЧ конечно нужен. Нужно теперь доделывать передающую часть и произвести всякие измерения, что бы сравнить параметры с моим Гермесом. Работает совместно с платой кодека, ну и через VAC естественно работает. Вот картинка приема на сороковке. Юрий. P.S. Пока соединяется с компьютером на прямую. С роутером пока не получается, нужно разбираться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 954] Автор : Radiotester Дата : 05.04.2018 22:44 RV3DLX, Юрий, добрый вечер. Какая шумовая дорожка у Вас получилась без УВЧ с отключенной антенной ? И у Вас так же как и у Василия ADC78H90 используется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 955] Автор : RV3DLX Дата : 06.04.2018 00:57 Какая шумовая дорожка у Вас получилась Где то около -150дБ, но при этом программа откалибрована под мой Гермес. Когда проведу калибровку, тогда можно будет точно сказать. Модуль АЦП приобретал по ссылке Василия у того же продавца. АЦП AD6645-105. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 956] Автор : Sergey_Minsk Дата : 10.04.2018 11:46 Возникла проблема с прошивкой платы программатором usb blaster. Падает windows в синий экран. Пробовал на разных ноутбуках с W7 и W10, обновил квартус до версии 15.0.2.159, все с тем же результатом. Можно ли проверить китайский usb blaster? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 957] Автор : Aivarss Дата : 10.04.2018 11:51 Sergey_Minsk, поищите по теме(по ключевым словам), было по моему что то похожее. Может кто точнее подскажет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 958] Автор : EU1SW Дата : 10.04.2018 12:26 Поиск по теме по словам синий экран дает отличные результаты... Если вдруг случайно не даст, то нужны 32-битные драйвера от 13 квартуса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 959] Автор : Sergey_Minsk Дата : 10.04.2018 13:33 EU1SW, спасибо, понял куда копать. Искал уже, пытался найти другой драйвер, теперь скачаю 13 квартус и поставлю под виртуалку 32 разрядную. 73! Сергей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 960] Автор : EU1SW Дата : 10.04.2018 13:38 Еще проще... Кто то выкладывал нужный архив, поищите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 961] Автор : RC3ZQ Дата : 10.04.2018 14:19 Возникла проблема с прошивкой платы программатором usb blaster. Падает windows в синий экран. Пробовал на разных ноутбуках с W7 и W10, обновил квартус до версии 15.0.2.159, все с тем же результатом. Можно ли проверить китайский usb blaster? Добрый день, Сергей. Смотрите мой пост #928 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1510700&viewfull=1#post1510700) Проблема в том что бластеры у нас китайские и с теми дровами что в папке в программе квартус они не работают. Винда падает в bsod. У меня win 7 x64 на AMD улетала на ухнарь. Добавлено через 10 минут(ы): Еще вопрос ко всем форумчанам кто уже юзает трансивер "по полной программе" на передачу (микрофон) и на прием соответственно. Не образуется ли посторонних шумов в режиме передачи по мимо сигнала микрофона (версия без аудио модуля на 10К Альтера). Так же не пере излучается ли эти шум (непонятно через чего) на другую приемную аппаратуру в Ваших шэках? Спасибо за ответы, коллеги. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 962] Автор : Livas60 Дата : 10.04.2018 16:11 пытался найти другой драйвер http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1484176&viewfull=1#post1484176 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 963] Автор : EU1SW Дата : 10.04.2018 16:52 Вы не в курсе, что все цифровые устройства, особенно интерфейсы, компьютер, плата плис, шины излучают помехи??? Если вы кладете провод подключеный к приемнику на плату плис и удивляетесь тому, что в динамике приемника шумит, то надо начинать не с DDC, а с мультивибратора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 964] Автор : RC3ZQ Дата : 11.04.2018 00:53 А если включить между антенной и АЦП резистор ом на 50, что то меняется? Не пробовал, отпишусь попозже. И еще, как у вас опорник выполнен? Пока все это выглядит так. Попозже одену крышечки на коробки увч и тхсо, перегордеи для ацп еще есть в планах сделать, и разгребу кубло дротов около стабилизатора и унч. DAC и еще один стаб 5в с платой коммутатора расположены с низу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 965] Автор : RV3DLX Дата : 11.04.2018 03:22 При отключеном УВЧ (-50дб) Это что, уровень шумовой дорожки -50дБ? Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 966] Автор : UN7RX Дата : 11.04.2018 05:31 Мда, при такой пересекающейся монтажной каше даже не знаю на что подумать... Попробуйте модель LAN убрать назад, подальше от входов АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 967] Автор : EU1SW Дата : 11.04.2018 06:26 по этому вопросу спросил еще кое что для правильного выполнения эксперимента, на что последовала "тишина". Не спалите ли вы АЦП? ) я не знаю, друг мой... ) Общение в личке застопорилось, потому что на любое мое предложение, через три дня приходит несколько встречных вопросов ) Добавлено через 8 минут(ы): Без дросселей проводами шим потащили небось через пол платы? Что за пятиногая микросхема внизу справа? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 968] Автор : UF3K Дата : 11.04.2018 06:47 При отключеном УВЧ (-50дб) в программе выставлено и питания нет на увч) подключаю ко входу АЦП приемную магнэтик луп и понеслась и на кв и на укв . Аудио модуля нет, 10к Альтера. Все аудио через ПК. Запитан от лабораторного трансформаторного стабилизированного БП. По 12в, по 5в (две кренки..два контура 5в), по 3.3в смотрел осликом..все чисто. Такой же шум присутствует и на передаче на полезном сигнале. Попробую погадать на "кофейной гуще". Дело не в магнитной антенне (МА), а в том, что подключая ее, Вы подключаете достаточно длинный противовес (оплетку кабеля МА). В результате начинает излучать что-то другое, например патчкорд, провода питания, и т.д. Попробуйте заменить патчкорд, поставить фильтры в питающие провода. Я делал несколько разных макетов этого железа, вплоть до "скрутить все на фанерке", заметных помех приему не было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 969] Автор : RC3ZQ Дата : 11.04.2018 10:20 при такой пересекающейся монтажной каше Вам так кажется что каша. Эжто шлейфы просто распаяны на разъемы (мамы) и одеты на вывода термо усадочные трубки. К АЦП идет шлейф ( не путанка и не жгут). От езернет модуля итдут провода под платой альтеры . На dac так же уходит шлейф. Подобно такой сборке здесь выкладывали несколько фото, и все же работает. Попробуйте модель LAN убрать Попробую,спасибо. потому что на любое мое предложение, через три дня приходит несколько встречных вопросов ) Сергей, у меня нет достаточной информации вот и пояляются вопросы. Поскольку были праздничные и сам был на работе эти дни, вот и через три дня. Я соблюдаю субординацию и человеческие понятия . Для меня это хобби и и не более того. Без дросселей проводами шим потащили небось через пол платы? по 3.3в бусинки на проводе одеты, по проводам так же бусинки. Так же на плате коммутации по 3.3в стоит дроссель на колечке 330мкгн. По 5в тоже там и бусинки и дроссели на плате коммутации. Все остальные это шлейфы, и они короткие. Что за пятиногая микросхема внизу справа? Это УНЧ TDA2030 Вы подключаете достаточно длинный противовес (оплетку кабеля МА). Кабель МА на самом деле короткий и находится она на окне комнаты. С витой парой идущей на роутер не пересекается очень близко. По питанию займусь вопросом на днях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 970] Автор : EU1SW Дата : 11.04.2018 10:37 Вот я и говорю, выход звука ШИМ с платы без дросселей и фильтров прямо на 2030? Для меня это хобби и и не более того. Для меня тоже, нет возможности консультировать в личке по общим вопросам. С моей стороны прозвучало предложение разрешить конкретную ситуацию посредством моего непосредственного участия. Вы не прислали никаких контактных данных. Предложение более не актуально, я тоже на работе, нет времени, семья, дети, отдых... Спасибо за внимание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 971] Автор : RC3ZQ Дата : 11.04.2018 10:56 Вот я и говорю, выход звука ШИМ с платы без дросселей и фильтров прямо на 2030? Нет, конечно. Экранированными проводами уходит сигнал на плату с разъемом 3.5 где установлены дроссели по 100мкгн (на фото не видно), и электролиты. УНЧ сейчас не используется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 972] Автор : RV3DLX Дата : 11.04.2018 15:07 Продолжаю "мучить" свой трансивер. Ну никак он не хотел соединятся через роутер, через прямое подключение к компьютеру все соединяется и работает вполне прилично. Причем, мой Гермес соединяется как напрямую так через роутер. Сам я не большой специалист по сетевым подключениям, пришлось привлечь специалиста, но что только не делали, не соединяется хоть убей. Принесли другой роутер, с ним сразу все заработало. У меня роутер ZYXEL, принесли D-LINK. Пробовали менять прошивку роутера, ничего не помогло. RC3ZQ, мне кажется, что у Вас весьма неудачно расположены модули, ну и монтаж, на мой взгляд, оставляет желать лучшего. Повозившись со своим изделием понял, что многое зависит например от разводки "земель" и от других "тонкостей". В моем случае шумовая дорожка (без антенны конечно) на 10-ке была заметно выше, чем на других диапазонах. Немного повозившись, уменьшил эту разницу, на все равно не совсем. Интересно, как у собравших эту конструкцию обстоят дела с этим? Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 973] Автор : EU1SW Дата : 11.04.2018 16:31 на все равно не совсем На сколько выше? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 974] Автор : RC3ZQ Дата : 11.04.2018 16:58 RC3ZQ, мне кажется, что у Вас весьма неудачно расположены модули, ну и монтаж, на мой взгляд, оставляет желать лучшего. Юрий, добрый день. Я отталкивался от той графической информацией которая была представлена участниками форума в этой ветке. Похожие варианты монтажа тут встречались тоже (судя по фото). Хорошо бы было если бы Вы показали фото (я бы посмотрел некоторые моменты). Поскольку информация: Повозившись со своим изделием понял, что многое зависит например от разводки "земель" и от других "тонкостей". мне не дает практического а только теоретическое представление сией "кухни". P.S по поводу сетки soho роутер milrotik буквально с пол пинка выдал DHCP сервером адрес устройству (дополнительно сделал его статичный), после того как изначально был подключен трансивер к ПК. По wifi тоже без проблем конекчусь к трансиверу. За что спасибо Василию за инструкцию по настройке сетки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 975] Автор : RV3DLX Дата : 11.04.2018 18:50 Хорошо бы было если бы Вы показали фото RC3ZQ, как расположены модули на плате я уже показывал (это практически так, как у Василия). Вот фото обратной стороны платы, все соединения сделаны кратчайшим путем. В ближайшее время (проверив все на макете) думаю сделать печатную кросс-плату, по образу платы Василия, немного все подкорректировал под себя. Сейчас развел плату УВЧ, эта плата будет устанавливаться вторым этажом на модуле АЦП, на этой плате и генератор расположен. Осталось ее немного допаять, после чего сообщу полученные результаты. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 976] Автор : UF3K Дата : 11.04.2018 19:57 Принесли другой роутер, с ним сразу все заработало. У меня роутер ZYXEL, принесли D-LINK. Пробовали менять прошивку роутера, ничего не помогло. Юрий, у меня похожая ситуация с роутером 3COM, а так же с сервером под Ubuntu 12.04 - DHCP сервер не назначает трансиверу IP-адрес. DHCP-сервер под Win7 делает это легко и непринужденно. Обсуждали с Сергеем это почти год назад, но у него эта ситуация в домашней сети не воспроизводится, т.ч. "копать" нет возможности. В итоге в основном использую APIPA или статический IP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 977] Автор : Livas60 Дата : 11.04.2018 19:58 В моем случае шумовая дорожка (без антенны конечно) на 10-ке была заметно выше, чем на других диапазонах. Немного повозившись, уменьшил эту разницу, на все равно не совсем. Интересно, как у собравших эту конструкцию обстоят дела с этим? У меня уровень шумовой дорожки практически не зависит от диапазона: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 978] Автор : RV3DLX Дата : 11.04.2018 21:55 Livas60, Василий, спасибо за картинки! У Вас получился низкий уровень шумовой дорожки, у меня пока хуже. Думаю что положительную роль играет расположение модулей над сплошной земляной поверхностью, ну и возможно качество тактового генератора. Скоро сделаю печатную плату и тогда можно будет сравнить с тем что есть у меня сейчас. Вы конечно калибровку уровня делали? Какое усиление имеет у Вас УВЧ? У меня пока 16дБ. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 979] Автор : EU1SW Дата : 11.04.2018 22:23 Качество тактового генератора играет роль только в случае приема слабого сигнала рядом с мощным. На уровень шумовой дорожки с подключеным эквивалентом не влияет. А вот усиление УВЧ напрямую влияет на результирующий фактор шума, и реальный уровень дорожки, но только после калибровки. Разумеется, если вы имеете разный уровень шума на 80 и на 10, то это наводки, земли, etc... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 980] Автор : RV3DLX Дата : 11.04.2018 22:35 Сергей, спасибо! Я все так и понимаю. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 981] Автор : EU1SW Дата : 12.04.2018 00:04 Юрий, у себя на точке приемной, я применил УВЧ +24 дБ, тихое сельское место. И то, на 10 метров то компьютеры соседские, то зарядки китайские, то еще какая то непонятная сила... В городе столько не нужно, просто будет динамический диапазон впустую расходоваться. Можете сами прикинуть достаточность, Кш самого АЦП примерно 29-30 дБ, при усилении 24 дб УВЧ на BF591 Кш системы получается чуть лучше 8 дБ. Т.е.шумы самого УВЧ в данном случае равны, либо сравнимы с шумами АЦП, и плюс усиление. И в результате шумы с Сити-Виндом, обвешанного по снижению ферритами, блоки питания всех рутеров, АДСЛ модемов давно сидят в железной коробке ), а на десятке все равно шум с антенны выше шума приемника дБ на 10 ) но и слышу тоже весь шар, когда прохождение есть, плохо, но слышно ) Ягов нету Осталось только распберри ПИ с батарейкой, и трансивер от аккума, и ввод обесточить, и смотреть ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 982] Автор : RV3DLX Дата : 12.04.2018 08:28 Сергей, совершенно с Вами согласен. У меня УВЧ пока на старинном советском транзисторе КТ355А (BF591 нужно приобрести), но и с этим транзистором работает вполне даже хорошо. Я специально несколько вечеров принимал станции с эфира, смотрел все на предмет перегрузок. У меня хоть антенна и не очень, INV-V на крыше 9-ти этажного дома, но вечером вещалки идут с "ломовым" уровнем. При этом никаких перегрузок не возникает и слабые станции на 40-ке принимаются без проблем. Единственно что, вечером на 10-ке появляются паразитные "палочки" от мощных вещалок c низкочастотных диапазонов, но это убпирается с помощью диапазонного фильтра. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 983] Автор : Livas60 Дата : 12.04.2018 09:38 Вы конечно калибровку уровня делали? Какое усиление имеет у Вас УВЧ? Да, калибровка выполнена. Усиление УВЧ около 17dB. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 984] Автор : EU1SW Дата : 12.04.2018 11:41 У меня хоть антенна и не очень, INV-V на крыше 9-ти этажного дома, но вечером вещалки идут с "ломовым" уровнем. При этом никаких перегрузок не возникает и слабые станции на 40-ке принимаются без проблем. Единственно что, вечером на 10-ке появляются паразитные "палочки" от мощных вещалок c низкочастотных диапазонов, но это убпирается с помощью диапазонного фильтра. Да, мы с Владимиром RX3QFM эти эксперименты еще почти уже год назад проводили, еще с приемником на основе AD6645, у него на даче 10 метровый штырь на 40, у меня Сити-Виндом на 80. Вещалки 40-чные выходят до -30 дБм, и мощности по входу во всей полосе с включенным УВЧ уже достаточно близко к перегрузке АЦП, но проблем так же не наблюдалось. Коэффициент усиления УВЧ я выбирал исходя из того, что различные источники дают уровень галактического шума в 18 дБ над тепловым для 10 метрового участка. Так что б как можно более реализовать возможности декодирования цифры под шумами на ВЧ диапазонах прикинул, что превышения внешнего шума над внутренними шумами приемника на 10 дБ будет достаточно и достаточно компромиссно для того, что бы параллельно при этом, при реализации полной возможной чувствительности на ВЧ, мочь в это же время декодировать НЧ бенды, т.е. без ДПФ ) Разумеется для других условии и других антенн КУ УВЧ надо будет уменьшать. Т.е. 24 дБ - это верхний край, 16-18 дБ - оптимум для большинства возможных локаций с несложными антеннами, ну и для больших антенн, Яг и квадратов на НЧ бенды - выключение УВЧ за ненадобностью. Да и вообще, для нормального приема НЧ бендов на диполи, инвертеды, лучи, т.е. полноразмерные диапазонные - вообще не нужен, там и так шум эфирный даже тихого диапазона децибелл на 20 выше шумов АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 985] Автор : RV3DLX Дата : 13.04.2018 09:32 Развел и спаял плату УВЧ. На этой плате и тактовый генератор находится. Плата ставится поверх модуля АЦП на его штатные разъемы (их нужно запаять, SMA разъемы не используются). Усиление получилось 19дБ, вместе с двумя ФНЧ. Шумовая дорожка стала как у Гермеса. Попробую еще установить две экранирующие коробочки, на УВЧ и на генератор, места на плате под них предусмотрены, но и так уже хорошо. Усилитель будет не отключаемый, а перед ним поставлю аттенюатор (или два, если Сергей поправит под это дело прошивку, вроде бы он собирался это сделать). Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 986] Автор : UN7RX Дата : 13.04.2018 10:17 Юра, у вас опорник и УВЧ на одной плате собраны? А зачем, если не секрет? Я УВЧ вообще за пределы остальных блоков уберу, возможно в отсек с ДПФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 987] Автор : RV3DLX Дата : 13.04.2018 10:45 А зачем, если не секрет? Роберт, а чему это мешает? Зачем плодить лишние платы? Ну я просто показал как сделал, разных вариантов множество, поэтому каждый сделает как ему удобнее. Юрий. P.S. Роберт, а Вы уже запустили эту конструкцию в окончательном варианте? Может быть конечно я пропустил Ваши сообщения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 988] Автор : Livas60 Дата : 13.04.2018 10:58 Юрий, добрый день. А что за транзистор Вы применили в УВЧ? Печатку можете выложить? Возможно себе попробую такой вариант. Тоже планирую неотключаемый усилитель. Сейчас занимаюсь платой ДПФ. На ней же будут аттенюаторы 10 и 20dB. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 989] Автор : EU1SW Дата : 13.04.2018 11:00 Василий, добрый день! Немного выше Юрий упоминал У меня УВЧ пока на старинном советском транзисторе КТ355А (BF591 нужно приобрести), -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 990] Автор : Livas60 Дата : 13.04.2018 11:07 Прошу прощения. Упустил.:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 991] Автор : EU1SW Дата : 13.04.2018 11:09 Это ерунда ) я вот до почты не могу уже неделю дойти <|8-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 992] Автор : RV3DLX Дата : 13.04.2018 11:42 Печатку можете выложить? Василий, пожалуйста. Да, пока у меня КТ355А стоит, хотя и старинный, но очень не плохой. С BF591 конечно попробую, но думаю особых изменений не будет. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 993] Автор : UN7RX Дата : 13.04.2018 14:02 P.S. Роберт, а Вы уже запустили эту конструкцию в окончательном варианте? Может быть конечно я пропустил Ваши сообщения? Пока нет. Собственно, "окончательный вариант" в моем понимании, это закрытый трансивер. :smile: Будет ли там "сердцем" вариант Сергея, или другой вариант DDC/DUC зависит от того будет ли тут дополнительное завершение пары программных моментов от Сергея, потому что переделывать железо я уже потом точно не буду. Я притормозил из-за того, что заказал целую кучу всяких мелочей для собственно модуля DDC/DUC, вернее, для плат обвеса. Наборы разных разъемов, микросхемы, высокодинамичных монолитных усилителей и кучу других вещей, чтобы сделать все предельно аккуратно. Не люблю паутины. Пришло буквально на днях. Подогнал рисунки печаток под пришедшее, буду на выходных делать, если ничего не помешает. Ну и главное, пришел "мозг" будущего трансивера, мать ITX A6-5200, которая с лихвой закроет все потребности трансивера, не в пример оказавшейся практически беспомощной D2550. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 994] Автор : EU1SW Дата : 13.04.2018 14:04 будет ли тут дополнительное завершение пары программных моментов от Сергея, Роберт, конкретизируйте пожалуйста, что еще надо завершить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 995] Автор : UN9GR Дата : 13.04.2018 16:01 Да, мы с Владимиром RX3QFM эти эксперименты еще почти уже год назад проводили, еще с приемником на основе AD6645, Прошу прощения, возможно что то пропустил. Какой АЦП лучше под этот проект - AD6645 или AD9226? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 996] Автор : EU1SW Дата : 13.04.2018 16:23 Не совсем понятен вопрос... этот проект на 6645 для 9226 проект другой (http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 997] Автор : radiolav Дата : 13.04.2018 16:42 А можно ссылку где, можно увидеть проект на AD9226 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 998] Автор : UN9GR Дата : 13.04.2018 17:09 Не совсем понятен вопрос... этот проект на 6645 для 9226 проект другой Вопрос возник из этой фразы " еще с приемником на основе AD6645". Понял так что если "еще" то теперь что то другое. Тогда по другому поставим вопрос, какой АЦП лучше? Вроде как AD6645 14 битный а AD9226 12 битный. Тем не менее новый проект Вы делаете на AD9226。 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 999] Автор : EU1SW Дата : 13.04.2018 17:11 Потому, что новый проект называется "бюджетный" ) И вторая причина, я посчитал что для ПЧ УКВ достаточно будет и 9226 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1000] Автор : UN7RX Дата : 14.04.2018 00:25 Я сейчас просто начну отправлять в бан в теме. Посмотрите на первый пост и найдите в этой конструкции Сергея АЦП 9226! :evil: Есть другая тема (http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7), там и обсуждайте! что еще надо завершить Сергей, ну поскольку я упомянул именно "железо", то наверное только один нерешенный момент остался, я с месяц назад уже спрашивал про это: По поводу SWR/PWR. Это не бродит где то в планах? На новой платах уже разведено под медленный АЦП Схема от Василия, тут (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1498728&viewfull=1#post1498728). Тогда было не до этого, потом появился УКВ вариант и т.д. Мелочь наверное, но из разряда ложки дегтя, это если подходить к аппарату не как к конструктору на фанерке, для экспериментов, а как к реальному полноценному аппарату для полной сборки. Остальное Вы все реализовали вроде, все что народ вспомнил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1001] Автор : EU1SW Дата : 15.04.2018 09:38 реальному полноценному аппарату для полной сборки. Который появляется из чьей то фанерки, а не наоборот... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1002] Автор : UN7RX Дата : 15.04.2018 11:02 Ну это само собой, фанерка эволюционирует, однако. :smile: Только хорошо бы со всеми конечностями. А то потом не пришьешь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1003] Автор : Radiotester Дата : 16.04.2018 10:48 Здравствуйте. Скажите пожалуйста кто чем менял Adc78h90 в последнем варианте трансивера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1004] Автор : UN7RX Дата : 16.04.2018 11:39 А зачем вам вообще его менять, или даже ставить? Он пока не задействован вообще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1005] Автор : Radiotester Дата : 16.04.2018 12:12 UN7RX, Так измеритель swr и напряжения питания без нее работать не будет? Там же и регулятор мощности как бы завязан на нее? Быть может это на "вырост"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1006] Автор : RV3DLX Дата : 16.04.2018 12:38 Там же и регулятор мощности как бы завязан на нее? Регулятор мощности никак не завязан на этот АЦП. Через этот АЦП можно измерять реальную выходную мощность и КСВ. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1007] Автор : EU1SW Дата : 16.04.2018 12:39 Повторение мать учения... Или тему читать это ж только мне надо ) Adc78h90 - дорогая и недоставабельная в большинстве своем, но у кого есть тот может замечательно использовать существующий код для нее, раскомментировав строчки и описав входные/выходные пины, у Василия дивно и давно работает Вариант предложенный Дэвидом Файницким, MCP3202 - код под нее можно утянуть у него же из Одиссея-2, с его любезного разрешения, которое он дал. У меня есть в наличие MCP3204 (потому что есть в чипедипе) и Adc78h90 (потому что Василий любезно поделился) - и что мне теперь делать? ) под MCP3204 надо модифицировать процедуру опроса, но тогда MCP3202 работать уже не будет... могу просто добавить поддержку MCP3202, и вариант с Adc78h90 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1008] Автор : Radiotester Дата : 16.04.2018 13:36 Было бы здорово если бы добавили потдержку 3202, ее наверное проще купить так же как и 3204. А если бы на 10к это все сделали бы по возможности так было бы вообще замечательно:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1009] Автор : EU1SW Дата : 16.04.2018 13:42 развиваться самостоятельно совсем никак? хотя бы спросить у меня, что нужно скопипастить в уже имеющийся у вас же проект, в котором уже все назначено и расставлено, видимо неизмеримо сложнее, чем сидеть ровно и ждать, пока я восстановлю макет, разверну проект из архива, вставлю пару строк, заархивирую и положу на форум... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1010] Автор : Radiotester Дата : 16.04.2018 13:48 EU1SW, В тонкостях я этих не силен,поэтому что куда скопировать и вставить если только "на пальцах" покажите, и то не факт что пойму:ржач:. P.SВ Москов уже в "чипе" нема 3202 и 3204 тоже в наличии...((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1011] Автор : EU1SW Дата : 16.04.2018 13:53 Москов уже в "чипе" нема 3202 и 3204 тоже в наличии...((( ну вот, вот и делай после этого что нибудь... ) В общем будет так, будут закомментированы три варианта, Adc78h90б, MCP3202 и MCP3204, каждый сам себе раскомментирует... В тонкостях я этих не силен Много умеет тот, кто надеется только на себя (с) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1012] Автор : EU1SW Дата : 16.04.2018 16:17 Апдейты для обоих плат, в версию 10К включены все последние обновления, увеличена раскачка в телеграфе, вместо отдельного выхода на наушники теперь там живет кодек, ШИМ выход на наушники пришлось убрать, ибо не компилировалось, и больше туда все... ) в обоих прошивках MCP3202 активен, название сигналов согласно схеме, проверяйте распиновку всех сигналов согласно вашему монтажу, компилируйте и наслаждайтесь отличным приемом ) Добавлено через 12 минут(ы): для того, что бы поправить, в файле hermes_lite_core.v ищем кусок текста, и соответственно убираем палки // где надо, и добавляем где не надо //// w/o slowADC //assign AIN1 = 0; //assign AIN2 = 0; //assign AIN3 = 0; //assign AIN4 = 0; //assign AIN5 = 200; //assign AIN6 = 1000; //// end //// ADC78H90CIMT //Hermes_ADC ADC_SPI(.clock(pll_12288), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), // .AIN1(AIN1), .AIN2(AIN2), .AIN3(AIN3), .AIN4(AIN4), .AIN5(AIN5), .AIN6(AIN6)); //// end //// MCP3202 tnx N7DDC Angelia_ADC ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), .AIN1(AIN1), .AIN2(AIN2)); assign AIN3 = 0; assign AIN4 = 0; assign AIN5 = 200; assign AIN6 = 1000; //// end //// MCP3204 //Angelia_AD4 ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), // .AIN1(AIN1), .AIN2(AIN2)); //assign AIN3 = 0; //assign AIN4 = 0; //assign AIN5 = 200; //assign AIN6 = 1000; //// end -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1013] Автор : UN7RX Дата : 16.04.2018 16:40 В общем будет так, будут закомментированы три варианта, Adc78h90б, MCP3202 и MCP3204, каждый сам себе раскомментирует... Оооо, вот за это Сергей превеликое спасибо!! Выбор - вещь великая! Ну и само собой, за "последний кончик волоса", то бишь, за полный комплект! Ура! Делаем! :lol: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1014] Автор : Radiotester Дата : 16.04.2018 16:53 EU1SW, Сергей,спасибо огромное!!! То есть если микросхемы не будет временно mpc или adc ничего не раскоментировать а просто закинуть прошивку собранную как по инструкции да и все дела? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1015] Автор : Livas60 Дата : 16.04.2018 17:24 Если нет adc или mcp, то все закомментировать, а раскомментировать: //// w/o slowADC assign AIN1 = 0; assign AIN2 = 0; assign AIN3 = 0; assign AIN4 = 0; assign AIN5 = 200; assign AIN6 = 1000; //// end -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1016] Автор : RV3DLX Дата : 21.04.2018 09:44 Сделал печатную кросс-плату по образу и подобию как делал Василий (спасибо ему!), внес небольшие изменения, добавил оптроны на входы РТТ и ключа, поставил буферы (ULN2003) на пользовательские выходы и выход РТТ. По сравнению с тем вариантом, что был сделан у меня на макетнице, шумовая дорожка упала немного, но самое главное ее уровень стал одинаковым на всех диапазонах (раньше на десятке ее уровень был на 6-8дБ выше). Это доказывает важность хорошей и правильной "земли" и разводки, хотя на макетке у меня был монтаж максимально короткими проводниками. Не удивительно, что некоторые наблюдают повышенный уровень шумов при, мягко говоря, не очень хорошем монтаже. Сейчас собираюсь запаять микросхему ЦАПа передатчика, хотя у меня дешевая китайская микросхема, есть сомнения что это не подделка. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1017] Автор : Livas60 Дата : 21.04.2018 09:51 Юрий, добрый день. Фото и файлом печатной платы поделитесь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1018] Автор : EU1SW Дата : 21.04.2018 09:55 Юрий, в чипедипе есть AD9744, это на случай если ваши ЦАПы окажутся из дерева ) только у нее 3.3 вольта максимум питания -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1019] Автор : RV3DLX Дата : 21.04.2018 10:37 Василий, фото чуть позже сделаю, а файл наверно здесь выложу, может быть кому то будет полезен. Сергей, спасибо за информацию, я уже такой вариант рассматривал. Сейчас разделаюсь с домашними делами и попробую запаять микросхему. Юрий. Василий, фото чуть позже сделаю, а файл наверно здесь выложу, может быть кому то будет полезен. Сергей, спасибо за информацию, я уже такой вариант рассматривал. Сейчас разделаюсь с домашними делами и попробую запаять микросхему. Юрий. Добавлено через 35 минут(ы): Вот как и обещал файл печатки и фотки. Пока еще не все напаяно. В отличии от варианта Василия разведены оптроны, ULN2003, транзистор на выход РТТ (пока не запаяны, жду доставки из ЧИПА). Вместо модуля УВЧ, который у Василия, будет стоять модуль на котором будет драйвер передатчика, аттенюатор и медленный АЦП для измерения КСВ и мощности. Пока с типом этого АЦП не определился, поэтому этот модуль окончательно не развел, но место на кросс-плате под этот модуль предусмотрено. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1020] Автор : RC3ZQ Дата : 21.04.2018 11:28 Не удивительно, что некоторые наблюдают повышенный уровень шумов при, мягко говоря, не очень хорошем монтаже.Если это "камень в мой огород" то я не удивляюсь тому что у Вас шум пролезет от опоры которая находится бутербродом над АЦП. Я развернул разъем sma вместе с кабелем (96мгц) и у меня дорожка упала шумовая до -140дб. А у Вас это все с верху. Больно сомневаюсь я что анализ Ваш По сравнению с тем вариантом, что был сделан у меня на макетнице, шумовая дорожка упала немного, но самое главное ее уровень стал одинаковым на всех диапазонах (раньше на десятке ее уровень был на 6-8дБ выше). правдив! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1021] Автор : EU1SW Дата : 21.04.2018 11:42 шум от опоры? ) тут что то требует вмешательства... ) цепи питания например если шумит опора или ее питание - все остальное уже не имеет значения Это базовое знание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1022] Автор : RV3DLX Дата : 21.04.2018 11:59 Если это "камень в мой огород" Я ни в чей огород камня не кидал, здесь много примеров "сопливого" монтажа. Ничего у меня не шумит, сомневаться Ваше право, но меня это как то не волнует. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1023] Автор : UF3K Дата : 21.04.2018 12:24 287491 Сергей еще несколько дней назад просил выложить, а я не к делу разболелся. Чувствую назрело. Это шумовая дорожка калиброванного по уровню макета трансивера (блоки соединены навесным монтажом) при включенном УВЧ с усилением 16 дБ. Вход УВЧ терминирован на 50 Ом. На всех диапазонах с точностью до 1 дБ одинаково. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1024] Автор : RC3ZQ Дата : 21.04.2018 12:36 RX3QFM, Владимир, а при выключенном УВЧ какая цифра? Навесной монтаж остался тот же как и на ранних фото которые были в этой ветке форума опубликованы Вами? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1025] Автор : UF3K Дата : 21.04.2018 12:39 Грубо на 16 дБ хуже будет. Монтаж тот-же. PS: Кстати, для ищущих чувствительность. Полезно плату АЦП после установки заземлить коротким проводником от земли входного разъема на общую землю. Если это помогает, то логично зачистить маску вокруг крепежных отверстий с этой же стороны, залудить, и дополнительно заземлить через крепежные стойки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1026] Автор : RC3ZQ Дата : 21.04.2018 12:43 здесь много примеров "сопливого" монтажа. Много, я не спорю с вами Юрий. Но почему то эти примеры были примерами для подражания и не у кого из этих примеров проблем с шумом не было. Ну да ладно ..каждый хвалит свою колокольню:ржач: RX3QFM, В каком смысле хуже? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1027] Автор : UF3K Дата : 21.04.2018 12:51 В каком смысле хуже? В прямом. Вы спросили, что будет без УВЧ. Без УВЧ шумовая дорожка примерно на 16 дБ будет выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1028] Автор : Genadi Zawidowski Дата : 21.04.2018 12:54 Это шумовая дорожка калиброванного по уровню макета трансивера (блоки соединены навесным монтажом) при включенном УВЧ с усилением 16 дБ. Вход УВЧ терминирован на 50 Ом Для какой полосы пропускания приеменика? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1029] Автор : EU1SW Дата : 21.04.2018 12:57 В каком смысле хуже? Вы видимо не совсем понимаете роль УВЧ в результирующем шумовом факторе приемной системы. В самом прямом, почти ровно на коэффициент усиления УВЧ шумовая дорожка поднимется. Разумеется при условии выполнения калибровки, потому что без калибровки "меряться" шумовой дорожкой смысла нет, от слова "совсем"... Для какой полосы пропускания приеменика? На скрине видны кнопки, 500 Гц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1030] Автор : Genadi Zawidowski Дата : 21.04.2018 13:01 видны кнопки То что телеграф видно, я не знал что в powersdr это железно 500 герц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1031] Автор : EU1SW Дата : 21.04.2018 13:02 Геннадий, кнопки полосы в правом нижнем углу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1032] Автор : LY3OS Дата : 21.04.2018 14:47 Пока жду детали с Али, возникла пара вопросов: 1. Если я не работаю CW, то ставить плату аудио кодека WM8731 не имеет никакого смысла? 2. AD8607 наверно можно заменить почти любым операционником, например NE5532? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1033] Автор : EU1SW Дата : 21.04.2018 14:56 Олег, добрый день! вопросы абсолютно не новые, уже обсуждали раз надцать 1. целесообразность и смысл зависит от того, что вы хотите получить в результате 2. можно вообще не ставить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1034] Автор : Livas60 Дата : 21.04.2018 15:26 AD8607 наверно можно заменить почти любым операционником, например NE5532? Желательно применять rail-to-rail операционник, допускающий работу при питании 3.3В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1035] Автор : LY3OS Дата : 21.04.2018 15:43 Василий, у вас в схеме на пин AIN6 медленного ADC приходит питание через стабилитрон 3V3 с контакта 13.8V detect. Если можно - объясните коротко, для чего это? 287509 А так-же: в MCP3204 только 4 входных IN'a. На который из них перебросить все с AIN6? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1036] Автор : Livas60 Дата : 21.04.2018 15:52 Для контроля напряжения питания трансивера. Можно не распаивать эту цепь. Я не проверял поддерживается ли эта функция в последних версиях PowerSDR. Стабилитрон служит для защиты входа АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1037] Автор : EU1SW Дата : 21.04.2018 15:55 А в MCP3202 только 2 входных IN, для FWD PWR и REV PWR И вопрос даже не стоит, не так ли? ) поддержавается ли эта функция в последних версиях PowerSDR Я не нашел -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1038] Автор : LY3OS Дата : 21.04.2018 15:56 Ну на всякий случай, всегда лучше уточнить :beer: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1039] Автор : RV3DLX Дата : 21.04.2018 16:16 Как ни странно, микросхема ЦАПа за купленная в Китае за 20 рублей заработала. Выдает чистый синус, посмотрел двухтоновый сигнал, интермода хорошая, точные измерения сделаю позже. LY3OS, операционный усилитель AD8607 может работать от однополярного напряжения 3,3В. Ставить его вообще нет никакого смысла, т.к. вход опоры ЦАПа имеет очень высокое входное сопротивление, так зачем ставить еще и повторитель на операционнике. Только что это проверил (такого операционника у меня не было), выходное напряжение с выхода ЦАПа меняется от нуля до максимума при перемещении движка DRIVE. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1040] Автор : EU1SW Дата : 21.04.2018 16:42 Я ж говорил, я не ставил... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1041] Автор : RV3DLX Дата : 22.04.2018 09:51 Посмотрел ИМД передачи с дешевой китайской микросхемой ЦАП. Измерения показали, что ИМД ухудшается с повышением частоты, например на 80-ти метровом диапазоне 60дБ (картинку привожу), а на 10-ке уже только 40. Уровень ИМД от положения движка DRIVE не зависит. Видимо микросхема не совсем кондиционная? Правда питание на ней 3,3 Вольта. Нужно попробовать подать 5 Вольт. Было бы интересно посмотреть подобную картинку от других, собравших это устройство. Юрий. P.S. Сигнал подавал прямо с выхода трансформатора ЦАП на вход приемника через аттенюатор 40дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1042] Автор : EU1SW Дата : 22.04.2018 09:54 -80 на 80, и -70 на 10, +5 на аналоге. Аттенюатор лишний. С ним вы измеряете имд АЦП на уровнях -40 дбфс, а не имд ЦАП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1043] Автор : RV3DLX Дата : 22.04.2018 10:08 Сергей, спасибо! Но без аттенюатора у меня перегружается приемник. Подозреваю, что это УВЧ уже входит в нелинейный режим, он у меня не отключаемый. Попробую отключить УВЧ. Юрий. P.S. Уменьшил аттенюатор до 20дБ, перегрузки приемника еще нет, а ИМД действительно стал лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1044] Автор : EU1SW Дата : 22.04.2018 10:11 ааа, понятно ) да, тогда конечно перегрузится, вот в предыдущей теме, когда еще только начиналось, были картинки на шумовом сигнале, выход ЦАП на вход АЦП http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1370889&viewfull=1#post1370889 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1045] Автор : RV3DLX Дата : 22.04.2018 10:29 EU1SW, Сергей, отключил УВЧ и почти все встало на свои места. Немного похуже чем у Вас, но уже не плохо, -70 на 80 и -60 на 10. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1046] Автор : EU1SW Дата : 22.04.2018 10:40 о! так можно и режим УВЧ покрутить тудыть/сюдыть, по минимуму ИМД ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1047] Автор : RV3DLX Дата : 22.04.2018 10:44 о! так можно и режим УВЧ покрутить тудыть/сюдыть, по минимуму ИМД ) Сергей, конечно подкручу, на неделе должны прислать BFG591, пока стоит КТ355. Вот так сейчас с ИМД, без усилителя и аттенюаторов. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1048] Автор : EU1SW Дата : 22.04.2018 10:45 Немного похуже чем у Вас, но уже не плохо, -70 на 80 и -60 на 10. так на шуме так и есть да, я ж подзабыл, у вас 355 ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1049] Автор : RV3DLX Дата : 22.04.2018 10:51 Так что оставляю китайский ЦАП, а то уж хотел Чипу с Дипом тысчонку с лишним отдать, пока не буду.:-P:-P:-P Юрий. P.S. Пока отключал УВЧ, снял экранчики с УВЧ и генератора, ничего они не дают в плане шумовой дорожки приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1050] Автор : Livas60 Дата : 22.04.2018 12:27 Проверил у себя. Плохо... Привожу два скрина при положении регулятора Drive 0 и 100, соответственно: 287586 287587 На 10м похожая картина. И куда копать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1051] Автор : EU1SW Дата : 22.04.2018 13:08 Для начала PSDR рестартовать и проверить еще раз -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1052] Автор : Livas60 Дата : 22.04.2018 16:32 Вынужден был прерваться, прошу прощения. В свое время, на момент доработки модуля АЦП не было в наличии номинала 270nH на керамике в наличии, временно поставил на феррите. Сейчас вспомнил, заменил индуктивность во входном ФНЧ АЦП на керамику. Заодно перепаял питание аналоговых узлов АЦП с 3.3В на 5В. Сейчас зашел на форум и увидел Вашу, Сергей, рекомендацию по рестарту.:smile: Новые скрины при положении Drive 0, 40 и 100, соответственно: 287599 287600 287601 Сергей, что подскажете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1053] Автор : EU1SW Дата : 22.04.2018 18:10 Выглядит печально... Я не знаю, что Вам подсказать, насколько я знаю, Юрий загружал себе файл прошивки полученый от Вас, а его экран выглядит несколько по другому... Честно говоря даже на 12 битах это выглядит бодрее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1054] Автор : Livas60 Дата : 22.04.2018 18:11 Нашел.:smile: Непропай 71 пина ПЛИС, на котором находится входной сигнал DD8 для ЦАП.:oops: Сейчас стало так: 287619 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1055] Автор : EU1SW Дата : 22.04.2018 18:18 ну и отлично, а я на всякий случай уже с 12 битного АЦП снял скрины ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1056] Автор : Livas60 Дата : 22.04.2018 19:06 Сергей, прошу прощения за офтоп, на вашем скрине последняя версия PowerSDR и корректно отображается окно управления VFO. Это какой-то модифицированный скин? 287627 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1057] Автор : EU1SW Дата : 22.04.2018 19:19 Полистайте тут http://www.w1aex.com/hpsdr/hpsdr.html у меня установлена K2GX "World Time" Skin (http://www.w1aex.com/hpsdr/K2GX_World_Time.zip) 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1058] Автор : UN7RX Дата : 22.04.2018 19:23 Собираю сейчас платы и столкнулся с тем, что везде приходится ставить нулевые резисторы вместо ферритовых бусинок. Вроде и плат с СМД вагон и маленькая тележка, откуда что угодно можно снять, а вот как то непопулярны они у производителей, что ли. Вернее, они конечно есть, но типоразмерчик совсем не 1206 и 0805. Чувствую, аукнется мне это...:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1059] Автор : Livas60 Дата : 22.04.2018 19:34 Так в том то и дело, что этот же скин отображается "криво": 287629 Какое разрешение у Вас установлено? У меня 1920х1080. Больше монитор не позволяет. Роберт, я тоже сначала выковыривал бусины из старых плат. В видеокартах есть. Потом надоело, заказал по 100штук 1206 и 0805. Пока есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1060] Автор : RV3DLX Дата : 22.04.2018 19:41 Чувствую, аукнется мне это Нормально все будет, Роберт. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1061] Автор : EU1SW Дата : 22.04.2018 19:47 Так в том то и дело, что этот же скрин отображается "криво": Возможно причина в том, что я накатывал последнее обновление на PSDR достаточно старой версии с уже установленным скином сейчас попробовал на новую версию поставить скин - показывает криво на ноуте показывает ровно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1062] Автор : UF3K Дата : 23.04.2018 08:10 2 RV3DLX: Юрий, вопрос родился. А какая у Вас плата FPGA применяется? Она на фотографии не похожа на платы с Aliexpress. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1063] Автор : RV3DLX Дата : 23.04.2018 10:23 А какая у Вас плата FPGA применяется? Владимир, эту плату здесь рекомендовал Василий и он же сделал под нее кросс-плату. Я там запаивал другую Альтеру, т.к. там изначально стояла 6К. Куплена на Али. https://ru.aliexpress.com/item/ALTERA-FPGA-development-board-core-board-CYCLONE-IV-EP4CE-TFT-video-card/32535007308.html?spm=a2g0s.9042311.0.0.AXT4G4 Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1064] Автор : RV3DLX Дата : 25.04.2018 08:13 Вчера получил транзисторы BFG591 и при попытке запаять транзистор в свою плату обратил внимание на свою ошибку, не правильная у меня распиновка этого транзистора. Так что. если вдруг кто то будет повторять мою плату, файл которой выложен в сообщении 992, учтите это. Транзистор конечно запаял, УВЧ работает, усиление 19дБ, работает устойчиво, "возбудов" нет. Плату переразвел, если кому будет нужен новый файл, пишите в личку. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1065] Автор : UN7RX Дата : 26.04.2018 21:58 Никак не могу скомпилировать последний вариант 22к который выложил Сергей. В авторском варианте никаких проблем, все компилируется отлично. Но у меня иная распиновка. Во всех предыдущих модификациях можно было спокойно поменять любые нужные пины, с учетом их особенностей, конечно, о которых неоднократно упоминалось. Сейчас - ни в какую. Причем, я не трогаю новые функции - вообще на них ничего не назначаю, только основные. И то не идет, выдает просто массу ошибок. 287875 Ничего криминально вроде не делаю, именно эти пины я использую во всех предыдущих вариантах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1066] Автор : rolin Дата : 26.04.2018 22:08 UN7RX, оно пишет, что слишком много двунаправленных пинов назначено на банк 4 - 12 штук, а сам банк поддерживает только 11. То есть именно ваш вариант компоновки является причиной неудачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1067] Автор : UN7RX Дата : 26.04.2018 22:12 Хм, Давид, но до этого то все компилировалось нормально. Все задействованные пины - те же что и в прошлых проектах. Ни одного нового я не добавил, все новые возможности пока не задействованы и выводы на них не назначены. Вообще то из 4 банка всего 9 пинов использую -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1068] Автор : EU1SW Дата : 26.04.2018 22:19 Снимите ручное назначение с dipsw, и с audio l r выходов, это не обязательные функции. Начните со свичей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1069] Автор : UN7RX Дата : 26.04.2018 23:01 EU1SW, спасибо Сергей, все получилось. dipsw в моем варианте платы действительно физически выведены на кнопки (и именно пины 86-87, плата с али). И именно их прописывание вызывает ошибки. Подскажите, для чего они вообще в коде, как планировалось их использовать, почему они не влияли в прежних проектах? Это вообще единственные пины из 5-го банка, больше из него ничего нет в пинпланере. Аудиовыходы ошибки не вызывают. Спрашиваю не из любопытства, а чтобы лучше понять где могу снова нарваться на проблемы с распиновкой - мне еще массу новых функций на пины назначать нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1070] Автор : rolin Дата : 27.04.2018 05:47 чтобы лучше понять где могу снова нарваться на проблемы с распиновко При распиновке полезно пользоваться документом https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/dp/cyclone-iv/ep4ce22.pdf Правила такие: Выводы отмеченные как IO можно пользовать как угодно, но при этом выводы обозначенные как VREF следует избегать ставить на высокоскоростные шины. Вывода отмеченные как СLK можно использовать только как входы и обязательно как входы для тактовых сигналов. Высокоскоростные шины данных следует размещать в пределах одного банка или двух соседних. Пины, используемые для программирования ПЛИС тоже могут использоваться если назначено в настройках 287880 При этом нужно учитывать как эти пины используются при конфигурации ПЛИС. Если используется загрузка из флешки, то например пины DCLK, FLASH_nCЕ и ASDO можно использовать как выходы для чего-то еще, но как входы уже нельзя (во многих случаях) , иначе это помешает работе флешки. Пин nCEO можно использовать как угодно, так как во время программирования он служит (служил бы) для управления конфигурацией второй плисины из одной флешки, но это как вы понимаете дичь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1071] Автор : EU1SW Дата : 27.04.2018 05:57 Спрашиваю не из любопытства, а чтобы лучше понять Роберт, посмотрите в пинпланере, мне почему то кажется, что я вовсе убрал эти входные сигналы, за ненадобностью... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1072] Автор : UN7RX Дата : 27.04.2018 06:18 Правила такие: Давид, я это как раз и имел ввиду: с учетом их особенностей, конечно, о которых неоднократно упоминалось. Василий, ранее, специально акцентировал на этом моменте внимание всех повторяющих проект, причем сделал это очень удобно, прямо на схеме, как наглядную шпаргалку. Роберт, посмотрите в пинпланере, мне почему то кажется, что я вовсе убрал эти входные сигналы В пинпланере точно остались. Самое непонятное в том, что проблема именно с пинами 86-87, если назначить любые другие - нет проблем. Например, в вашем исходном варианте dipsw выведены на пины 24-25. И все норм, компилируется. В более ранних проектах были именно 86-87, тоже все было ок. 287881 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1073] Автор : EU1SW Дата : 27.04.2018 07:02 Надо будет совсем удалить это наследие ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1074] Автор : UF3K Дата : 27.04.2018 07:11 287882 Подробнее - https://pskreporter.info/pskmap.html?preset&callsign=rx3qfm&band=6000000-8000000&timerange=86400 Сергей просил иногда постить результаты работы. Вчера как раз переехал на лето жить на дачу. На картинке "ночь на 40 м" в FT8, антенна - наклонная дельта, верхняя точка примерно 7 м от земли, запитана под вертикальную поляризацию, т.е. почти в нижний угол. Вчера днем слушал 20 м на эту же антенну, тоже весело принимает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1075] Автор : UN7RX Дата : 27.04.2018 09:54 EU1SW, Сергей, самое смешное, что этакая техническая идиосинкразия наблюдается только у dipsw к пинам 86-87 и наоборот. :smile: То есть, dipsw можно присвоить любые другие пины и все будет нормально, а сами на пины 86-87 можно вывести любые другие сигналы - и то же все отлично компилируется. Вообще же конечно Вы правы, dipsw просто в игнор и нет проблем, вместе со пинами на светодиоды это целых 6 свободных пинов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1076] Автор : EU1SW Дата : 27.04.2018 11:05 На картинке "ночь на 40 м" в FT8 с 20-й прикольнее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1077] Автор : RV3DLX Дата : 29.04.2018 10:14 Вот что у меня получилось в окончательном варианте (ну наверно не совсем окончательный, наверно переразведу еще плату где стоит аттенюатор и драйвер передатчика, поставлю туда еще медленный АЦП для измерения мощности и КСВ, с типом его еще не определился и в руках этой микросхемы пока не имею). Сейчас займусь корпусом, усилителем мощности и фильтрами, но наработки эти у меня есть. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1078] Автор : EU1SW Дата : 29.04.2018 10:33 усилителем мощности и фильтрами, Юрий, не поделитесь ли, что планируете применить в PA? Я смастерил еще осенью двухтакт по мотивам SW на 2хBFG591+2xRD06hvf+2xRD100hhf, получаю до 80 ватт с IMD лучше -30 без задействования PureSignal -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1079] Автор : RV3DLX Дата : 29.04.2018 10:48 Сергей, я у себя использую 300-т ваттный усилитель мощности на транзисторах SD2933, для его полной раскачки достаточно 5-ти Ватт. Поэтому планирую во встроенном оконечном усилителе использовать пару транзисторов RD16 (так у меня и в Гермесе сделано). При мощности 300 Ватт эта связка имеет ИМД лучше -30-ти дБм, без коррекции. Есть у меня и усилитель на RD100hhf, но у него при мощности 100 Ватт ИМД похуже и его приходится питать чуть более высоким напряжением, порядка 19-ти Вольт. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1080] Автор : Livas60 Дата : 29.04.2018 12:08 Я заканчиваю БПФ и тоже планирую заняться усилителем. Аттенюаторы разместил на плате с полосовиками. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1081] Автор : RV3DLX Дата : 29.04.2018 13:29 В процессе изготовления, занимался оптимизацией уменьшения уровня шумов. Пришлось сделать даже две итерации платы с аттенюатором. Это так некоторым кажется, что если имеется плата сплошь металлизированная, то ее можно прекрасно использовать вместо общего провода. Но это совсем не так, если посмотреть, то по этой металлизированной поверхности бегают ВЧ токи, которые накладываются на входные высокочувствительные цепи. Вот там некоторые говорили: "зачем генератор и УВЧ располагать прямо на плате АЦП?" (RC3ZQ, это не камешек в Ваш огород, а что бы люди не наступали на грабли:-P:-P), а я считаю что это самый оптимальный вариант в плане минимизации шумов. Сам по себе генератор никак не может сделать наводки на АЦП (ведь его сигнал и так туда подается), а вот если его отнести подальше, то нужно постараться что бы в АЦП вместе с сигналом от этого генератора не попадала всякая гадость. Ведь в таком случае он подключен к "земле" которая не эквопотенциальна по ВЧ земле АЦП. Потом линия передачи, она должна быть согласована по сопротивлениям (врядли кто то занимался этим согласованием. Все это так же относится и к УВЧ. Всем успехов в постройке этого трансивера! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1082] Автор : RC3ZQ Дата : 29.04.2018 15:26 Ведь в таком случае он подключен к "земле" которая не эквопотенциальна по ВЧ земле АЦП. Здравствуйте, Юрий. Тут Вы хотите сказать что если генератор будет расположен чуть далее от АЦП и соединен кабелем то возможны попадания "всяческих" сигналов? У Вас в плату (УВЧ-96мгц генератора) sma разъемы впаяны? На прямую прикручиваете? Условный "минус" sma разъемы на плате УВЧ-Ген 96мгц имеют с платы? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1083] Автор : RV3DLX Дата : 29.04.2018 16:08 RC3ZQ, Сергей, здравствуйте! У меня SMA разъемы на плате АЦП не используются (они конечно не мешают, но удалю их наверно). Сигналы с генератора и УВЧ подаются на плату АЦП кратчайшим путем через штыревые разъемы (места на плате АЦП под них предусмотрены). Да и причем здесь SMA? Какие бы разъемы Вы не использовали, но если генератор отнесен достаточно далеко, и его "земля" территориально далеко от "земли" АЦП, то между этими точками неизбежно есть разность ВЧ потенциалов и эта помеха будет приложена к тактовому входу АЦП. Да еще и достаточно длинная линия, которая скорее всего не согласована, то по этой линии будут бегать всякие отражения, которые то же приносят вред. Еще более худшая ситуация будет с отнесенным далеко УВЧ, т.к. там уровни сигналов совсем маленькие и соизмеримы с уровнем наведенных помех. Всего доброго! Успехов! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1084] Автор : VFO Дата : 29.04.2018 16:19 Сам по себе генератор никак не может сделать наводки на АЦП Может. Попадание тактовой частоты на вход АЦП смещает начальную "линию развёртки". На фоне нескольких тысяч (значений отсчётов) это единицы-десятки, но на эту величину будет потерян динамический диапазон. Поэтому в некоторых АЦП с широкими возможностями программного управления режимами есть возможность запрограммировать сдвиг начальных значений для компенсации этого явления. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1085] Автор : UF3K Дата : 30.04.2018 08:03 Потом линия передачи, она должна быть согласована по сопротивлениям (врядли кто то занимался этим согласованием. Юрий. У меня после трансформатора (который на входе опорного сигнала АЦП) стоит резистор 200 Ом и 2 встречно параллельных диода Шоттки. Платка с генератором на 2-хсторонний скотч приклеена к плате АЦП и соединена с разъемом пайкой кабелем 50 Ом длиной около 2 см. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1086] Автор : RV3DLX Дата : 30.04.2018 08:57 Владимир, у меня на выходе генератора так же стоит резистивный делитель и диоды Шоттки параллельно трансформатору. Ну а кабелем, при таком расположении, было соединять бессмысленно, т.к. это соединение получилось очень короткое. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1087] Автор : Radiotester Дата : 02.05.2018 10:47 Приветствую. В проекте для 10к "Обновление от 16.04.2018, полный фарш от Сергея" нет пинов в пин-планере для юзераут и модуля кодека. Их нужно самостоятельно добавить и можно собирать в прошивку? Владимир, у меня на выходе генератора так же стоит резистивный делитель и диоды Шоттки параллельно трансформатору. Ну а кабелем, при таком расположении, было соединять бессмысленно, т.к. это соединение получилось очень короткое. Юрий. Юрий,а номиналы делителя и с какой стороны транса диоды стоят? По вторичке как и рекомендовал Василий в форуме тут? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1088] Автор : RV3DLX Дата : 02.05.2018 11:42 Юрий,а номиналы делителя и с какой стороны транса диоды стоят? С выхода генератора конденсатор 10n, резистор 200Ом и 150Ом на землю. Диоды параллельно вторичной обмотке трансформатора. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1089] Автор : EU1SW Дата : 03.05.2018 12:10 от жешь, выдули таки слона... ) даташит открываем на adt4-1wt видим ratio как соотношение ВТОРИЧНОй к первичной равное 4 таким образом имеем обычный 1:4, без привлечения экзотических сущностей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1090] Автор : UN7RX Дата : 03.05.2018 12:20 от жешь, выдули таки слона... Точно, приношу всем свои извинения. Я на трансформаторах от MACOM заклинил, а там действительно есть и те и другие, причем, 4:1 там это и означает. Непонятно, что помешало Minicircuits не заниматься идиотизмом и не обозначить трансформатор ADT1-4, primary/secondary. :evil: Сорри. Одной, самому себе придуманной проблемой, меньше. Вечером проверю советы Владимира по разрядам и буферу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1091] Автор : EU1SW Дата : 03.05.2018 12:37 Вечером проверю советы Владимира по разрядам и буферу. это вероятнее... но что б "волнами" затухало - я такого не видел, чес слово ) наводки какие нибудь по петле, PTT проверьте, что б не заклинило на передачу, если не подтянуто вверх, на некоторых входах нет подтягивающих резисторов... как говорится, вы ж там на месте, а нам отсюда не видно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1092] Автор : Radiotester Дата : 03.05.2018 13:22 Господа а при отключеном к.г 50мгц (сдут резистор) повторно прошивка зальется? Восстанавливать не нужно для прошивки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1093] Автор : EU1SW Дата : 03.05.2018 13:26 а у вас уже не льется, или вы придумываете варианты, что бы пообщаться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1094] Автор : Radiotester Дата : 03.05.2018 13:51 Спросил на будущее. Переделываю с макетки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1095] Автор : EU1SW Дата : 03.05.2018 14:42 понимаете ли, там что бы проверить, удовлетворить свое любопытство и убедится - достаточно было только нажать одну кнопку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1096] Автор : UN7RX Дата : 04.05.2018 10:02 Нашел причину. Два дня помикронно обследовал TRX, а проблема была совсем в другом. Еще один пунктик в копилку нежданных косяков. Питание модулей у меня разбито на 2 стабилизатора по 5в. Одно плечо для больших токов, к нему подключены АЦП и Альтера и второе для остального. Так вот, проблема была в стабилизаторе первого канала. Обычная L7805CV от ST, взятая из упаковки. После включения выдавала 5В, а потом примерно в течении 25-30 секунд напряжение падало до 3в. Я уже не стал смотреть осциллографом, но судя по всему, все это носило колебательный характер, откуда и такой эффект "дергания". Поменял на новуют микросхему, на этот раз специально снятую с профессионального оборудования, от ON. Все запустилось без проблем. :-| Просьба - ткните плиз в процедуру калибровки. Пока после включения шумовая дорожка в районе -140дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1097] Автор : Aivarss Дата : 04.05.2018 10:08 UN7RX, если я правильно понял о чём речь, то PowerSDR -> Setup -> Calibration. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1098] Автор : RV3DLX Дата : 04.05.2018 10:22 Роберт, здравствуйте! Мне кажется, что если у Вас 2 стабилизатора 5 Вольт, то разбить питание более правильно по другому. Я сделал так, от одного стабилизатора питаю цифровые цепи, т.е. плату Альтеры, а она в свою очередь раздает напряжение 3,3В на плату LAN, кодек и цифровую часть АЦП. От другой КРЕНки питаю модуль АЦП. Причем, на стабилизаторе который питает модуль с Альтерой установил напряжение всего 4 Вольта, при этом сильно снизился нагрев этого модуля. Что касается калибровки, то процедура простая, подаете на вход приемника сигнал известного уровня (в дБм), идете по пути который привел выше Aivarss, устанавливаете в окошке значение уровня сигнала и нажимаете кнопку пуска. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1099] Автор : EU1SW Дата : 04.05.2018 10:29 устанавливаете в окошке значение уровня сигнала И частоту... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1100] Автор : RC3ZQ Дата : 04.05.2018 10:51 Одно плечо для больших токов, к нему подключены АЦП и Альтера и второе для остального. У меня точно так же сделано. Но косяк был по хлеще, через раз запускался трансивер. Бывало нужно было передернуть питание с альтеры или общее 12в. Стояла L7805 (с алика купленая), просадки напряжения не было. Стабилизатор видимо в момент запуска не вытягивал. Замена на аналогичный не к чему не привела. Поставил туда lt1083 в TO220 и все стало нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1101] Автор : EU1SW Дата : 04.05.2018 10:53 Ну и вдогонку, мне совершенно не хотелось на ровном месте нажить себе головняк с иголками по питанию, поэтому дабы не допущать ), от одной 5 вольтовой запитал весь аналог part (аналоговое питание АЦП/ЦАП), а со второй 5 вольтовой КРЕНки - плату ФПГА, которая имеет свой стабилизатор 3,3 для запитки периферии, мне это показалось достаточно очевидным решением, что бы на нем отдельно останавливаться... З.Ы. А на эти КРЕНки 5 вольтовые питание поступает через 9 вольтовую, которая размещена на радиаторе, и рядом с ней 5 вольтовая для цифрового домена, эти больше всего греются -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1102] Автор : UN7RX Дата : 04.05.2018 10:56 Юра, спасибо, надо будет посмотреть что больше потребляет, АЦП или Альтера, по моему АЦП больше, греется несравненно сильнее. От этого зависит как распределить подключения, что на какой стабилизатор, у них разное охлаждение. Собственно, мощный стабилизатор Альтеры на 3.3В особо и не греется, а сама ПЛМ от него питается. Посмотрю на ст. 2.5 и маленький на 3.3, как они... от одной 5 вольтовой запитал весь аналог part (аналоговое питание АЦП/ЦАП), а со второй КРЕН плату ФПГА, которая имеет свой стабилизатор 3,3, мне это показалось достаточно очевидным решением, что бы на нем отдельно останавливаться... Сергей, еще в начале темы этот момент обсуждался, поэтому и в первом варианте и в текущем, я изначально делал 2 раздельных стабилизатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1103] Автор : EU1SW Дата : 04.05.2018 11:01 но вы же написали что с одного стабилизатора питаете и АЦП и ФПГА... Одно плечо для больших токов, к нему подключены АЦП и Альтера а это не то же самое что одна крен для ацп, а вторая для фпга. С другой стороны - работает, и хорошо ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1104] Автор : UN7RX Дата : 04.05.2018 11:46 но вы же написали что с одного стабилизатора питаете и АЦП и ФПГА... Это случайный момент, то есть они так были включены после замены стаба. Там все на разъемах, я потом фото выложу, поймете что я имею ввиду, все решается переброской из одного разъема в другой. Почему я и не стал делать жесткую кросс-плату, там все одноразово. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1105] Автор : EU1SW Дата : 04.05.2018 11:56 Интересно, буду ждать фото -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1106] Автор : RV3DLX Дата : 04.05.2018 13:22 Измерил токи потребляемые от "аналоговой" и "цифровой" КРЕНок. Аналоговые цепи без запуска программы - 405 миллиампер. Аналоговые цепи с запущенной программой - 460 миллиампер. Цифровые цепи без запуска программы - 315 миллиампер. Цифровые цепи с запущенной программой -325 миллиампер. Так что обе КРЕНки загружены примерно одинаково при таком раскладе питания. В эти измерения не вошли токи, которые потребляют УВЧ и драйвер передатчика, они у меня от 12-ти вольт питаются. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1107] Автор : RC3ZQ Дата : 04.05.2018 20:09 Форумчани, доброго вечера. Может кто объяснить для чего нужен PIN "CLRCIN"? В схеме #823 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-трансивер-с-интерфейсом-Ethernet-из-модулей-с-Али&p=1498728&viewfull=1#post1498728) его нет. Похоже с аудио кодеком связано, но увы понять не могу... Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1108] Автор : Livas60 Дата : 04.05.2018 20:45 У меня в промежуточной версии был CLRCIN. Напишите в приват. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1109] Автор : EU1SW Дата : 04.05.2018 20:48 так понятно будет? один пин экономим -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1110] Автор : RC3ZQ Дата : 04.05.2018 21:27 EU1SW, Сергей, добрый вечер. То есть если конечно верно понял в pin planer назначаем на CLRCIN и CLRCOUT один и тот же pin? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1111] Автор : EU1SW Дата : 04.05.2018 21:55 если конечно верно понял Добрый вечер! разумеется - нет... CLRCIN - никуда не назначайте, забудьте о нем обновлю завтра, что б не маячило -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1112] Автор : UN7RX Дата : 06.05.2018 09:45 Наконец то рабочая неделя закончилась, появилась возможность снова вернуться к паяльнику. :smile: Как я уже упоминал, от жесткой кроссплаты я отказался, потому что это исключало быструю модификацию схемы при необходимости, а резать дорожки и заниматься прочим колхозом, совсем не комильфо. Поэтому был выбран такой вариант. "Сборная по DDC/DUC" :ржач: 288475 288476 288477 Собрано. 288478 УВЧ вынесен, будет в блоке BPF. Кодек мне не нужен. Сейчас нужно рисовать печатку для УМ и прикину схему BPF, тоже ПП нужно рисовать. Далее посмотрим. Вопрос для всех кто использует последнюю прошивку. Посмотрите плиз, что у вас на выводах Альтеры 76, 77, 83, 85. Для тех у кого такая плата как у меня, со светодиодами на этих выходах, достаточно посмотреть на них. Вот такая картинка у меня: 288479 Это наблюдается только в последней прошивке. Само собой в пинпланере я их никак не задействую. Если перезалить прошивку, ничего в ней не меняя, порядок свечения светодиодов может поменяться случайным образом. Это не влияет на работу, но поскольку выводы имеют на выходах задействованный непонятным образом, определенный уровень, то применение их в случае расширения, как резервных портов (а они быстродействующие IO), под вопросом. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1113] Автор : Radiotester Дата : 06.05.2018 10:01 UN7RX, Приветствую, Роберт. Если есть свободная минутка выложите проект с Вашим вариантом локаци pin. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1114] Автор : RV3DLX Дата : 06.05.2018 10:37 Кодек мне не нужен Роберт, здравствуйте! Вот это напрасно. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1115] Автор : UN7RX Дата : 06.05.2018 10:51 Вот это напрасно. Привет Юра! Почему? Что кроме уменьшение задержки в CW мне это дает? Если есть sound balster, почему ее не использовать? Кстати, на фото есть длинная узкая плата, расположенная на внешней стенке блока. На ней есть и УМЗЧ, кторый можно подключить как к SB, так и к аудиовыходам которые предусмотрел Сергей для прямого вывода звука из Альтеры. Если есть свободная минутка выложите проект с Вашим вариантом локаци pin. Зачем вам целый проект? Он ничем не отличается от вашего. Нужен только один файл. Не забудьте свой зарезервировать. 288482 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1116] Автор : UF3K Дата : 06.05.2018 12:09 Роберт, здравствуйте! Вот это напрасно. Юрий. Юрий, я пробовал работать в CW на интегрированную звуковую карту без ASIO, до 150 зн/мин не напрягало, а в WW CW на PowerSDR просто работать IMHO не стоит. Вот для автономного радио на RaspberryPi, он 100% нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1117] Автор : RV3DLX Дата : 06.05.2018 12:31 Почему? Ну вот у меня уже много лет работает трансивер на базе Гермеса, там кодек есть. Я всегда (наверно так уж у меня устоялось) использую выходы и входы этого кодека. Во многих случаях получается более гибкая структура. И вот как правильно заметил Владимир, если делать автономный трансивер (а Вы Роберт вроде бы собираетесь это делать), то кодек совсем не будет лишним. Юрий. P.S. Тем более, прочитал на просторах этого форума, что Сергей подумывает сделать автономный трансивер на основе этих китайских модулей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1118] Автор : UN7RX Дата : 06.05.2018 13:11 Ну вот у меня уже много лет работает трансивер на базе Гермеса, там кодек есть. Я всегда (наверно так уж у меня устоялось) использую выходы и входы этого кодека. Во многих случаях получается более гибкая структура. Юра, Вы так и не обосновали в чем плюс кодека. То что он есть в Гермесе совсем не аргумент. "Гибкость" - понятие относительное, по звуковым входам можно и SB "гнуть" как угодно, да еще и софтово работать со звуком вне собственно железа трансивера. Так что скорее всего это дело привычки. Я ведь не просто так отказался от него, стоит он по сути копейки и поставить его совсем не сложно, но для этого нужно иметь решающие аргументы. Как я уже сказал, выход звука можно даже с Альтеры взять, если уж так критично что-то по миллисекундам, а вот использовать микрофонный фход SB милое дело, тут и ESSB реализовать можно на любой вкус. Ну ок, это не критично. Как совершенно верно заметил Владимир: Вот для автономного радио на RaspberryPi, он 100% нужен. Если задумаю делать еще один TRX, причем на этот раз именно компактный и переносной, благо у меня лежит еще одна Альтера с 10к ПЛМ, то там кодек будет на месте, ибо там не будет мощного процессора, который способен обработать все что нужно. Впрочем, я пока гоняю модуль со своим десктопом мощность которого запредельна для таких вещей. Попробую запустить его с материнкой, которую приобрел специально для трансивера, сразу будет видно что и как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1119] Автор : RV3DLX Дата : 06.05.2018 14:47 тут и ESSB реализовать можно на любой вкус В последних версиях PowerSDR уделено много внимания формированию звука на передачу, не всякая звуковая карта это сможет сделать. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1120] Автор : EU1SW Дата : 06.05.2018 18:04 Юрий, версиям ПСДР по барабану, или по бубну, откуда брать микрофонные семплы... из гермеса или из саунбластера сделает она с ними одно и тоже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1121] Автор : UN7RX Дата : 06.05.2018 18:23 Наконец то собрал все железо в комплекте, сам TRX + ITX MB которую покупал специально под этот проект. После фиаско с Атомами от интела, с некоторой опаской ждал как себя поведет эта мать. Но она превзошла все ожидания, доволен до чертиков! Немного скринов. Уровень шума (на входе резистор 50ом) на всех диапазонах примерно одинаков, чуть выше на 15, примерно на 3-4дБ 288506 загрузка процессора с двумя "тяжелыми" фильтрами - Атом D2550 они убивали в подвес: 288507 Ну и самое прикольное, на чем все это смотрится: 288509 Дисплей TFT 7'. Видеоинтерфейс будет доработан как "железно", так и программно. Вместо HDMI будет LVDS, благо он на плате есть. А интерфейс самой программы будет переделан и капитально, так как будет аппаратное управление, уберутся все эти ненужные многочисленные движки, кнопки и прочие ненужные вещи, укрупню все что необходимо. Хорошо бы если подойдет уже переделанный интерфейс который я делал под дисплей 8" когда то, но там под очень старую версию SDR. Впрочем, подойдет как образец. Сергею очередной раз огромное спасибище за конструкцию! :up: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1122] Автор : EU1SW Дата : 06.05.2018 18:32 Роберт, с-метр не калибровали? З.Ы. Пожалуйста, и пока коллеги рвут майки в соседней теме, крутить или не крутить рч/пч/аттенюатор в трансиверах за пару тысяч долларов, мы тут потихоньку, за недорого ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1123] Автор : UN7RX Дата : 06.05.2018 18:38 Сергей, пока нет.:-( Завтра разберусь что у меня есть из генераторов с нормированным выходом и попробую откалибровать. "Чистого" генератора" у меня нет, они все в составе разных приборов, разумеется аттенюаторами и т.д., поэтому посмотрю чем удобнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1124] Автор : RV3DLX Дата : 06.05.2018 19:06 мы тут потихоньку, за недорого Это совершенно правильно, работает хорошо! Сергей, только я немного не понял Ваше замечание насчет микрофонных семплов. Я имел ввиду, что в программе Power SDR, при работе в SSB, можно сделать хороший звук без участия звуковой карты. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1125] Автор : EU1SW Дата : 06.05.2018 19:20 И я именно о том, в ПСДР можно сделать звук безотносительно того, куда включен микрофон. В набортный кодек или в звуковую. Другое дело в том, что может быть кому то недостаточно возможностей ПСДР, либо имеет уже стек обработок, либо еще варианты, например не умеет крутить ручки в ПСДР, теряется в обилии ручек в этой самой ПСДР, или не работают в SSB ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1126] Автор : UN7RX Дата : 06.05.2018 19:27 Я имел ввиду, что в программе Power SDR, при работе в SSB, можно сделать хороший звук без участия звуковой карты. Юра, я отвечу, перефразируя - можно сделать хороший звук на уже имеющейся звуковой карте, чем специально приобретать и усложняя схему, ставить внешний, дополнительный кодек. ;-) мы тут потихоньку, за недорого Недорого - это точно, но не потихоньку, а с оркестром и барабанами! http://www.cqham.ru/forum/images/smilies/108_biglaugh.gif Завтра попробую откалибровать и потом засяду за рисунок ПП УМ. Ну и нужно вообще проработать всю структуру трансивера, начиная от блока питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1127] Автор : Aivarss Дата : 06.05.2018 19:40 UN7RX, напомните публике, что за материнка, экран ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1128] Автор : UN7RX Дата : 06.05.2018 20:53 Дисплей любой подойдет, что найдете на Али, на 7-8-9 дюймов и нужное разрешение. Я свой покупал минимум лет 5-6 назад, лежал без дела. MB уже упоминал ранее, это одна из модификаций на базе процессора A6-5200. Мой вариант платы для промышленного применения, со всевозможными портами, маркировка PA-FT3, подарок от одноклассника, лет 15 уже живущего в Китае, купил где то там ее мне. На Али и Ибее я таких не видел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1129] Автор : UN7RX Дата : 07.05.2018 10:28 Откалибровал приемник. Куда то запрятал внешний переключаемый аттенюатор с высокозащищенной экранировкой, поэтому калибровал на уровне -63дБв, то есть 50+10, потому что мог гарантировать не более -80дБ (использовал -76) без паразитного просачивания. 288557 Шумовая дорожка не изменилась, от 20 до 10 метров такая: 288558 От 160м до 30м включительно, уровень S-метра не меняется (что конечно офигительно), а вот шумовая дорожка падает до уровня нижней кромки экрана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1130] Автор : EU1SW Дата : 07.05.2018 11:00 Роберт, что то не то у вас... Вы можете хоть -30 давать, нужно только указать верное значение в процедуре калибровки и проверьте, не перепутано ли у вас управление УВЧ, и есть ли он вообще? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1131] Автор : Livas60 Дата : 07.05.2018 11:01 Роберт, добрый день. Пожалуйста, если можно, покажите шумовую дорожку в режиме CW, полоса 500Гц, RX1 meter в режиме Sig Avg. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1132] Автор : UN7RX Дата : 07.05.2018 12:45 Вы можете хоть -30 давать, нужно только указать верное значение в процедуре калибровки и проверьте, не перепутано ли у вас управление УВЧ, и есть ли он вообще? УВЧ отключен вообще, а что? http://www.cqham.ru/forum/images/smilies/icon_rolleyes.gif Вход АЦП на резисторе 50 Ом. Нужно было в таком случае выставить -20дБ на АТТ? Кстати, при переделке интерфейса нужно переименовать ATT в Preamp, как в старых версиях. Добрый день, Василий! На 20м: 288561 как я уже говорил, от 30м и ниже шумовая дорожка еще меньше, там так: 288562 В продолжении вчерашнего разговора о кодеке, решил попробовать послушать звук непосредственно с Альтеры, через реализованный Сергеем программный кодек. Я просто в АБСОЛЮТНОМ восторге! :super:Отличное просто качество звука! И не понимаю зачем нужен внешний аппаратный. Да и SB на прием не буду задействовать, а вот УМЗЧ теперь точно переделаю в двухканальный для того чтобы можно было реализовывать псевдостерео, на нагружая выходы ПЛМ лишний раз. Так что только для микрофона остается SB. Был бы простенький однонаправленный кодек, включил бы как формирователь передатчика, но SB для этого и подойдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1133] Автор : EU1SW Дата : 07.05.2018 13:07 Роберт, при проведении процедуры калибровки psdr самостоятельно клацает -20 дБ и калибрует самостоятельно по 0 и по -20. Да и вижу по скрину что чувствительность соответствует голому АЦП ) И у Вас должна получиться ровная линия от 160 до 10, без лучше/хуже, если разница есть, значит "дует" где то -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1134] Автор : UN7RX Дата : 07.05.2018 13:10 Он действительно "клацал". :smile: Сергей, поясните плиз, нужно калибровать с УВЧ? Тогда УВЧ должно гарантировать именно 20дБ усиления, нет? :roll: И у Вас должна получиться ровная линия от 160 до 10, без лучше/хуже, если разница есть, значит "дует" где то Ага, нашел! http://www.cqham.ru/forum/images/smilies/icon_super.gif Если закрыть весь модуль крышкой (на фото видно что под нее есть стойки резьбовые внутри модуля, по периметру, то на ВСЕХ диапазонах уровень шума на нижней кромке экрана! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1135] Автор : EU1SW Дата : 07.05.2018 13:40 Таки да, калибровать сразу все вместе, иначе несколько теряется смысл самого понятия "калибровка" ) А так ПО под железо настраивает показометр, и все цифры приобретают смысл ) , и ровно 20 не обязательно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1136] Автор : UN7RX Дата : 07.05.2018 14:07 Угу, написал, убежал, сейчас перечитал себя и понял какую чушь написал. :oops: Ок, перекалибрую потом, пока это непринципиально. Наклеил игольчатый радиатор и на Альтеру, примерно такой на на 6645. Греется однако, хотя и не так сильно как АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1137] Автор : UF3K Дата : 07.05.2018 14:42 На всякий случай... Чтобы осмысленно смотреть шумовую дорожку: 288571 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1138] Автор : Alexproga Дата : 07.05.2018 19:55 Уважаемые коллеги, поздравляю Вас с Днем Радио!!! Желаю Вам счастья, здоровья, творческих успехов!!! Работаю на радио из этой темы, только положительные впечатления! Автору темы - огромное спасибо и всего самого лучшего!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1139] Автор : RV3DLX Дата : 07.05.2018 20:05 уровень шума на нижней кромке экрана! Роберт, здравствуйте! Вы уже несколько раз пишите, что уровень шума на нижней кромке экрана. Создается впечатление, что Вы не знаете, что в программе эту нижнюю кромку (как и верхнюю) можно смещать как Вам угодно. Конечно если знаете, то извините, повторение - мать учения. С днем Радио! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1140] Автор : RV3DLX Дата : 12.05.2018 10:55 Пока делаю железки для корпуса (это у меня не быстро получается), готовлю платы усилителя мощности и стабилизаторов питания. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1141] Автор : ko85xm Саша Дата : 12.05.2018 13:25 Юрий , а схемку РА можно в личку бросить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1142] Автор : RV3DLX Дата : 12.05.2018 14:02 ko85xm Саша, смотрите личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1143] Автор : RV3DLX Дата : 18.05.2018 14:07 Трансивер обретает корпус. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1144] Автор : Livas60 Дата : 18.05.2018 14:39 Нормально. Юрий, какие размеры радиатора? Надписи как сделаны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1145] Автор : RV3DLX Дата : 18.05.2018 15:16 Радиатор шириной 160 и длиной 240 (просто был у меня такой и я от его размеров и плясал). На радиаторе расположены 3 КРЕНки и транзисторы усилителя мощности. Надписи сделаны ЛУТом на фольгированном стеклотекстолите, потом фольга стравлена. Далее панель покрашу и надписи проявятся после шлифовки мелкой наждачной бумагой. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1146] Автор : EU1SW Дата : 18.05.2018 18:16 Юрий, я считаю - прекрасно получилось, мне о таком рукоделии только вздыхать, как доходит до корпуса - срочно ищется любая подходящая коробочка ) ) Крутой мой предыдущий самопальный звукокартный SDR у меня жил в коробочке от материнской ))) А потом у нас открылся чипидип, а у них много разных коробочек есть ))) Может название придумаем, что б уже все как у людей ) к примеру трансивер "Алик" ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1147] Автор : Livas60 Дата : 18.05.2018 18:32 Сергей, Вы автор. Как скажете - так и будет. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1148] Автор : UN7RX Дата : 18.05.2018 19:44 Не нужно никаких Аликов. Были замечательные трансиверы от UW3DI, от UA1FA, разные версии. И никто никогда их не спутает ни с чем. Сергей уже два РАЗНЫХ варианта трансиверов предложил, так что пусть будут трансиверы EU1SW, это будет справедливо и точно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1149] Автор : Alexproga Дата : 18.05.2018 21:12 Юрий, я считаю - прекрасно получилось, мне о таком рукоделии только вздыхать, как доходит до корпуса - срочно ищется любая подходящая коробочка ) ) Крутой мой предыдущий самопальный звукокартный SDR у меня жил в коробочке от материнской ))) А потом у нас открылся чипидип, а у них много разных коробочек есть ))) Может название придумаем, что б уже все как у людей ) к примеру трансивер "Алик" ))) тогда уж лучше -:пиво: Дионис, но если без юмора - мой голос за EU1SW -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1150] Автор : ra3tes Дата : 18.05.2018 23:13 За EU1SW -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1151] Автор : UF3K Дата : 19.05.2018 08:10 Я конечно же тоже за "EU1SW". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1152] Автор : EU1SW Дата : 19.05.2018 09:05 За EU1SW Звучит как тост ))) :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1153] Автор : ra3tes Дата : 19.05.2018 09:43 Звучит как тост ))) :пиво: Так вот откуда название "Алик" :-) Трансивет получаетс очень созвучный с самоделками, которые мы делали в восмидесятых. Есть простор для "фантазии", в отличии от других. Так что назваение по позывному автора очень ему подойдет. ИМХО зы. Прошу прощения за оффтоп -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1154] Автор : EU1SW Дата : 19.05.2018 10:50 Алик - от Алиэкспресс, а не от синьки ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1155] Автор : LY3OS Дата : 26.05.2018 13:01 На платке тактового генератора 96 МГц остается много свободного места, как думаете – стоит ли поставить на выходе генератора простой ФНЧ, 5 порядка, около 120 МГц. Что-б место зря не пустовало, ну и что-б "выпендриться" 8-). 289710 Подавление 2-й гармоники около 25 дб. Наверно вреда от него не будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1156] Автор : EU1SW Дата : 26.05.2018 13:45 Что б "выпендриться" в этом узле нужно поставить после генератора узкий кварцевый фильтр на 96 МГц ) у АЦП шумовая дорожка по даташиту -153 dBFS/Hz, и если генератор шумный, то это поможет несколько улучшить RMDR для разносов больших чем, полоса прозрачности КФ. остальное КМК будет как зайцу стопсигнал ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1157] Автор : LY3OS Дата : 26.05.2018 14:06 Ясно, нету смысла выпендриваться с ФНЧ, спасибо Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1158] Автор : LY3OS Дата : 29.05.2018 10:42 Получил генератор ROJON, подскажите пожалуйста с распиновкой. 289897 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1159] Автор : Genadi Zawidowski Дата : 29.05.2018 10:48 1 не подключать 2 земля 3 выход 4 питание -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1160] Автор : RV3DLX Дата : 29.05.2018 11:39 EU1SW, Сергей, когда то Вы собирались в программе сделать управление двумя значениями аттенюаторов (может я пропустил и Вы это уже сделали?). Сейчас с одним аттенюатором не совсем красиво после калибровки получается, при значениях аттенюатора 0 и 20дБ все хорошо, а вот при 10 и 30 не очень. 73! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1161] Автор : EU1SW Дата : 29.05.2018 11:41 В чем новость то, про что говорить? Просто не смотрите "на некрасивое", смотрите на красивое... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1162] Автор : RV3DLX Дата : 29.05.2018 11:49 Просто не смотрите "на некрасивое" Ну на нет и суда нет.... Будем смотреть на красивое. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1163] Автор : Livas60 Дата : 29.05.2018 11:54 Я себе переделал немного исходники Сергея и ввел 2 звена аттенюаторов -10дБ и -20дБ. УВЧ неотключаемый. Структура такая: антенна - аттенюаторы - ДПФ - УВЧ - АЦП. Скрины после калибровки: 0дБ: 289911 -10дБ: 289912 -20дБ: 289913 -30дБ: 289914 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1164] Автор : EU1SW Дата : 29.05.2018 12:03 Юрий, есть какая либо физическая причина мешающая использовать трансивер для работы в эфире в текущем состоянии кроме чувства прекрасного? ) Василий не ждал и сделал ) а по поводу расшаривания кода... я уже писал - это дело каждого -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1165] Автор : RV3DLX Дата : 29.05.2018 12:08 есть какая либо физическая причина мешающая использовать трансивер для работы в эфире в текущем состоянии Мешающих причин нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1166] Автор : EU1SW Дата : 29.05.2018 12:18 Ну просто какой смысл провоцировать меня в очередной раз оправдываться в паблике, что у меня не хватает времени на работу, семью, отдых, писать труды, и я просто устал, хочу в отпуск, и все такое... ) Могли и в личке спросить, где аттенюатор обещаный, сопсна... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1167] Автор : RC3ZQ Дата : 31.05.2018 17:59 Доброго вечера всем. Если у кого есть свободная минута посмотрите пожалуйста где я "плаваю". Собрал на кросс плате на модуле с 10к обновленную конструкцию Cергея EU1SW с аудио кодеком. Схема следующая 290109 Архив qar с распиновкой под правленой в прицепе поста. При запуске трансивера с программы PSDR щелкают релюхи в УВЧ очень с большой частотой, и пытается запустится, вываливая ниже водопада что ADC1 overload. Перемычка стоит на плате FPGA так 290110 В пин планере указан ADC_ready 24й пин. 55й пин не чем не занят в планере. На старой прошивке (которая без кодека) запускается с пол тыка (ошибки по pcb и дефекты отпадают..проверял). Где то я что то не до понимаю, либо с пинами либо х.з. Спасибо за подсказку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1168] Автор : EU1SW Дата : 31.05.2018 19:11 как вариант пин ptt in не подтянут 10 кОм на питание, при некоторых комбинациях это обязательно нужно делать у меня нет возможности сейчас открыть проект -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1169] Автор : rolin Дата : 31.05.2018 20:01 RC3ZQ, для упрощения перехода на другие прошивки, открываете блокнотом файл name.qsf копируете оттуда строки связанные с назначением пинов в аналогичный файл другой прошивки и получаете точную копию распиновки. Распиновку целевого проекта перед этим нужно обнулить (в настройках проекта). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1170] Автор : UF3K Дата : 31.05.2018 22:01 Работа железяки на УКВ с трансвертером: 290126 Диапазон 2 м, SSB RW3VM. Расстояние около 550 км. Обычное тропо "как всегда". Внизу скрин без сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1171] Автор : RC3ZQ Дата : 01.06.2018 09:49 как вариант пин ptt in не подтянут 10 кОм Добрый день, Сергей. Если правильно я понимаю это подтягивающий резистор? set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ptt_i Я добавил строчку в Hermes_Lite_FD_12SDK.qsf, но увы и ах.:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1172] Автор : Livas60 Дата : 01.06.2018 10:29 Подтяжка ptt_i в проекте включена изначально. Дело не в ней. RC3ZQ, проверьте этот вариант: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1173] Автор : RC3ZQ Дата : 01.06.2018 11:03 Livas60, Василий, доброе утро. Этот вариант пошел, но звука на линейном выходе модуля не слышу, на передачу перехожу (появляется сигнал на exp_ptt_n e меня за ним ключ с релюхой), на водопаде (на спектр.анализ) ни при TUN ни при двух тональном ничего нет. Как шумовая дорожка шла так идет. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1174] Автор : RC3ZQ Дата : 03.06.2018 20:55 Пардон за:offtop:. Хочу спросить но не знаю где просто. Убил нечаянно AD6645:-(. Пошло кратковременно 12в вместо 5в питания на аналоговую часть (пока ковырялся с фнч..упустил с пинцета smd индуктивность ) . Теперь шум высокий, а приема ноль. Хочу купить где нибуть быстрее в РФ разумные деньги естественно. Может кто подскажет надежную контору или продавца. На Али заказал за 1.5тр, но не факт что это придет не кусок пластмассы. Прошу в Л.С . Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1175] Автор : RC3ZQ Дата : 11.06.2018 21:34 Добрый вечер, коллеги. Изучал информацию по АЦП AD6645. Пытался понять в чем различие AD6645-80 (идет с китая мне) и AD6645-105 (ту которую пытаюсь найти) разница в В минимальная скорость конверсии, с которой SNR самого низкого аналогового сигнала частота падает не более чем на 3 дБ ниже гарантированного предела. Я так понимаю что АЦП будет работать AD6645-80 а вот характеристики приемника будут "хромать". Наверное это сигна-шум!? Прав или нет я, товарищи Гуру? Чего ожидать от AD6645-80 ??? Спасибо за ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1176] Автор : rolin Дата : 11.06.2018 22:10 характеристики приемника будут "хромать". Наверное это сигна-шум!? Скорее всего вы не заметите никакой разницы, даже если у вас была бы возможность сравнить оба варианта в лоб на приборах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1177] Автор : EU1SW Дата : 11.06.2018 22:49 осталось только всего нифига, убедиться что 80 мегагерцовый вариант согласится работать на 96 МГц... а не будет хотеть - покажите ему переписку с форума ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1178] Автор : RC3ZQ Дата : 11.06.2018 22:53 убедиться что 80 мегагерцовый вариант согласится работать на 96 МГц... Что мало вероятно что согласится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1179] Автор : rolin Дата : 11.06.2018 23:03 Что мало вероятно что согласится? Скажете ему, что ролин сказал что надо работать. Он знает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1180] Автор : RC3ZQ Дата : 11.06.2018 23:06 rolin, Ну шутки мы та все умеем шутить, а если серьезно? P.S Я далек от многих технических терминов и понятий в цифровых технологиях поэтому и задаю глупые вопросы. Пардон. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1181] Автор : rolin Дата : 11.06.2018 23:17 RC3ZQ, опираясь на существующий опыт запуска некоторых других АЦП на повышенных частотах, должно работать без проблем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1182] Автор : RC3ZQ Дата : 11.06.2018 23:21 rolin, Буду надеяться что получится и в моем случаи, но судя по ответу Сергея автора конструкции видимо получается "мартышкин труд".... наверное есть 100% опыт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1183] Автор : UN7RX Дата : 16.06.2018 08:21 Это просто жесть. Три страницы - двадцать постов (!!!) про несчастный стабилизатор! Элементарные вещи в виде ликбеза, успешно топящие тему. Идите-ка вы сюда (http://www.cqham.ru/forum/showthread.php?37962-%D1%F2%E0%E1%E8%EB%E8%E7%E0%F2%EE%F0-%ED%E0-%EC%EE%E4%F3%EB%E5-22%CA-%C0%EB%FC%F2%E5%F0%E0). Пока и впрямь не отправил даташиты и апноты изучать, на пару недель. :evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1184] Автор : Rublik Дата : 25.06.2018 21:00 Добрый вечер! Вы абсолютно правы. У меня АЦП именно 80, и оно прекрасно работает на частоте 96МГц. Подобные сомнения были и у меня, но все обошлось... Плату АЦП собирал сам, а при заказе у китайцев даже не обратил внимания на этот параметр, в следствии чего долго ломал голову. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1185] Автор : RC3ZQ Дата : 25.06.2018 21:21 Вы абсолютно правы. У меня АЦП именно 80, и оно прекрасно работает на частоте 96МГц. Добрый вечер. Ваша бы информация да по раньше. А то я уже сильно нервничаю с этим АЦП и в целом с трансивером:ржач:. Получил дня четыри назад АЦП, ножки "бумажные" и как тут человек писал хреновато паяються. Запаял "не без мата" и трансивер задышал на прием. Мне даже показалось что шумовая дорожка ушла чуть ниже по сравнению с микросхемой ad6645-105. Но это не факт. Теперь собираю полосовые фильтры, а то видимо срача много попадает в АЦП и как то многовато жужалок перделок проскакивает. Rublik, как у Вас дела с чуйкой и отношением скажем так сигнал /шум на ВЧ диапазонах 10мгц-30мгц? Вас устраивает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1186] Автор : RV3DLX Дата : 25.06.2018 21:27 Здравствуйте! По моим следам двое моих друзей-радиолюбителей решили повторить эту конструкцию (что радует). По моей рекомендации заказали все модули в Китае и главное модуль FPGA, на котором нужно было перепаять Альтеру. Я немного сомневался, что втянул их в это дело, самим перепаять эту микросхему конечно возможно, но я им посоветовал обратиться к специалистам. В небольшом городе Серпухов, оказалось, что есть несколько контор, которые брались за эту работу, за плату от 1000 до 3000 руб. Ребята обратились в одну из контор и им перепаяли микросхемы за 500р., за обе платы. Сделали довольно профессионально, на хорошем оборудовании. Сегодня ребята заехали ко мне и я им залил прошивки. После того как вставили их платы в мою конструкцию, все заработало. Ребята вдохновленные, продолжают делать все дальше. Хочу еще раз поблагодарить автора этой конструкции и Василия за разработку печатки для кросс-платы и за поправленную прошивку, которая работает со всеми типами роутеров (с этим у меня были проблемы) и с двумя аттенюаторами, 10 и 20дБ. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1187] Автор : Rublik Дата : 25.06.2018 21:41 Вас устраивает? ВПОЛНЕ. В данный момент производить измерения и нечем, и некогда, но в общем негативных впечатлений нет. Данный аппарат отложен на полку до зимы. А вот ДПФ действительно обязательны. Я остановил свой выбор на трех контурных "узких" фильтрах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1188] Автор : OlegD Дата : 15.07.2018 10:38 292611 Мой экземпляр. Раскомментировал в проекте индикацию сети на светодиоды - пусть мигают... Дальше - полосовые фильтры, "ручка" (оказалось, что с юности привычка крутить настройку на Р-250 ручкой не истребима), нормальная антенная... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1189] Автор : RC3ZQ Дата : 15.07.2018 12:44 OlegD, Добрый день. Заскриньте пожалуйста шумовую дорожку на 10м. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1190] Автор : OlegD Дата : 15.07.2018 13:27 292622 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1191] Автор : rz3qs Дата : 15.07.2018 13:50 To OlegD, чтобы не думать, глядя на Ваш скрин, нажмите кнопочки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1192] Автор : EU1SW Дата : 15.07.2018 14:01 Если не думать, то получается то, что получается ) Помимо этих кнопок в меню есть выбор пикового и среднеквадратичного детектора. Почему не показали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1193] Автор : rz3qs Дата : 15.07.2018 14:31 Почему не показали? Будет много. А нужно всего полосу 500 Гц, S-метр Sig Avg и крайне желательно сделать калибровку, либо указать, что ее нет. Настройка панорамы уже второй вопрос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1194] Автор : EU1SW Дата : 15.07.2018 17:13 Дело в том, что в стартовом топике мною уже указаны коэффициенты шума, посему в десятый раз перетирать одно и тоже смысла имеет очень мало... В том числе и "второй", и третий, и все последующие вопросы ) Да и в случае отсутствия калибровки совершенно до лампочки что в каких окошках установлено... Скрины, калиброванные, уже приводились в теме, и не раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1195] Автор : RC3ZQ Дата : 15.07.2018 19:20 OlegD, Это у Вас с УВЧ и отключенной от него антенной такая картина? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1196] Автор : OlegD Дата : 15.07.2018 20:03 Да, это с УВЧ и отключенной антенной, без калибровки, ибо возвращение к хобби юности пока без серьезных измерительных приборов. Макет в данный момент просто чтобы отработать соединения. За хрен знает сколько лет это первое законченное устройство в области радиоприема, и громадное спасибо Сергею за создание этой конструкции... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1197] Автор : RC3ZQ Дата : 15.07.2018 21:05 Да, это с УВЧ и отключенной антенной, без калибровки, Понял, спасибо! За хрен знает сколько лет это первое законченное устройство в области радиоприема С чем я Вас и поздравляю! Как говорится с возвращением в "паутину" Радио!:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1198] Автор : Radiotester Дата : 25.07.2018 01:21 Добрый день, коллеги. Возникло пару вопросов. 1)У меня будут задействованы октавные полосовики в связи с чем интересует следующее: В софте реализовано так что бы при включении второго приемника включить вместо дпф обзорный фнч? Быть может я что то не понимаю и это все в psdr? 2)По выходу УМ (все каскады двух тактные)хочу поставить только два фнч, один с частой среза 10мгц, а второй 30мгц, но при этом дпф октавные будут задействованы на передачу. Не хватает места под все фнч. Не будет ли проблем при такой раскладке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1199] Автор : ra3tes Дата : 25.07.2018 09:27 Доброе время суток. Вопрос такой, ни кто не пытался ping восстановить ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1200] Автор : Livas60 Дата : 25.07.2018 09:39 293154 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1201] Автор : ra3tes Дата : 25.07.2018 12:52 Livas60, День добрый, а что правили если не секрет ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1202] Автор : VFO Дата : 25.07.2018 14:21 Файлы Tx_MAC, Rx_MAC, ethernet. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1203] Автор : Livas60 Дата : 25.07.2018 14:53 Во вложении файл проекта с восстановленным пингом и двумя аттенюаторами -10 и -20dB на входе приемника. Напоминаю, что при использовании проекта необходимо изменить в Pin Planner соединение выводов ПЛИС под свое "железо". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1204] Автор : RV3DLX Дата : 25.07.2018 15:06 Хочу подтвердить, что эта прошивка от Василия у меня стабильно работает уже более месяца. Большое спасибо Василию! Юрий. P.S. На днях должен получить МСР3202 и тогда проверю подобную прошивку с поддержкой этого АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1205] Автор : RV3DLX Дата : 31.07.2018 22:06 Для информации: получил микросхемы МСР3202, печатка под нее уже была готова и с некоторыми приключениями, в которых сам виноват, запустил этот узел. В результате все работает как положено и при соответствующей прошивке у всех все заработает без проблем. Спасибо Василию за консультацию, а так же Девиду (Rolin), который как сказал Сергей, предоставил коды для поддержки этого АЦП. Ну и отдельное спасибо Сергею за этот замечательный проект! Теперь все работает в полном объеме. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1206] Автор : EU1SW Дата : 05.08.2018 20:51 В этом посте мы приготовим SDR "чемодан" с двумя приемниками, и почти полным функционалом PowerSDR... или слушаем и вещаем без ПК Нужно взять распберри пи, можно даже 2-й, сенсорный 5" или 7" дисплей с Али, один оптический валкодер, 2 валкодера с трещетками и кнопками на валу, и восемь отдельных кнопок (купил в чипедипе... эти кнопки не берите, на них надо кулаком давить, и щелкают громко...), USB аудио и Wi-Fi по желанию/необходимости. Установить и настроить автозапуск pihpsdr по инструкции отсюда https://github.com/g0orx/pihpsdr Радоваться ) я собрал действующий макет в отдельной коробке из чипадипа, что б под рукой на столе было, или на тумбочку прикроватную поставить, "голос Америки" на ночь послушать ) но никто не мешает смонтировать в одном ящике с трансивером. можно дисплей без сенсора, подключить мышь и немножечко рукожопства для пруфа Добавлено через 30 минут(ы): В pihpsdr в makefile есть опция "RADIOBERRY", что подразумевает обмен с FPGA по SPI, не используя Ethernet... но настоящий "чемоданный" SDR "безПК" из распберри ПИ и модулей с АЛИ мы будем готовить несколько позднее... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1207] Автор : rolin Дата : 05.08.2018 22:54 но настоящий "чемоданный" SDR "безПК" из распберри ПИ и модулей с АЛИ мы будем готовить несколько позднее... Крайне было бы интересно узнать как поведет себя распберри в качестве источника помех рядом с платами приемника. Если можно, побольше исследований на этот счет. Заранее спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1208] Автор : EU1SW Дата : 06.08.2018 00:55 А вот у дяденьки и спросите напрямую https://github.com/pa3gsb/Radioberry-2.x/blob/master/README.md Чего тянуть то... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1209] Автор : UN7RX Дата : 06.08.2018 05:29 С платой по ссылке тут вот (http://www.cqham.ru/forum/showthread.php?34787-RadioBerry-Hermes-Lite-DDC-transcever-%ED%E0-Raspberry-Pi) вроде начинали, но все так и стихло. Сергей, кстати и там кое что успел предложить. :smile: Так что с ТОЙ платой всем желающим именно в указанную тему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1210] Автор : ra3tes Дата : 06.08.2018 06:49 как поведет себя распберри в качестве источника помех рядом с платами приемника. Скорее вего ни как, Аналог до АЦП, дальше цыфра, уровни большие, помех не будет. Сейчас вне устройства помех много больше. Сама ПЛИС не слыбй источник помех, тем не менее, у Вас в Одисее, она на одной плате стоит с АЦП, Все нормально будет . ИМХО. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1211] Автор : EU1SW Дата : 12.08.2018 16:11 Есть вопрос, коллеги, кто какой измеритель для КСВ-метра применял? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1212] Автор : RV3DLX Дата : 12.08.2018 17:10 У меня вот такой сделан. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1213] Автор : EU1SW Дата : 12.08.2018 18:10 Спасибо, Юрий! Тандем мач, количества витков и максимальные уровни на выходе прямого канала на полной мощности не подскажете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1214] Автор : RV3DLX Дата : 12.08.2018 18:54 Количество витков 12, провод ПЭВТЛ-0,33. Диоды германиевые, или ГД507 или ГД508 (точно не знаю, да наверно все равно), операционник LM358. У меня на выходе 20 Ватт максимально, выходное напряжение с детектора прямой волны точно не помню, подстроечный резистор около среднего положения для правильного показания моего СДРа. КСВ показывает достаточно точно и при малой мощности, т.к. в усилителях нелинейная обратная связь. В ближайшее время постараюсь измерить напряжение на выходе детектора. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1215] Автор : UN7RX Дата : 12.08.2018 19:09 А без ОУ не хватает напряжения для DAC? И какое напряжение питания ОУ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1216] Автор : vadim_d Дата : 12.08.2018 19:38 А без ОУ не хватает напряжения для DAC? Роберт, усиление там единичное, основная функция - коррекция нелинейности детектора в области малых напряжений -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1217] Автор : RV3DLX Дата : 12.08.2018 19:39 Роберт, здравствуйте! Да ОУ не для того что бы повысить выходное напряжение с детекторов, а для линеаризации этого КСВ-метра. В обратной связи усилителей стоят такие же диоды как и в детекторах, поэтому показания КСВ-метра при малых значениях мощности, когда диоды детекторов работают на начальной вольтампереной характеристике становятся более точными. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1218] Автор : EU1SW Дата : 12.08.2018 20:04 Я напряжением интересовался, с точки зрения того, не повышибает ли мне кд922 ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1219] Автор : UN7RX Дата : 12.08.2018 20:08 RV3DLX, спасибо Юра, насчет линеаризации было понятно, просто я подумал что схема упрощена, специально без резисторов в ОС, а там можно и усиление и линеаризацию получить. Вопрос снят, спасибо! К сожалению из-за нехватки времени я капитально застрял на разработке платы УМ. :cry: Читаю всех вас и завидую белой завистью, тут еще Сергей интеллектуально "пинает", то двухканальник выложит, то в этой теме "клубничным" вариантом раздразнил. :cry: не повышибает ли мне кд922 Сергей, поставьте Шоттки, получится приемлемый диапазон измеряемой мощности Min-Max, среди них есть довольно высоковольтные (BAT41). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1220] Автор : RV3DLX Дата : 12.08.2018 20:16 Сергей, КД922 у меня то же имеются, но я их не ставил не из тех соображений, что они могут пробиться, мне просто подумалось, что с германиевыми будут более точные показания в режиме малых мощностей. Кстати, у меня в усилителе мощности 300 Ватт, в рефлектометре стоят германиевые диоды ГД507(508) уже много лет, и не пробиваются. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1221] Автор : rolin Дата : 12.08.2018 21:23 Я напряжением интересовался, с точки зрения того, не повышибает ли мне кд922 ) Напряжение на выходе определяется коэффициентом трансформации, то есть если на кольцах намотано по 10 витков, то к.т. = 10 к 1. Это означает, что при измеряемой мощности 100 Ватт и соответственно 100 Вольт амплитудного значения, напряжение на выходах тандем матча будет 10 Вольт или меньше, из-за небольшого падения на диодах. Мощность резисторов плеч также выбирается из этих соображений, то есть при 10 Вольт амплитудного значения на тезисторах 50 Ом будет рассеиваться 1 Ватт. Выбирать к.тр. больше 1 к 10 нецелесообразно, так как это может привести к сильной неравномерности характеристики устройства. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1222] Автор : vadim_d Дата : 12.08.2018 22:15 мне просто подумалось, что с германиевыми будут более точные показания в режиме малых мощностей По сравнению с Шоттки большой разницы при наличии такой схемы компенсации не будет. Сама схема компенсации имеет принципиальный недостаток: падение на детекторном диоде определяется его пиковым током, а на компенсирующем - выпрямленным напряжением, а они не совсем пропорциональны друг другу. Но реально работает вполне сносно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1223] Автор : RV3DLX Дата : 24.08.2018 15:42 Немного модернизировал систему питания внутри моего трансивера. До этого у меня было сделано так: после входа питания 13,5 Вольт стоял стабилизатор SD1084 с выходным напряжением 12 Вольт, от него питались 12-ти вольтовые цепи трансивера и это напряжение подавалось на два 5-ти вольтовых стабилизатора LM2940. От одного из них питаются аналоговые цепи, от другого цифровые. Естественно на 5-ти вольтовых стабилизаторах рассеивалась довольно большая мощность. Сейчас приобрел в Китае понижающий DC-DC на LM2596, подал на него питание 12 Вольт (с SD1084) установил на выходе этого модуля напряжение 7 Вольт, которое подаю на вход стабилизаторов LM2940. В результате потребляемый устройством ток упал с 1-го Ампера (примерно), да 650 миллиАмпер. 5-ти вольтовые КРЕНки стали существенно меньше греться и общий температурный режим внутри трансивера существенно улучшился. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1224] Автор : alex_m Дата : 24.08.2018 17:44 приобрел в Китае понижающий DC-DC на LM2596 а шумов от преобразователя нет? китайские DC-DC на LM2596 работают на 50 кГц вместо 100 кГц: 295052 можно взглянуть на скрины панорамы при питании через этот DC-DC? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1225] Автор : RV3DLX Дата : 24.08.2018 18:09 Да, действительно, прежде чем подключать этот DC-DC я погонял его на столе с разными нагрузками. Нагружал до 2-х Ампер. На Вашей осциллограмме это пульсации похоже без нагрузки (или очень малой), при заметной нагрузке вид осциллограммы приобретает вид "пилы" с очень линейно нарастающим и спадающим фронтами. Пока подключил этот преобразователь без всяких дополнительных фильтров и экранировки. Естественно, первым делом посмотрел уровень шумовой дорожки (сразу скажу уровень ее не увеличился) и наличие паразитных пичков на панораме. На НЧ диапазонах без антенны видны совсем небольшие шпорки с дискретностью частоты работы преобразователя, которая действительно 50 с небольшим килогерц. С подключенной антенной они естественно маскируются шумами эфира. Конечно при окончательной установке сделаю LC фильтры на входе и выходе этого модуля и экранчиком его закрою. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1226] Автор : LY3OS Дата : 31.08.2018 21:34 alex_m, с железом все в порядке. У меня такие-же спуры через каждые 4 МГц: 4-8-12-16-20-24 и тд. И на 50 Мгц - видимо от генератора LAN карты. Ну и блок питания компа еще подкидывает грязи. Не принимайте близко к сердцу, слушать эфир это по сути не мешает. А заменять операционник на траф обязательно нужно. Замена дает потрясающий эффект. Вот скрины до и после: 295563 295557 Я поигрался с витками в трафе, на свой вкус остановился на варианте: 2 витка в первичке и две вторички по 4 витка каждая. Сердечник BN. Очечественный 30ВН тоже хорошо работает, но количество витков надо увеличить раза в три-четыре. Конденсатор после трафа 12пф мне показался маловат, а 47пф много - падает чувствительность на 28 Мгц, поэтому поставил 20пф - в самый раз. 295558 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1227] Автор : ra3tes Дата : 31.08.2018 21:56 При отключенном АЦП ни чего не должно быть. ИМХО. В Квартус есть хорошая штука SignalTap Logic Analyzer , по гуглите, по читайте. Проверьте "проект", может у вас на один из разрядов АЦП что-то другое включено... Моя "эпопея", с не исправным АЦП, кратко в сосделней ветке про "Бюджетный двухканальный ... " победил, но "пинков" получил :-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1228] Автор : alex_m Дата : 04.09.2018 04:00 При отключенном АЦП ни чего не должно быть. к сожалению есть, если АЦП вообще убрать и подключить опорник напрямую к ПЛИС, присутствуют телеграфные пиликалки и несущие. Но впринципе терпимо, они в основном на кратных частотах. Проблема с чувствительностью решена. Оказалось была связана с тем, что на плате АЦП не был установлен резистор RT1 на входе (50 Ом). Если установить или подключить через feed through нагрузку, то нормально работает. АЦП очень греется, думаю не мешало бы радиатор приделать, однако заметил проблему. При попытке приложить алюминиевую пластину к АЦП, шумовая полка поднимается на 10-15 дБ. Если ферритовую пластину приложить, то шум выростает на 5 дБ. Кто как охлаждает АЦП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1229] Автор : LY3OS Дата : 04.09.2018 10:00 Кто как охлаждает? Специально оставлял включенным на сутки при июльской жаре - работало отлично. Так-что нету смысла охлаждать то, чему и так комфортно:lol: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1230] Автор : UT4UBK Дата : 04.09.2018 13:07 к сожалению есть, если АЦП вообще убрать и подключить опорник напрямую к ПЛИС, присутствуют телеграфные пиликалки и несущие. Но впринципе терпимо, они в основном на кратных частотах. Проблема с чувствительностью решена. Оказалось была связана с тем, что на плате АЦП не был установлен резистор RT1 на входе (50 Ом). Если установить или подключить через feed through нагрузку, то нормально работает. АЦП очень греется, думаю не мешало бы радиатор приделать, однако заметил проблему. При попытке приложить алюминиевую пластину к АЦП, шумовая полка поднимается на 10-15 дБ. Если ферритовую пластину приложить, то шум выростает на 5 дБ. Кто как охлаждает АЦП? Я для горячих ADC через термоинтерфейс отводил тепло с нижней стороны платы на радиатор. Сколько слоев платы? По поводу радиатора на корпусе ADC и EMI - это известная проблема. Иногда делают по другому - ставят экран над RF частью и тепло отводят на него тоже через термоинтерфейс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1231] Автор : EU1SW Дата : 04.09.2018 19:26 В стартовом топике, и далее в теме приводились значения чувствительности MDS данной конструкции, измеренные неоднократно, разными людьми. Ожидать массового заговора не приходится. О том, что примененный драйвер слишком шумный для данного "горячего" 14 битного АЦП, я писал еще в предыдущей теме о приемнике. С 20 дб предусилителем и трансформаторным входом мощность шума , приведенная ко входу, в полосе 500 Гц у данного трансивера составляет величину порядка -130 дБм и лучше. Без переделки входа, с примененным драйвером, по моим опубликованным в последующей теме данным (тема о бюджетном 12 битном), примерно соответствуют уровню 12 битного АЦП. Собственно говоря все это уже очередное повторение. Без охлаждения АЦП ничего не горит, в конце концов, никто не может запретить заземлить алюминиевый радиатор на ближайшую подходящую точку общего провода фольги, если так хочется, возможно даже на несколько. Не думаю, что об этом нужно специально сообщать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1232] Автор : RV3DLX Дата : 04.09.2018 19:46 в полосе 500 Гц у данного трансивера составляет величину порядка -130 дБм и лучше Подтверждаю, у меня именно так и есть. Обычно я спокойно отношусь к нагреву микросхем, и если производитель не требует установки радиатора, то и не нужно его ставить. Но в этой конструкции, наверно поддался "стадному чувству", поставил радиатор на АЦП. Радиатор гальванически соединен с "землей" модуля и наличие этого радиатора ни сколько не изменило уровень шумовой дорожки. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1233] Автор : EU1SW Дата : 04.09.2018 20:05 Юрий, опишу ситуацию немного по другому... Работало без радиатора очень долго, года с 16-го, но попалась неисправная материнская плата, очень старая, но на ней на ключах стояли очень симпатичные маленькие радиаторчики на липучке) Ну почему бы не отклеить феном, и не прилепить на АЦП ) Пользы от него никакой, вреда тоже пока не видно, максимум будет как в айкомах, отвалится и будет болтаться внутри корпуса ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1234] Автор : EU1SW Дата : 04.09.2018 20:53 О спурах без АЦП, да и с АЦП, собственно тоже, оно как то и без исправлений не наблюдается... от слова совсем, речь идет о 4 циклоне а если полка поднимается при подаче сигнала близкого к 0 дбфс, то это проблемы высокого шума генератора, похоже этот "рожон" просто корпусированный однократно программируемый синтез. Уже есть отрицательный опыт работы с ними, шумовые параметры не впечатляют. Добавлено через 19 минут(ы): в дополнение, шум может еще расти из-за высокого шума источника, возможно он не так хорош. скрины есть? но если публике будет интересно, то могу заснять результаты подачи на вход -2 дбм от си570 лвдс версии, с тактированием от обычного smd 96 мгц, и от алиэкспресовского rojon на 76,8 мгц. Там и поглядим -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1235] Автор : Genadi Zawidowski Дата : 04.09.2018 22:05 Меня больше беспокоят фантомные сигналы, которые возникают в FPGA даже при отключенном АЦП. Они в основном на кратных частотах, но хотелось бы от них избавиться. Вы же программист... знаете пословицу SISO - shit in, shit out? Так и тут. Если на входе нет сигнала, после цифровой обработки ему неоткуда взяться. Проверьте все-таки как советовали проект - нет ли сигналов на предназначенных для связи с АЦП выводах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1236] Автор : UN7RX Дата : 04.09.2018 22:16 но если публике будет интересно, то могу заснять результаты подачи на вход -2 дбм от си570 лвдс версии, с тактированием от обычного smd 96 мгц, и от алиэкспресовского rojon на 76,8 мгц. Там и поглядим ООООчень интересно!! У меня как раз этот "рожон", но на подходе и другие типы генераторов на 96. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1237] Автор : EU1SW Дата : 04.09.2018 22:27 Роберт, ок, как раз созрел план сделать такое сравнение, включив туда заодно и 12 битный, с vcxo 122.88 с обычным делением на 2 внутри плис, и с использованием плл плис. Все в одном масштабе, будет интересно и познавательно. Просто все, что для этого нужно, уже находится на столе ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1238] Автор : EU1SW Дата : 05.09.2018 20:09 si570 lvds -2 dbm output power rojon 76,8 mhz tcxo ad6645 без УВЧ, трансформаторный вход -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1239] Автор : EU1SW Дата : 05.09.2018 21:05 si570 lvds -2 dbm output power noname 96 mhz ad6645 без УВЧ, трансформаторный вход -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1240] Автор : EU1SW Дата : 05.09.2018 21:35 Я опубликую еще 2 картинки и закончим на этом единственное, что я нашел в районе 8 мгц, но это точно наводка от чего-то и то, как эта наводка выглядит на уровне эфирных шумов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1241] Автор : rolin Дата : 05.09.2018 22:17 EU1SW, почему бы во время измерения не мерять также уровень шума в полосе 500 Герц на расстоянии 10 кГц от несущей ? Тогда можно было бы прикинуть ДД конструкции и сравнить ее с ДД другой конструкции или ДД той же конструкции с разными генераторами. Это было бы очень полезное число, позволяющее владельцу оценить так сказать возможности приемника в экстремальных условиях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1242] Автор : EU1SW Дата : 05.09.2018 22:54 Кому очень нужно полезное число, линейку приложите... Шум приведен к дбм/Гц, на требуемую полосу пересчитывается в 2 действия. Не секрет, думаю, с какой "другой" конструкцией это будет сравниваться... У меня такой задачи не стоит, сравнивать долларовый генератор с АБЛНО. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1243] Автор : alex_m Дата : 05.09.2018 23:15 si570 lvds -2 dbm output power noname 96 mhz а где вы этот noname 96 mhz брали, ссылкой не поделитесь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1244] Автор : UN7RX Дата : 05.09.2018 23:24 Сергей, а что представляет собой генератор в посте 1263? Он в металлическом корпусе, или для SMD, типа такого: 295884 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1245] Автор : EU1SW Дата : 05.09.2018 23:57 Да, smd 5х7 мм, лот из 5 шт, то ли 8, то ли 9 баксов. Ссылку давать не буду, мне по спору деньги вернули, товар не прибыл совсем. Один был любезно переслан Владимиром RX3QFM, к нему 5 штук таки доехали. ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1246] Автор : alex_m Дата : 09.09.2018 17:18 Подскажите, а какой уровень опорника нужно подавать на модуль АЦП? Почитал ветку, как понял тут каждый по своему делает, кто напрямую подключает, кто через аттенюатор, кто через делитель. Ктото ставит диоды после трансформатора, ктото не ставит... В документации на AD6645 указан только нижний предел дифференциальной амплитуды на входах ENCODE = 0.4 Vpp. Верхний предел не нашёл. Есть только рекомендация ставить диоды для ограничения амплидуды до 0.8 Vpp. На выходе опорника ROJON амплитуда пик-ту-пик соответствует питанию опорника, 3.2 В - это многовато. Но вроде работает, добавление 6 дБ аттенюатора вроде ничего не меняет. По документации на входе опорника, перед трансформатором с конденсатором должен стоять проходной резистор на ~50 ом. Попробовал его поставить, вроде ничего не меняется, но осциллограф показывает чистый и стабильный сигнал с резистором. Без резистора амплитуда чем-то промодулирована. Отсюда возник вопрос - как всётаки правильно тактировать АЦП? Какая максимальная амплитуда опорника допустима? И на что амплитуда опорника влияет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1247] Автор : UN7RX Дата : 09.09.2018 21:11 По документации на входе опорника Наверное на выходе? Интересно, а какое входное сопротивление АЦП по ENC? Для цифровой микросхемы это не критично, как в примере даташита, но генераторы как правило нормируются по сопротивлению нагрузки. А вы не смотрели какое напряжение на выходе трансформатора если убрать ограничительные диоды? Может подключить как и на трансформаторе на аналоговом входе, 200 ом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1248] Автор : alex_m Дата : 09.09.2018 21:27 UN7RX, речь про дифференциальный вход АЦП для тактового сигнала ENCODE и !ENCODE. Я диодов после трансформатора не ставил. Посмотрел фотографии доработок, которые тут выкладывали, ни у кого кроме Сергея диодов не было, я тоже не стал ставить. Напряжение на выходе трансформатора без нагрузки посмотреть проблематично, т.к. для этого нужно микросхему АЦП выпаивать. Смотреть амплитуду пробовал, но получились странные значения когда на вход подаёшь выход опорника напрямую, на выходе трансформатора порядка 0.8-1.3 Vpp. Если через 6 дБ аттенюатор, то порядка 0.8-0.9 Vpp. С проходным резистором около 0.9 Vpp. Почему амплитуда слабо зависит от уровня на входе не пойму. Думаю проблема с измерением, нужно как-то отвязаться от земли и мерять дифференциальную амплитуду. Сложность еще в том, чтобы правильно померять амплитуду на такой частоте. Смущает, что в документации про верхний предел по входам ENCODE ничего не сказано. Только туманное замечание что диоды после трансформатора предназначены для ограничения уровня сигнала на уровне примерно 0.8 Vpp, чтобы он не попадал на другие части АЦП. Можно ли рассматривать дифференциальные входы ENCODE и !ENCODE как цифровые и применять к ним макс ограничение AVcc? Интересно, а какое входное сопротивление АЦП по ENC? сопротивление дифференциального входа по документации 10 кОм, 2.5 pF (между ENCODE и !ENCODE). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1249] Автор : UN7RX Дата : 09.09.2018 22:10 Напряжение на выходе трансформатора без нагрузки посмотреть проблематично, т.к. для этого нужно микросхему АЦП выпаивать. А зачем без нагрузки? Как раз в том состоянии как сейчас все включено. сопротивление дифференциального входа по документации 10 кОм, 2.5 pF (между ENCODE и !ENCODE). Опа, я это пропустил в даташите, только по AIN посмотрел, там 1кОм. Учитывая что мы подаем сигнал с генератора с нормированным выходным сопротивлением, он точно без нагрузки получается. Так что либо 50 Ом как Вы подключали, либо 200 уже за трансформатором, точно нужны. Мне второй вариант больше нравится. Попробовал его поставить, вроде ничего не меняется, но осциллограф показывает чистый и стабильный сигнал с резистором. Без резистора амплитуда чем-то промодулирована. Интересно было бы посмотреть картинку как замеров как Сергей показывал в посте 1263, но уже с нагруженным опорником. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1250] Автор : EU1SW Дата : 09.09.2018 22:30 Можно ли рассматривать можно, рисунок 33 в даташите, страница 14, ревизия Е рассматривайте на здоровье -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1251] Автор : alex_m Дата : 10.09.2018 00:56 Интересно было бы посмотреть картинку как замеров как Сергей показывал в посте 1263, но уже с нагруженным опорником. вот, у меня правда генератор не такой чистый как у Сергея :roll: Опорник ROJON, питание 3.2V, на выходе аттенюатор 6 дБ, подключение модулю АЦП без проходной нагрузки (первая картинка) и с проходной нагрузкой (вторая картинка). Уровень на S-метре для полосы 500 Гц. 296111 296112 Разницы почти нет, но есть заметная зависимость шума от уровня опорника, без нагрузки шум пониже на 1-2 дБ (с учётом аттенюатора на выходе опорника). С другой стороны, амплитуда на выходе трансформатора без нагрузки выше рекомендуемой нормы. Первая картинка - без проходной нагрузки, вторая - с проходной нагрузкой: 296113 296114 Кстати, у меня в модуле АЦП на входе CLK конденсатор С7 = 21.5 нФ вместо 1 мкФ, как на схеме модуля. 296115 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1252] Автор : alex_m Дата : 17.09.2018 07:54 Если кто хочет юзать данный трансивер с HDSDR или SDR#, вот собрал ExtIO библиотеку на базе extio-iw0hdv (https://github.com/IW0HDV/extio-iw0hdv), которая работает с прошивками от Сергея. Возможно будет работать и с другими hermes_lite трансиверами. Добавлена поддержка FPGA прошивки Сергея. Также добавлено несколько исправлений приводивших к крешу и зависаниям, добавлена возможность закрывать окно и исправлена ошибка подключения из SDR#. Для нового сканирования устройств нужно перезапускать приложение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1253] Автор : EU1SW Дата : 17.09.2018 09:01 Эти заманухи с ответом остались от автора Hermes-Lite, я их не правил, PowerSDR начхать А если очень надо, то проще откорректировать ответ ID в верилоге, чем править дллки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1254] Автор : EU1SW Дата : 17.09.2018 10:21 а там можно прописать хоть гермес, хоть ангелию, хоть ananas-200D... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1255] Автор : alex_m Дата : 17.09.2018 10:35 EU1SW, можно конечно. Просто в личке люди спрашивали готовую длл. У меня она была в несколько не удобном виде - наспех починенная с крешами и требующая отладочных библиотек. Вот дошли руки пофиксить глюки. Выложил готовый вариант, для тех кому сложно самому сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1256] Автор : EU1SW Дата : 17.09.2018 10:45 с оригинальным ID 01 исправленная тоже будет работать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1257] Автор : alex_m Дата : 17.09.2018 10:59 EU1SW, да, распознаются такие ID: - 0x00 - "Metis"+ - 0x01 - "Hermes"+ - 0x02 - "Griffin" - 0x04 - "Angelia"+ - 0x05 - "Orion"+ - 0x06 - "Hermes_Lite"+ Те что помечены + должны работать, но я тестил только "Hermes_Lite" :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1258] Автор : UF3K Дата : 17.09.2018 11:34 На W7 64-бит к сожалению зависает в режиме приема через 5-60 сек. - теряет сеть. А жаль, HDSDR иногда полезна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1259] Автор : alex_m Дата : 17.09.2018 12:46 RX3QFM, хм, у меня на W7 x64 работает без зависаний и в HDSDR и в SDR# -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1260] Автор : EU1SW Дата : 17.09.2018 12:56 Передача есть в этих программах? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1261] Автор : alex_m Дата : 17.09.2018 12:58 EU1SW, нет, только приём, в HDSDR вроде можно передачу прикрутить, но я этот вопрос не изучал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1262] Автор : EU1SW Дата : 17.09.2018 13:00 Ясно, понятно, ничего не изменилось за два года... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1263] Автор : UN7RX Дата : 17.09.2018 14:21 нет, только приём, в HDSDR вроде можно передачу прикрутить, но я этот вопрос не изучал Давайте не будем забивать ветку туманными неработающими полуфабрикатами. Любые полезные модернизации софта и железа приветствуются, но только полноценные, либо требующие минимальной доработки. Любое доведения каких то экспериментов до рабочего состояния внутри этой темы, мгновенно превратит ее в очередную свалку. Если есть необходимость обсудить что-то "около", отрывайте тему со ссылкой на эту, объявляйте суть и вперед, обсуждайте на здоровье. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1264] Автор : alex_m Дата : 17.09.2018 16:59 UN7RX, это не полуфабрикат, а полноценная рабочая библиотека, она рекомендована на сайте OpenHPSDR. Я её только доработал, для поддержки этого трансивера, исправил ошибки с крешем и поправил работу с SDR#. Работает хорошо. В SDR# SSB приём вообще просто шикарно звучит. Просто HDSDR и SDR# изначально расчитаны в качестве приёмника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1265] Автор : UN7RX Дата : 17.09.2018 20:33 Тогда это сюда (http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8). Но никак не в эту ветку. Позже перенесу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1266] Автор : EU1SW Дата : 17.09.2018 20:46 Немного оффтоп, Роберт, прошу прощения, Ваш труд все же... не, мне просто интересно, чем именно шикарнее звучание сдршарп по сравнению с psdr? HDSDR тоже отличная программа, некоторые функции ее просто не имею аналогов, но все же, для обычных людей, нас, которые заняты вылавливанием "блох" в виде ДХ, на огромной собаке, под названием КВ диапазоны, в чем косяк psdr то? По IMD внутриканальному - вроде вопросов нет, фт8 не даст соврать, по прямоугольности - тоже нет вопросов. По задержкам - нет вопросов, я еще и выход на ухи прикрутил, для тех, кто кодек не покупал. Может он немузыкально звучит, не знаю, я ессбишников слушал, вроде басочки на месте ) Если вопрос в псевдобинаурал... Ну не знаю, мне единственная фича понравилась очень в сдршарп, каждая боковая в свое ухо, очень прикольно вещалки слушать в наушниках, прям слышишь, как ионосфера крутит фазу ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1267] Автор : UN7RX Дата : 18.09.2018 05:37 По задержкам - нет вопросов, я еще и выход на ухи прикрутил, для тех, кто кодек не покупал. Меня, кстати, очень удивило качество звука с ПЛИСки. Я все время слушал через SB, но на фоне всеобщего увлечения кодеками решил послушать встроенный программный и получил массу удовольствия, плюс лишний раз убедился что конкретно в этой конструкции кодек совсем необязателен. Единственное, что микрофон тоже можно напрямую, без компа включить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1268] Автор : RA1QIT Дата : 20.09.2018 09:35 EU1SW, Сергей, спасибо за конструкцию!:пиво::пиво::пиво: Для оживления аппарата понадобилось всего три вечера и китайский тестер. Идущие следом - будьте внимательны и аккуратны! И все получится! Кросс плата, платы АЦП и ЦАП самодельные по материалам ветки. ПЛИС не перепаивал. Слушаю через комп. Балдею!:-P Василию Livas60 огромное спасибо за моральную поддержку.:пиво::пиво::пиво: 73! Юрий -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1269] Автор : Livas60 Дата : 20.09.2018 11:20 Юрий, поздравляю с запуском. Расскажите для пользователей форума где была проблема. Хотелось бы увидеть фото конструкции и файл кроссплаты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1270] Автор : alex_m Дата : 20.09.2018 14:15 Подскажите пожалуйста по модификации модуля АЦП AD6645. В схеме, которую приводил Livas60, на вторичной обмотке трансформатора (на которую нагружен вход АЦП), кроме резистора подключен также конденсатор на 12 пФ. Какую роль играет этот конденсатор? 296733 Также в этой схеме используется нагрузочный резистор на 220 Ом. В книге Kenton Williston "Digital Signal Processing World Class Designs", на стр.67 приводятся варианты улучшения Noise Figure для AD6645. Если судить по этим вариантам схем, то резистор 220 Ом примерно соответствует трансформатору 1:2 296735 Подскажите, правильно ли понимаю, что в случае установки трансформатора 1:4, нужно ставить резистор на 4.02 кОм вместо 220 Ом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1271] Автор : UN7RX Дата : 20.09.2018 15:25 Это же по сопротивлению 1:4. Учитывая что собственное сопротивление по аналоговому входу порядка десятка килоом, 220 Ом приводит входное к 50 Ом. Да и сам трансформатор обеспечивает свою АЧХ именно в 50 омном тракте по даташиту. Что до конденсатора, то видимо образует какой то ФНЧ. Но конкретно с указанным типом Тр, если он самодельный, или другого типа, то его стоит подобрать. Или вообще убрать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1272] Автор : RV3DLX Дата : 20.09.2018 15:43 о резистор 220 Ом примерно соответствует трансформатору 1:2 На приведенной Вами картинке соотношение витков 1:2, при этом соотношение сопротивлений 1:4. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1273] Автор : EU1SW Дата : 20.09.2018 16:46 а нельзя ли элементарный ликбез и самообучение товарищу проводить в другой теме какой нибудь? например в вопросах без обсуждений, или где нибудь еще, на выбор... Задали вон выше вопрос коллеге, о проблемах при запуске, так потонет и вопрос и ответ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1274] Автор : alex_m Дата : 20.09.2018 18:09 Но конкретно с указанным типом Тр, если он самодельный, или другого типа, то его стоит подобрать. Или вообще убрать. У меня ADT4-1WT, какие номиналы ставить? 1) 220 Ом + 14 пФ 2) 249 Ом 3) 4.02 кОм Как конденсатор подбирать - на что он влияет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1275] Автор : alex_m Дата : 20.09.2018 19:09 EU1SW, Сергей, прошу прощения за вопросы по доработке АЦП, просто эта тема тут освещена только картинкой что убрать, что запаять, хотя вроде-бы именно это является самой критической частью всей конструкции. Ведь от этого зависит шум, чувствительность, динамика приёмника, поэтому хотелось бы повторить эту часть правильно. Еще Сергей, у меня к вам просьба, сделайте пожалуйста фотографию - как вы на плате АЦП припаяли диоды на выходе трансформатора СLK. Уже который день пытаюсь найти пример как это сделать технологичнее, но к сожалению ни одной фотографии платы АЦП с диодами не нашел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1276] Автор : Livas60 Дата : 20.09.2018 19:17 У себя я сделал так: 296746 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1277] Автор : RA1QIT Дата : 21.09.2018 09:29 Livas60, проблема, как я писал, не проблема а неаккуратность при пайке 40Вт паяльником - не пропаян резистор в цепи DRY (плата АЦП самодельная). Но даже при этом PSDR c аппаратом коннектился, не было шумовой дорожки, общее потребление около 0,4А, процессор компа загружался до 20%. После восстановления контакта -потребление до 0,8А, загрузка процессора 30% и более. Пока не смог уменьшить размер фото платы и размещать файл кросс платы до запуска передачи считаю преждевременным. Пока ругается на высокий КСВ, видимо что-то нужно поправить в прошивке или попробовать более ранние прошивки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1278] Автор : EU1SW Дата : 21.09.2018 09:38 очевидно будет ругаться, потому, что льете прошивку под медленный АЦП, а его нет, судя по всему... Я приводил все процедуру, и что именно и где нужно менять, аж прям вверху прилеплено -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1279] Автор : RV3DLX Дата : 21.09.2018 09:44 Пока ругается на высокий КСВ, видимо что-то нужно поправить в прошивке или попробовать более ранние прошивки. Когда я поставил прошивку, где был активирован медленный АЦП для измерения мощности и КСВ, то программа так же не давала включится режиму передачи из за большого КСВ. Когда запаял микросхему АЦП все стало нормально работать. Юрий. P.S. Кстати, при отсутствие медленного АЦП, можно "обмануть" систему не меняя прошивки, нужно шину данных АЦП на входе FPGA подвесить то ли на питание, то ли на землю (уже не помню, давно это было). И тогда передача начнет включаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1280] Автор : EU1SW Дата : 21.09.2018 09:45 а насчет кроссплаты, так я не морочился, переиспользовал из проекта бюджетного, минимум коррекции, да пины переназначить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1281] Автор : Livas60 Дата : 23.09.2018 16:17 Вопрос alex_m о номинале конденсатора на входе АЦП подтолкнул к измерению характеристик входной части АЦП AD6645. Решил поделиться полученными результатами. Схема исследуемой цепи: 296886 Конденсатор 12пФ был выпаян и на его место установлен конденсатор 20пф. После этого измерены входные параметры вышеприведенной схемы: 296887 Потом был установлен конденсатор 5пФ и снята полученная с ним характеристика: 296888 И, наконец, удаление этого конденсатора дало наилучший результат: 296889 Получается, что в моем случае конденсатор устанавливать нет необходимости? У себя в модуле АЦП я применил самодельный согласующий трансформатор. Возможно с трансформатором иного конструктива результаты будут отличаться. Немного озадачил завышенный КСВ в центре рабочего диапазона частот. Даже без конденсатора он в районе 1.7. Проверка в симуляторе подтвердила предположение, что виновником является входной фильтр низких частот. В самом худшем случае симулятор показывает обратное ослабление меньше 13дБ, что дает КСВ около 1.6: 296890 Был рассчитан новый фильтр 5-го порядка: 296891 Схема входа АЦП стала такой: 296892 Согласно этой схемы был переделан модуль АЦП и измерены его входные параметры. Вот результат измерений: 296893 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1282] Автор : UN7RX Дата : 23.09.2018 16:28 Серьезный подход, Василий, спасибо. Я уже упоминал что емкость конденсатора (если он вообще нужен, что и показал Ваш случай) привязана к конкретному типу трансформатора, то есть к индуктивности его обмотки. Насчет ФНЧ вовремя, я как раз еще не начинал печатку новую рисовать. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1283] Автор : alex_m Дата : 23.09.2018 16:39 Livas60, спасибо за измерения, так и предполагал, значит конденсатор ставить не буду. Было бы интересно посмотреть на изменение чувствительности с конденсатором и без. По идее без конденсатора чувствительность на ВЧ диапазонах должна заметно увеличиться, а АЧХ во всей полосе стать более ровной. А трансформатор у вас 1:2? Не пробовали резистор на 249 Ом ставить (вместо 220), как в книгах и мануалах Analog Devices рекомендуется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1284] Автор : UT4LW Дата : 23.09.2018 16:42 удаление этого конденсатора дало наилучший результат: Этот конденсатор влияет на шумы АЦП. Поэтому нужно следить за состоянием шумовой дорожки во всем диапазоне рабочих частот. Изменение емкости на единицы пФ могут привести к подъему шума до 10 и более дБ с соответствующей потерей динамики на это же значение. Не факт, что минимум шума совпадет с наилучшим согласованием. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1285] Автор : Livas60 Дата : 23.09.2018 17:15 Да, Юрий, проверка шумовой полки в рабочем диапазоне частот и влияние на нее этой емкости будет следующим этапом измерений. А трансформатор у вас 1:2? По отношению числа витков, да. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1286] Автор : EU1SW Дата : 23.09.2018 18:23 Согласно этой схемы был переделан модуль АЦП и измерены его входные параметры. Вот результат измерений: Василий, коль уж занялись оптимизацией, в даташите еще и последовательные резисторы есть, отделяющие входы АЦП от трансформатора, то бишь последовательные резисторы 25 ом, а уж потом емкость, что бы не случилось, как писал Роберт, "емкость конденсатора (если он вообще нужен, что и показал Ваш случай) привязана к конкретному типу трансформатора". Добавлено через 9 минут(ы): Я уже упоминал что емкость конденсатора (если он вообще нужен, что и показал Ваш случай) на самом деле эксперимент Василия всего лишь продемонстрировал, что трансформатор трансформирует и реактивности, в том числе ) можно было оставить емкость на вторичке, но уменьшить последнюю емкость в ФНЧ с тем самым результатом ) а можно ввести резисторы, как написал выше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1287] Автор : Livas60 Дата : 23.09.2018 18:35 Сергей, резисторы между трансформатором и входами АЦП я не поставил только потому, что у меня нет в наличии подходящего типоразмера нужного номинала, а 0805 монтировать, рискуя повредить плату я не стал. Заказал резисторы, получу, проверю и этот вариант. Фото фрагмента платы АЦП с новым фильтром: 296895 Входной конденсатор установлен с обратной стороны платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1288] Автор : Livas60 Дата : 30.09.2018 11:42 Прошу прощения, что пишу в теме. Сергей (EU1SW), папку "Входящие" почистите, пожалуйста. Не могу отправить Вам сообщение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1289] Автор : EU1SW Дата : 30.09.2018 11:48 Грохнул папку входящие, получилось целиком... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1290] Автор : Livas60 Дата : 02.10.2018 11:28 Продолжил исследование вариантов согласования входных цепей АЦП AD6645, результаты которых приводил в сообщении 1306 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1565792&viewfull=1#post1565792). Сначала были проверены варианты с резисторами 25 Ом между выходом трансформатора и входами АЦП. Вариант 1 (v1), согласование по выходу трансформатора резистором 178 Ом: 297505 КСВ без установки конденсатора параллельно входам АЦП: 297506 и скрин PowerSDR: 297507 Уровень шума составил в среднем -117дБм во всем проверяемом интервале входных частот от 1.5 до 30 МГц. КСВ с конденсатором 12 пФ: 297508 Установка конденсатора ухудшила согласование в верхней части рабочего диапазона. Шумовая полка по всему диапазону осталась на уровне -117дБм. Вариант 2 (v2), согласование по входу трансформатора резистором 60.4 Ом: 297509 КСВ без конденсатора параллельно входам АЦП: 297510 полученный уровень шума -119дБм: 297511 и соответственно с конденсатором 12 пФ: 297512 297513 Установка конденсатора ухудшила согласование и немного (до 1 дБм) подняла шумовую полку. Дальше было решено довести до конца проверку вариантов согласования без последовательных резисторов между трансформатором и АЦП, начатую раньше и описанную в сообщении 1306. Поскольку проведенные измерения показали, что установка конденсатора не дает никакого улучшения, было решено не устанавливать его в последующих экспериментах. Вариант 3 (v3), согласование по выходу трансформатора резистором 249 Ом: 297514 КСВ: 297515 шум (-119дБм): 297516 Вариант 4 (v4), согласование по входу трансформатора резистором 62 Ома: 297517 Согласование: 297518 уровень шума получен такой же, как и в предыдущем случае (-119дБм). Как видим варианты 2 (без конденсатора), 3 и 4 дали одинаковый результат по уровню шумовой полки (-119дБм), но вариант 3 обеспечил наилучшее согласование по входу АЦП. Именно поэтому для себя я выбрал вариант 3. Визуальный контроль зависимости уровня шума от частоты осуществлялся программой CuSDR. Скрин из нее для этого варианта: 297519 Видим плоскую характеристику от диапазона 160м до диапазона 10м. С учетом всего выше изложенного окончательная схема входа AD6645 у меня сейчас выглядит так: 297520 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1291] Автор : rz3qs Дата : 02.10.2018 11:42 Уровень шума составил в среднем -117дБм Это уровень реальный, т.е. после калибровки S-метра на данном диапазоне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1292] Автор : EU1SW Дата : 02.10.2018 11:43 -117- (-119) дБм - мощность собственных шумов АЦП в полосе 500 Гц, без предусилителя... Что вызывает удивление? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1293] Автор : rz3qs Дата : 02.10.2018 11:51 Что вызывает удивление? Не вызывает, просто хочу записать в тетрадочку, уровень реальный или как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1294] Автор : Livas60 Дата : 02.10.2018 12:02 Это уровень реальный, т.е. после калибровки S-метра на данном диапазоне Да, при каждом изменении схемы перед измерениями производилась перекалибровка PowerSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1295] Автор : EU1SW Дата : 02.10.2018 12:08 хочу записать в тетрадочку, уровень реальный или как. реальный, я выкладывал результаты аналогичных измерений, проведенные мною, еще 2 года назад, этого же самого АЦП, в теме самопального DDC приемника ДД по блокированию получается порядка 122-124 дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1296] Автор : Livas60 Дата : 02.10.2018 12:55 Небольшое дополнение к сообщению 1315. Для того, чтобы в процессе экспериментов не "убить" печатную плату модуля АЦП многочисленными перепайками была изготовлена небольшая переходная плата, посаженная на модуль АЦП и на которой были смонтированы все элементы входной цепи: 297524 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1297] Автор : EU1SW Дата : 02.10.2018 13:28 Есть еще одна маленькая идейка, взять какой нибудь маленький вайфай рутер, и закоммутировать езернет прямо в коробке трансивера... ) Помню где то Ролин делится опытом прикручивания вифи к своему изделию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1298] Автор : ub1amz Дата : 02.10.2018 14:35 Можно попробовать esp32 прикрутить в качестве вайфая и может быть плюпупа. Примеры вроде гугл находит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1299] Автор : alex_m Дата : 02.10.2018 20:32 С учетом всего выше изложенного окончательная схема входа AD6645 у меня сейчас выглядит так: 297520 Василий, а вы АЧХ для этого ФНЧ не снимали? Я ФНЧ сделал так: 297584297585 Подключаю перед входом АЦП. Но АЧХ получилась похуже, чем расчётная (слева расчётная, справа реальная): 297586 297587 Работает неплохо, очень мощные FM вещалки давит хорошо, даже следов не остаётся. До этого без фильтра слушал, с фильтром эфир значительно чище стал, стало видно кучу вещалок :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1300] Автор : VFO Дата : 02.10.2018 20:55 А какая добротность у этих дросселей? И собственный резонанс где? На вид дохловатые. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1301] Автор : Radiotester Дата : 02.10.2018 21:02 alex_m, Завал на 28-29 хороший, надо переделывать на smd индуктивности murata. После УРЧ установлен фильтр 5го порядка и последовательно с ним по входу АЦП перед трансом 3го порядка. В совокупности последовательно два включенных фильтра какую дают картину ни кто не симулировал или реальную АЧХ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1302] Автор : Genadi Zawidowski Дата : 02.10.2018 21:03 Это не дохловатые… EC-24 и EC-36. 36-е крупнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1303] Автор : alex_m Дата : 02.10.2018 21:18 да, это EC24-R39K (http://www.kosmodrom.com.ua/el.php?name=EC24-R39K) и EC24-R47K (http://www.kosmodrom.com.ua/el.php?name=EC24-R47K) выбирал чтобы конструкция попроще и подубовее получилась :smile: По документации (http://www.kosmodrom.com.ua/pdf/EC24.pdf) добротность у них не менее 40, собственный резонанс не менее 320 МГц для 0.39 мкГн и 300 МГц для 0.47 мкГн соответственно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1304] Автор : Livas60 Дата : 02.10.2018 22:50 Василий, а вы АЧХ для этого ФНЧ не снимали? Снимал. Вот: 297602 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1305] Автор : EU1SW Дата : 03.10.2018 21:34 В свете решений 26 съезда компартии результатов последних измерений шумовых характеристик io плис видится оптимальным подача сигнала DRY параллельно, в качестве клока для ЦАП. Ожидается приход в виде улучшения шумовых характеристик передатчика. Возможно понадобится подбор фазы, в зависимости от длины проводника. В общем нужен эксперимент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1306] Автор : Livas60 Дата : 04.10.2018 11:11 Сергей, если можно, немного подробнее об этом. Аппаратная переделка будет заключаться только в пробросе сигнала DRY на CLK ЦАП, остальное софт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1307] Автор : EU1SW Дата : 04.10.2018 11:36 Василий, для начала можно просто закольцевать по ВЧ, нажать тон и зафиксировать уровень полки, затем пробросить сигнал мимо плис и нажать кнопку тон еще раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1308] Автор : Livas60 Дата : 04.10.2018 15:06 Попробовал. Сигнал с выхода ФНЧ ЦАПа подавал сразу на вход ФНЧ АЦП. УВЧ не использовался. Калибровку PowerSDR не делал. Исходный вариант: 297768 Тактирование ЦАПа сигналом DRY: 297769 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1309] Автор : EU1SW Дата : 04.10.2018 15:45 А ну ясно, где то по задержкам не срослось... ) Надо софт, значит, ковырять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1310] Автор : RV3DLX Дата : 04.10.2018 18:11 После некоторого перерыва продолжил работу над своим СДРом. Сделал плату ДПФ, по данным и схеме Геннадия Завидовского. Он по такой структуре сделал ДПФ в своем трансивере Аист. Такие фильтры вполне выполняют свое назначение, разгружают АЦП и избавляют от артефактов, которые появляются на ВЧ диапазонах во время сильного прохождения на НЧ диапазонах. АЧХ этих фильтров выложил в теме Аиста, здесь повторять не имеет смысла. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1311] Автор : UN7RX Дата : 04.10.2018 18:22 Такое исполнение ФНЧ не противоречит понятию большого динамического диапазона? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1312] Автор : alex_m Дата : 04.10.2018 18:25 UN7RX, я вот тоже думаю насчёт ДПФ. По идее, если ФНЧ перед АЦП не ставить, то можно вместо него плату ДПФ поставить, сильно хуже наверно не будет. Или будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1313] Автор : Genadi Zawidowski Дата : 04.10.2018 18:26 похоже вы попали на момент когда крупные индуктивности на 1.8 мкГн не продавались в чипедипе. сейчас они опять есть. на верхнем диапазоне затухание на один децибел можно было бы уменьшить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1314] Автор : RV3DLX Дата : 04.10.2018 18:32 Геннадий, спасибо, значит нужно будет купить. Насчет ухудшения динамического диапазона наверно сможет ответить Геннадий, наверно он делал какие то измерения. Когда установлю эту плату в трансивер, проведу измерения. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1315] Автор : Genadi Zawidowski Дата : 04.10.2018 18:50 змерял толтко ачх. прадпооагаю чткрупные меньше подвержены . тес более очень широкополочные фильтры. ваши рещультаты очень будут интересны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1316] Автор : UN7RX Дата : 04.10.2018 19:51 Вообще на тему конструктива входных фильтров для устройств с высоким ДД, начиная со времен конструкций Дроздова, сказано и написано океаны статей и моря замеров. В их число точно не входят подобные SMD конструкции. Они принципиально не могут обеспечить нужных параметров. Поэтому у меня точно ничего подобного стоять не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1317] Автор : RV3DLX Дата : 04.10.2018 21:20 Роберт, при всей своей замечательности, обсуждаемая в этой теме конструкция не претендует на супер параметры, поэтому уверен, что такие ДПФ не ухудшат параметры (по крайней мере в моих условиях), а некое улучшение дадут. Так что я просто показал что я делаю, и никто не против, что можно делать любые другие ДПФ. Успехов, Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1318] Автор : EU1SW Дата : 04.10.2018 21:35 Все зависит от того, что принимать за "суперпараметры"... Приведите пример, для общей точки отсчета, будет полезно. А в целом, следует делать то, что нравится, для этого инфа и выложена в том виде, в каком есть. Холиварить смысла нет по многим причинам. Например можно взять и измерить, при наличие соответствующей аппаратуры. Можно взять и рассчитать, при наличие соответствующих исходных данных и знаний. Я до сих пор считаю, что подобные "обзорные" тракты с достаточной динамикой, при широкополосных антеннах, и достаточной чувствительности во всем КВ, могут обходится только антиалиас ФНЧ по входу, и простейшим ФВЧ с 20 дБ на декаду со срезом на 14-15 мгц. Возможно я поменяю свое мнение, когда появятся другие антенны, но на текущий момент никаких предпосылок, указывающих на негодность данной концепции не наблюдается ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1319] Автор : RV3DLX Дата : 05.10.2018 08:11 могут обходится только антиалиас ФНЧ по входу, и простейшим ФВЧ с 20 дБ на декаду со срезом на 14-15 мгц. Тут Сергей, я с Вами полностью согласен. На частотах до 14 МГц динамики вполне хватает, на 10-ти метровом диапазоне в вечернее время появляются "палки" и приподнимается шумовая дорожка. Установка простого ФВЧ эту проблему снимает. Это наблюдение конечно в моих условиях с достаточно широкополосной антенной. Думаю если на 10-ке использовать диапазонную узкополосную антенну, все будет нормально. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1320] Автор : Radiotester Дата : 05.10.2018 10:18 Есть вопрос по каллибровке S-метра в PowerSdr именно в этой конструкции. Аттенюатора нет, есть УВЧ 16дб(практически равномерно до 30мгц +/-0.7дб за счёт октавных полосовых фильтров) как собственно задумано в этой конструкции. Провожу калибровку за ранее известным уровнем с ГСС на известной частоте. Уровень "вбиваю" в программу. Калибровка проходит успешно. При включенном ослаблении 0дб С-метр показывает все правильно . Если включить -30дб(выключается УВЧ), то разница уже порядка 10дб. Это в этой конструкции так и должно быть ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1321] Автор : EU1SW Дата : 05.10.2018 10:22 УВЧ выключается при -20, если чо... И ошибки при этом именно в этой конструкции нет, уважаемый радиотестер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1322] Автор : RV3DLX Дата : 05.10.2018 10:37 У меня два аттенюатора, 10 и 20дб, прошивка от Василия, при включении всех значений 10,20 и30дб S-метр показывает все правильно. Юрий. P.S. Правда 20 и тем более 30дб включать не приходится, если включается радиолюбитель из соседнего дома, аттенюатора 10дб вполне хватает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1323] Автор : Radiotester Дата : 05.10.2018 10:56 EU1SW, Дык я же и не "сказал" что думаю что ошибка в конструкции. Я в курсе что при -20 УВЧ выключается но собственно мысли вашей не уловил, Сергей. RV3DLX, Нужно делать переделки соответствующие под прошивку в редакции Василия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1324] Автор : EU1SW Дата : 05.10.2018 11:24 Я в курсе что при -20 УВЧ выключается но собственно мысли вашей не уловил, Сергей. PSDR калибрует только 0 и -20, соответственно втыкая -30 и не имея соответствующего аттенюатора какой эффект ожидался? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1325] Автор : Radiotester Дата : 05.10.2018 11:59 EU1SW, Эффект, такой же как и при -20db или все же программа там свои вычесления делает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1326] Автор : EU1SW Дата : 05.10.2018 12:09 у вас физически что нибудь коммутируется вообще? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1327] Автор : RV3DLX Дата : 05.10.2018 12:41 Нужно делать переделки соответствующие под прошивку в редакции Василия. Прошивка Василия поддерживает и вариант конструкции, когда коммутируется УВЧ. Можно добавив аттенюатор 10дб, сделать три уровня усиления. У меня сделаны два аттенюатора, а УВЧ включен всегда. Кому как нравится. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1328] Автор : Radiotester Дата : 05.10.2018 12:48 у вас физически что нибудь коммутируется вообще? Коммутируется УВЧ, аттенюаторов нет. RV3DLX, Юрий, три уровня. 1) УВЧ включен что равно 0дб 2)Ничего не включено. 3)Включен АТТ Верно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1329] Автор : EU1SW Дата : 05.10.2018 13:03 Коммутируется УВЧ, аттенюаторов нет. Ну так очевидно же, что только положения 0 и -20 реально изменяют усиление в тракте, соответственно при -10 и -30 будет завышение на 10 дб. В чем смысл особого Вашего внимания к значениям аттенюации, физически отсутствующим в Вашем сетапе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1330] Автор : Radiotester Дата : 05.10.2018 13:13 EU1SW, Все! Спасибо! Я понял. Понял! Теперь душу успокоил себе!:-P Одно только огорчило после калибровки, шумовая линия по -90db пошла. Модули в экранах, все вроди как бы разделено.Буду бороться методом научного тыка... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1331] Автор : RV3DLX Дата : 05.10.2018 13:29 RV3DLX, Юрий, три уровня. 1) УВЧ включен что равно 0дб 2)Ничего не включено. 3)Включен АТТ Если у Вас усилитель 20дб и аттенюатор 10дб, то может быть четыре комбинации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1332] Автор : EU1SW Дата : 05.10.2018 13:31 кстати еще 1) УВЧ включен что равно 0дб 2)Ничего не включено. 3)Включен АТТ Верно? не верно, потому что возможны 4 положения 0 дб - АТТ -10 выключен, УВЧ включен -10 дб - АТТ -10 включен, УВЧ включен -20 дб - АТТ -10 выключен, УВЧ выключен -30 дб - АТТ -10 включен, УВЧ выключен и настройки отображения в ПСДР выставьте, а то зазря может быть провтыкано "научное тыкание" ) Я конечно понимаю, что про это уже стотыщ раз писали, но только кто ж это читает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1333] Автор : UN7RX Дата : 22.10.2018 04:15 У кого стоят стоят MCP3202/MCP3204 вместо ADC78H90? Сергей предусмотрел и тот и другой варианты, но при разработке ПП MCP3202 просто расчудесно удобные. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1334] Автор : RV3DLX Дата : 22.10.2018 08:11 У кого стоят стоят MCP3202/MCP3204 вместо ADC78H90? Я установил у себя узел на МСР3202, работает, измеряет мощность и КСВ. Микросхемы покупал в Китае, заказал 5 штук, одна работает у меня, вторая у моего приятеля в такой же конструкции. Думаю что ADC78H90 избыточна для этой конструкции, да и дороже значительно. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1335] Автор : RV3DLX Дата : 23.10.2018 21:47 Вот так выглядит модуль на МС3202 и вот так он установлен в трансивер (маленькая платочка микросхемой вниз). Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1336] Автор : RV3DLX Дата : 02.11.2018 17:07 Очередной мой приятель решил сделать эту конструкцию. Он захотел сделать самодельную плату АЦП и заказал микросхемы. Я тем временем развел плату, где кроме АЦП установлены УВЧ, ФНЧ и кварцевый генератор. Вот пока такая плата получилась, скоро прибудут микросхемы АЦП и посмотрим, что из этого получится. Разводка этого модуля адаптированна под кросс-плату Василия. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1337] Автор : EU1SW Дата : 02.11.2018 18:17 Симпатичненько, а чего "двухголовый" не хотите сделать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1338] Автор : Livas60 Дата : 03.11.2018 14:03 Некоторое время назад приобрел на Али микросхемы электронных аттенюаторов PE4306. Наконец дошли до них руки и смог проверить их работу в этой конструкции. Все нормально работает. Схему включения PE4306 привожу ниже. Также прикладываю файл проекта с этой модификацией. Это модернизация этого (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1549027&viewfull=1#post1549027) проекта. Управление раздельными аттенюаторами -10, -20дБ и предусилителем отключено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1339] Автор : Livas60 Дата : 04.11.2018 11:59 Микросхема WM8731, применяемая в модуле аудиокодека позволяет управлять ее конфигурацией по одному из протоколов SPI или I2C на выбор. Переключение на нужный протокол осуществляется подачей комбинации необходимых логических уровней на соответствующие выводы микросхемы. Производитель модуля изготавливает его в варианте для работы по протоколу I2C. Программное же обеспечение Гермеса взаимодействует с микросхемой WM8731 посредством SPI протокола. Именно для перевода с протокола I2C на SPI и нужна аппаратная доработка модуля при его применении в трансивере, описываемом в этой ветке. Для того чтобы сделать возможным использование модуля без его аппаратной доработки необходима модификация программного кода Альтеры для перехода на работу с микросхемой кодека по протоколу I2C. Такая переделка программы была выполнена. Проверка в течении нескольких дней работы трансивера с новым вариантом подключения кодека не выявила каких-либо изменений в худшую сторону по сравнению со старым вариантом. Переход на протокол I2C к тому же немного упростил подключение модуля аудиокодека к модулю ПЛИС, поскольку шина SPI 3-х проводная, а I2C работает по двум проводам. Привожу схему моего варианта трансивера с подключением аудиокодека по шине I2C. Прикладываю также архив с файлом проекта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1340] Автор : RA4ATL Дата : 05.11.2018 11:19 Прочитал всю ветку и не нашёл как в сие конструкции фнч TX управлять ткните носом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1341] Автор : Livas60 Дата : 05.11.2018 11:30 Сообщение 318 (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1459083&viewfull=1#post1459083) этой темы перечитайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1342] Автор : RV3DLX Дата : 05.11.2018 13:07 не нашёл как в сие конструкции фнч TX управлять ткните носом Как же так, не нашли? В этой конструкции есть выходы USEROUT (их семь штук), на этих выходах появляются сигналы управления фильтрами в соответствие с тем, как Вы это установите в программе PowerSDR. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1343] Автор : RA4ATL Дата : 05.11.2018 13:20 Слепой теперь вижу спасибо:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1344] Автор : RA4ATL Дата : 09.11.2018 14:12 блин прошил плату альтера 10 поверсдр сконектил приёма нет сетевые светодиоды при подключении поморгали и всё горит жёлтый светик пакетов нет хотя повер сдр стоит в конекте шума эфира нет совсем нет нету ничего даже собственного шума не видно Добавлено через 7 минут(ы): в пин плане 96 мгц ошибка dry завел в adc redy 24pin физически подключен к 125 pin и перемычкой к 24 pin 125pin в пин плане отключен это не трогал clock50 altera 53pin толи adc сдохший не пойму генератор 96 мгц проверил уровни есть как проверить adc на признаки жизни -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1345] Автор : Genadi Zawidowski Дата : 09.11.2018 14:20 на младших битах "мельтешить" должно. тестеиом как полпитания выглядит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1346] Автор : EU1SW Дата : 09.11.2018 14:26 а зачем было заводить 96 мгц на 24 пин, если туда должен приходить сигнал готовности данных с АЦП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1347] Автор : RA4ATL Дата : 09.11.2018 14:29 до d3 вижу 1.5 1.7 в выше тишина не понял -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1348] Автор : EU1SW Дата : 09.11.2018 14:30 я сам ничего не понял, что вы там навертели... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1349] Автор : RA4ATL Дата : 09.11.2018 14:30 а зачем было заводить 96 мгц на 24 пин, если туда должен приходить сигнал готовности данных с АЦП? не правельно написал dry завёл -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1350] Автор : EU1SW Дата : 09.11.2018 14:33 тестером наличие тактовой на выходе DRY/входе плис видится как 3,3 вольта пополам, т.е. 1,65 а все остальное уже вопрос правильной расстановки пин что за плата? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1351] Автор : RA4ATL Дата : 09.11.2018 14:37 0.76в плата c4e6/10-core пины раставил под эту схему на будущие 300124 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1352] Автор : EU1SW Дата : 09.11.2018 14:49 отсоедините DRY от всего и проверьте наличие/отсутствие "правильного" сигнала с выхода АЦП если увидите 1,65 - разберитесь кто садит не увидите - разберитесь почему его нет, правильный ли такт приходит на АЦП чем тут еще помочь? Добавлено через 6 минут(ы): на той схеме DRY - 23-й пин, приведите распиновку в соответствие -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1353] Автор : RA4ATL Дата : 09.11.2018 15:19 блин победил вы были правы dry тянул к земле не подключенный 125 pin он в pin плане не задействован но вот так вышло -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1354] Автор : EU1SW Дата : 09.11.2018 15:25 ну и отлично, теперь все должно работать ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1355] Автор : RV3DLX Дата : 10.11.2018 14:34 Наконец то получил долгожданные микросхемы АЦП. Начал пытаться запаять микросхему на плату и "попал в засаду", ножки микросхемы не припаиваются. Каким только флюсом, кроме кислоты, не пробовал, не прилипает припой и все тут. Пришлось обратится у своему хорошему приятелю, который имеет большой опыт и доступ к оборудованию. Страшно ругаясь, он облудил ножки кислотным флюсом, потом промыл с использованием ультразвука в ванне и запаял эту злополучную микросхему. Сегодня он принес мне плату, я ее вставил в разъем, включил трансивер и все прекрасно заработало. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1356] Автор : Livas60 Дата : 10.11.2018 14:40 Юрий, здравствуйте. Поздравляю с запуском. Файлом печатки не поделитесь? Кстати, похожая ситуация с окислением выводов микросхемы у меня была при пайке аттенюатора PE4306. При попытке облудить вывод почернели и после того, как с большим трудом залудились и микросхема была запаяна, выяснилось после включения, что она не работает. Перегрел при лужении. У второй микросхемы долго и тщащельно чистил выводы ластиком. Это не так просто сделать при размерах микросхемы 4мм х 4мм и наличии 20 выводов. После чистки она легко облудилась и заработала после пайки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1357] Автор : RV3DLX Дата : 10.11.2018 14:45 Файлом печатки не поделитесь? Василий, конечно поделюсь. Наверно сюда выложу, вдруг кому пригодится. Юрий. P.S. Вот файл платы. Пяточки квадратной формы нужно соединить с фольгой на обратной стороне платы. Есть две проволочные перемычки с обратной стороны платы. При печати нужно зеркалить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1358] Автор : laguvit Дата : 23.11.2018 13:27 Василий, конечно поделюсь. Наверно сюда выложу, вдруг кому пригодится. Юрий. P.S. Вот файл платы. Пяточки квадратной формы нужно соединить с фольгой на обратной стороне платы. Есть две проволочные перемычки с обратной стороны платы. При печати нужно зеркалить. Здравствуйте! Подскажите какие резисторы стоят на d0-d13, dry? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1359] Автор : RV3DLX Дата : 23.11.2018 13:33 Подскажите какие резисторы стоят на d0-d13, dry? Если по номиналу, то такие как и на фирменной плате 220 Ом. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1360] Автор : RC3ZQ Дата : 23.11.2018 14:08 RV3DLX, Юрий, добрый день. Микросхему АЦП вы какую приобретали ad6645asvz-80 или ad6645asvz-105 ? На фото маркировку к сожалению не видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1361] Автор : RV3DLX Дата : 23.11.2018 14:18 или ad6645asvz-105 105 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1362] Автор : UN7RX Дата : 29.11.2018 08:50 Livas60,Здравствуйте ! Помогите правильно расставить pin Во первых Василий понятия не имеет как вы используете выходы. Во вторых, с такими просьбами в ЛС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1363] Автор : LY3OS Дата : 05.12.2018 10:50 Хочу уточнить по MCP32**. У меня она запитана от 5 вольт, значит после тандем-матча надо поставить одинаковыe резистивныe делители для FWD_PWR и REV_PWR, что-бы на пины MCP32** приходило максимально - не более 4,9 вольт детектированного? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1364] Автор : Genadi Zawidowski Дата : 05.12.2018 11:09 Хочу уточнить по MCP32**. У меня она запитана от 5 вольт, ... А с FPGA по уровням согласовано? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1365] Автор : Livas60 Дата : 05.12.2018 11:22 Согласно документации напряжение на аналоговых входах не должно превышать напряжения питания. Так, что все верно. Но я бы запитал микросхему напряжением 3.3 вольта. Уровень логической единицы входного управляющего сигнала не должен быть ниже 0.7 от напряжения питания. С ПЛИС приходят управляющие сигналы уровнем 3.3 вольта, а при питании MCP3202 напряжением 5 вольт это ниже минимально необходимого уровня 3.5 вольта (0.7 х 5). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1366] Автор : EU1SW Дата : 05.12.2018 12:21 Навряд ли и плис будет в восторге от 5 вольтовых сигналов на своих входах, там конечно тоже диоды, но это временно ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1367] Автор : Livas60 Дата : 05.12.2018 13:31 там конечно тоже диоды, но это временно ))) :super: Не удержался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1368] Автор : LY3OS Дата : 05.12.2018 13:48 Извиняюсь, я плохо сформулировал свой вопрос. Имел в виду напряжения с тандем-матча, приходящие на MCP - снизить их резистивными делителями? Что-бы были не более U питания, на максимальной выходной мощности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1369] Автор : EU1SW Дата : 05.12.2018 13:50 Что-бы были не более U питания, на максимальной выходной мощности. Совершенно верно, при этом U питания взять 3,3 вольта... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1370] Автор : LY3OS Дата : 05.12.2018 13:53 Спасибо, переделаю питание на 3,3 вольта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1371] Автор : LY3OS Дата : 07.12.2018 17:08 Отработал 3 месяца в эфире на этом TRX - ненарадуюсь :super: Но сегодня случилась проблема: включил утром - все отлично, посмотрел панораму - эфир громкий, станций предостаточно. Дал CQ, отпустил педаль - тишинааа... :shock: Симптомы такие: после короткого CQ - уровень приема упал на 25 дб. УВЧ нету, антенное реле подключается через ФНЧ на траф ADC. В программе ничего не менял, в трансивер месяц не лез. Подумал, может антенное реле залипло. Открутил антенну - подключил минуя реле и ФНЧ, прямо на вход транса ADC - тоже самое. Вероятно, что-то выбило в AD6645 - все работает как обычно, но только на 25 дб тише :-( Вот скрины до (CQ WW две недели назад), и после (сейчас). Уровень шумовой планки снизился на 25 дб, от -130 до -155. Буду ждать любых отзывов и идей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1372] Автор : UF3K Дата : 07.12.2018 17:25 Не могли бы Вы скриншот выложить в режиме "Expand" (в верхнем меню PowerSDR), чтобы было видно состояние аттенюатора. Посмотрите на всякий случай пайку трансформатора АЦП и цепей сигнала вокруг него. Увы, но и от варианта "умер АЦП" никто не застрахован. Поэтому желательно перед АЦП ставить УВЧ и аттенюатор. На НЧ диапазонах вполне может спасти от статики дорогой АЦП. PS: Мощность передатчика высокая? Могло еще развязки антенного реле не хватить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1373] Автор : LY3OS Дата : 07.12.2018 18:08 На выходе 50-60 ватт - одна ГУ-19. Антенное реле специально сдвоил - одно переключает антенну, а второе замыкает вход RX на землю. И УВЧ имеется, но отсоединен - кто-ж думал... А если на входе трансформатора ADC ставить диоды на землю встречно-параллельно, это как-то помогло-бы в такой ситуации, как думаете? АТТ всегда на нуле, вот скриншот. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1374] Автор : VFO Дата : 07.12.2018 18:21 Документ о защите АЦП https://www.analog.com/media/en/analog-dialogue/volume-49/number-2/articles/rf-samp-adc-input-protection.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1375] Автор : RC3ZQ Дата : 07.12.2018 18:56 На выходе 50-60 ватт - одна ГУ-19. Антенное реле специально сдвоил - одно переключает антенну, а второе замыкает вход RX на землю. И УВЧ имеется, но отсоединен - кто-ж думал... А если на входе трансформатора ADC ставить диоды на землю встречно-параллельно, это как-то помогло-бы в такой ситуации, как думаете? АТТ всегда на нуле, вот скриншот. Здравствуйте. Посмотрите может где-то обрыв до симметричного входа АЦП от обмоток трансформатора...!? З.Ы Шутки ради - плохой день сегодня у этой железки.:ржач: Сам включил утром, а звука с аудио кодака не слышно. Выключил-включил и все звучек появился. Имейте в виду что максимальное питающее напряжение аналоговой части АЦП 7вольт. Проверьте 5в вольт на всякий случай. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1376] Автор : UF3K Дата : 07.12.2018 19:34 На выходе 50-60 ватт - одна ГУ-19. А если на входе трансформатора ADC ставить диоды на землю встречно-параллельно, это как-то помогло-бы в такой ситуации, как думаете? АТТ всегда на нуле, вот скриншот. Мощность небольшая, на КВ вообще не должно проблем быть. Диоды-то немного защитят, но IP3 входа обрушат катастрофически. Расчетный IP3 AD6645 порядка +50 dBm. С аттенюатором все нормально. Если есть генератор с осциллографом, то полезно подать на вход 0,1-0,15 В эфф. и посмотреть прямо на ножках АЦП (тоже должно быть примерно по столько же относительно земли, если трансформатор 1:2), что приходит. Как-то не очень верится, что закороченный два раза обмотками трансформатора вход АЦП взял и угорел. Если бы во время снегопада была статика, то Вы бы это очень отчетливо услышали/увидели. Там шумовая полка на 40-60 дБ поднимается. PS: И вот точно RZ3CQ написал, про напряжение питания аналоговой части. Помнится, у Сергея EU1SW было падение около 0,5 В на дросселе фильтра питания, не помню точно, тоже АЦП перестал работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1377] Автор : LY3OS Дата : 07.12.2018 20:07 Померял питание: 4,88 вольта. На выходных работаю, а в понедельник попробую различные варианты и напишу сдесь о результатах. Всем спасибо за ответы! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1378] Автор : RA4UKL Дата : 07.12.2018 22:17 LY3OS, Вы ФНЧ отпаивали совсем, когда на трансформатор сигнал подавали? Я к тому, что есть примеры превращения конденсаторов в нечто сопротивлением 10-20 Ом, возможно вход зашунтирован? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1379] Автор : UN7RX Дата : 07.12.2018 22:27 И вот точно RZ3CQ написал, про напряжение питания аналоговой части. Помнится, у Сергея EU1SW было падение около 0,5 В на дросселе фильтра питания, не помню точно, тоже АЦП перестал работать. У меня при запуске была та же проблема, я вам всем тут надоедал :smile:, пока не обнаружил - стаб на 5В был косячным и после включения напряжение на нем падало с 5.01 до 4.6В, АЦП вырубался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1380] Автор : RV3DLX Дата : 09.12.2018 14:23 Самодельные модули АЦП+УВЧ. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1381] Автор : laguvit Дата : 12.12.2018 13:51 Здравствуйте! Заказал Fpga Плату EP4CE22E22C8N на aliexpress , но продавец прислал другую EP4CE22F17I7N. Вопрос можно ли ее использовать в данной конструкции и как узнать соответствие ножек? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1382] Автор : alex_m Дата : 12.12.2018 14:09 laguvit, это даже круче - с памятью :) Можно, но если опыта нет наверно сложней будето - прийдётся самому разбираться. Какие пины на быстрые сигналы, какие на медленные или только вход нужно по документации смотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1383] Автор : laguvit Дата : 12.12.2018 14:20 laguvit, это даже круче - с памятью :) Можно, но если опыта нет наверно сложней будето - прийдётся самому разбираться. Какие пины на быстрые сигналы, какие на медленные или только вход нужно по документации смотреть. Спасибо! Опыта нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1384] Автор : EU1SW Дата : 12.12.2018 15:25 Опыта нет. тут возможны варианты, зависит от того, какой смысл Вы вложили в ответ, если "опыта нет... и не нужен", то проще открыть диспут на Али, по причине того, что присланный товар не соответствует заказанному, аргументируете тем, что заказывали под конкретную прошивку/конфигурацию, и переделать не можете. Это поможет сохранить нервы ) А если "опыта нет... пока нет", то конечно использовать можно, но на этом пути потенциально может возникнуть много косяков, и решать их придется в одно лицо. Ибо еще даже на начальном этапе с BGA не хотел связываться по причине непредсказуемости их судьбы, ну вот не заработало у повторяющего конструкцию, или заработало криво - почта постаралась при пересылке, пятаки отлетели, или изначально кривой был, это уже неизвестно... И тестером не потыкаешь. А поменять тип плис в проекте да переназначить выводы, ну да, надо немного напрячься, но это не сверхзадача ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1385] Автор : EU1SW Дата : 14.12.2018 09:04 информации пост 1. планирую добавить третий приемник,т.к. PowerSDR умеет клеить 3 потока в одну панораму, обзор 1152 кГц, может пригодится широкие УКВ смотреть и вещательные диапазоны, 2. отработать вариант фронтэнда 14 бит с опорой 122.88 как замена текущей платы АЦП пин-в-пин + обновление прошивки 3. вынести всю, некритичную ко времени исполнения и аварийности, внешнюю коммутацию на последовательную шину с внешним обработчиком, например ардуино с большим количеством портов, это освободит пины плис для реалтайм потребностей и позволит дополнительно расширить возможности управление и логику (tnx RX3QFM за идею и продвижение) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1386] Автор : LY3OS Дата : 14.12.2018 10:31 2. отработать вариант фронтэнда 14 бит с опорой 122.88 как замена текущей платы АЦП пин-в-пин + обновление прошивки Сергей на всякий случай спрошу - плата АЦП остается та-же AD6645? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1387] Автор : EU1SW Дата : 14.12.2018 11:23 Я имею в виду, что это будет еще одна альтернативная плата, наподобие как выкладывали выше самодельные, совпадающая по размерам и разьему с текущей, только под топовый малошумящий crystek cvhd-950 122.88 и другим чипом АЦП 6645 не имеет смысла насиловать на этой частоте, если и заработает то я не уверен, что интермод будет хороший Добавлено через 44 минут(ы): Дабы предупредить всякие кривотолки, и разночтения с моим постом выше, плата будет делаться исключительно для участия в "сильнее, выше, быстрее", что б показать большие цифры и запостить красивую картинку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1388] Автор : rz3qs Дата : 14.12.2018 12:22 планирую добавить третий приемник,т.к. PowerSDR умеет клеить 3 потока в одну панораму, обзор 1152 кГц, может пригодится широкие УКВ смотреть и вещательные диапазоны, Если не секрет, а как такой режим включить в PWRSDR. Пока вижу выбор 384 кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1389] Автор : EU1SW Дата : 14.12.2018 13:09 Добрый день, не секрет, снять галку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1390] Автор : RA4UKL Дата : 14.12.2018 23:19 Здравствуйте. Сергей, не намекнете, в сторону какого АЦП с тактом 122,88 смотрите? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1391] Автор : EU1SW Дата : 15.12.2018 07:12 Валерий, абсолютно ничего нового, я не стал сразу ломиться в дорогой сегмент, оплатил на Али ads6145, если пришлют не тыкву, сравню с 6645 по интермодам, вероятнее всего будет тупо паритет, а там посмотрим, нужны ли будут ltc2208 ) Опорник, из доступного опять же, cvhd-950 122.88, если не тыква, то будет хорошо) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1392] Автор : EU1SW Дата : 15.12.2018 08:37 Хотя следует отметить, что чудес от ads6145 ждать не следует, AD6645 имеет лучшие характеристики, просто 6145 штатно может работать на 122.88, следующим в линейке однозначно будет ltc2208 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1393] Автор : EU1SW Дата : 15.12.2018 09:18 к вопросу выбора АЦП с точки зрения BDR и чувствительности (Tnx Sergey_gh (http://www.cqham.ru/forum/member.php?7293-Sergey_gh) за исходную таблицу) А вот по SFDR все гораздо интереснее, разница в десятки дБ, порядка 120 дБ для 2208, около 100 дб для 6645 и порядка 90 дБ для 9226 и 6145 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1394] Автор : UN7RX Дата : 15.12.2018 10:14 Сергей, я что-то в таблице не вижу такой разницы, порядка 1-2дБ по SFDR между 6645 и 2208. Или не там смотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1395] Автор : EU1SW Дата : 15.12.2018 10:27 Добрый день, в таблице Blocking, а SFDR пока из даташитов гляжу, в таблице его еще нет, поэтому написал словами -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1396] Автор : UN7RX Дата : 15.12.2018 10:30 А это не то? 302437 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1397] Автор : EU1SW Дата : 15.12.2018 10:46 для BDR есть конкретное значение, а SFDR - это зависимость от входного уровня, что бы в таблицу засунуть нужно принять какой то конкрентый входной уровень, а его можно выбрать так, что разница будет 30 дб, либо не будет никакой разницы, в зависимости от того, что мы захотим показать ) Добавлено через 9 минут(ы): вот наглядно, и надо еще учитывать, что в разных даташитах и частоты разные, и прикидывать держа в уме зависимость ) и вторая мысль должна быть, что эфирный шум все это накроет, даст дизера от души, и попробуй ка найди разницу в реальном эфире ))) и еще третья мысль - графики есть и для многотонального сигнала, и они отличаются, местами радикально ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1398] Автор : UN7RX Дата : 15.12.2018 13:43 вторая мысль должна быть, что эфирный шум все это накроет, даст дизера от души, и попробуй ка найди разницу в реальном эфире Учитывая, что я только закончил рисовать плату под нестандартный модуль с ПЛМ и 6645, возьму эту мысль для себя как основополагающую. :smile: Спасибо Сергей, все ясно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1399] Автор : vadim_d Дата : 15.12.2018 13:57 а SFDR - это зависимость от входного уровня, что бы в таблицу засунуть нужно принять какой то конкрентый входной уровень Сергей, как вариант - самый правый пик графика в единицах dBc, это приблизительно должно соответствовать ситуации ручной настройке аттенюатора для вписывания помехи в FS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1400] Автор : EU1SW Дата : 15.12.2018 15:49 возьму эту мысль для себя как основополагающую. И это будет совершенно правильно, пруф из даташита ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1401] Автор : EU1SW Дата : 17.12.2018 22:10 Собственно вот, от AB4OJ, параметры DDC трансивера с AD6645 в приемнике http://www.ab4oj.com/nsprog/adat.pdf Старая школа, он прекрасен ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1402] Автор : UN7RX Дата : 18.12.2018 01:34 2007 год, офигеть. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1403] Автор : RA1QIT Дата : 21.12.2018 22:13 Поделюсь и я своими бедами. Помогите локализовать проблему хотя бы до отдельной платы. Проблема в следующем - вдруг пропала шумовая дорожка. Сигнал DRY наблюдаю тестером (1,65В) до 24 ноги ПЛИС. Программа соединяется с трасивером (в настройках появляются IP, MAC, VER, ID), но после этого зеленый светодиод на разъеме платы ЛАН перестает мигать, команды из программы в трансивер не поступают. По шлейфам между этими тремя платами все норм (от и до прозваниваются, замыканий между собой и на корпус нет). Прошивку перезаливал, процесс завершился успешно. Но работа не возобновилась. На четырех младших выходах АЦП тестером вижу от 1,31 до 1,56В (без антенны), выше 0,16-0,17В. Подношу отвертку к входному трансу - начинается шевеление и в более старших разрядах. Попытался запустить тестовый генератор 6МГц (спасибо Сергею и Василию). Но ожидаемо несущей на 6МГц не увидел. Ведь общения между программой и трансивером после включения и соединения нет. Что неисправно? Нужна помощь коллективного разума! Спасибо! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1404] Автор : UN7RX Дата : 21.12.2018 22:44 уровень опорника выходит за пределы Absolute Maximimum Rating в даташите АЦП и там-же сказано, что при выходе за указанные пределы возможна деградация чипа и выход его из строя. Вы уже поднимали эту тему ранее, вот тут (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1562451&viewfull=1#post1562451). Так вроде ни к чему конкретному не пришли. Думаю, актуальность вопроса никуда не делась. Но мне категорически не нравится метод с ограничением напряжения диодами. Аттенюатором - да, но не диодами. И все-таки нужно нагружать трансформатор со стороны АЦП на эквивалентную Ктр нагрузку. Никакой широкополосности от него тут конечно не требуется, но все-таки 96МГц, тут явно КСВ трансформатора страшненький. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1405] Автор : alex_m Дата : 21.12.2018 22:47 RA1QIT, если на шине данных от АЦП данные шумят, АЦП скорей всего работает. Потребление модуля АЦП по 5 вольтам должно быть на уровне 280-290 мА. Прозвоните сигнал DRY от АЦП до ножки на FPGA. У меня периодически возникал похожий глюк, оказалось из-за плохого контакта на IDC разъёме. Дожал и стало стабильно работать. Проверьте, что вывод FPGA (тот, который транзитный на гребёнке), к которому подключен сигнал DRY настроен в Pin Planner на вход, иначе будет мешать. Проверьте контакты к LAN модулю. При плохом контакте, связь может иногда отваливаться. Попробуйте старую прошивку. У меня на последней версии нестабильное подключение. Чтобы подключиться приходится несколько раз перезапускать подключение, т.к. после подключения отсутствует сигнал. На старой прошивке подключение стабильное. Думаю, актуальность вопроса никуда не делась. Но мне категорически не нравится метод с ограничением напряжения диодами. Аттенюатором - да, но не диодами. Мне наоборот не нравится без диодов. Диоды стоят во всех референсных схемах от AD и на фирменной отладочной плате AD6645. Но колхозить диоды навесным монтажём не хочется, поэтому пока подключаю через аттенюатор. Возможно сделаю как у Сергея, только на 1N4148. Такой вариант выглядит наиболее аккуратным с точки зрения монтажа. Кстати, для защиты от статики ставлю на входе с антенны пару встречно включенных 1N4148. Пока вроде заметного ухудшения не заметил, визуально работает также как и без диодов. Может где-то спуры искажений и вылазят, но визуально этого не замечаю, зато с диодами на входе спокойней :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1406] Автор : EU1SW Дата : 22.12.2018 06:35 Но мне категорически не нравится метод с ограничением напряжения диодами. Аттенюатором - да, но не диодами Я отписывался как то уже по этому вопросу, и мне казалось что этот вопрос закрыт. Повторюсь, ибо никто же не читает, страниц много нафигачили ) В моем варианте с выхода CMOS опорного генератора распаян делитель, 470 и 100 Ом, а на вторичке 2хКД922, встречно параллельно. Также никто не запрещает нагрузить вторичку на 200 Ом, если есть чувство, что так будет по феншую. А первоначально, в приемнике, вообще все тактировалось с выхода ПЛИС, такой же CMOS, без АТТ и диодов. Фантазии на тему деградации АЦП от высокого уровня клока обсуждать пожалуй не буду. У меня "это" работает в разы дольше, чем у повторивших, и никаких признаков деградации чего бы то ни было. Надо еще видимо фантазеров учить читать даташиты, по входу клока стоят диоды на землю и питание, если амплитуда превысит питание, или уйдет в минус относительно общего - диоды будут сливать в общий, либо в шину питания, если ток превысит 25 мА, кристалл и соединения с кристаллом могут быть повреждены. Я конечно не в курсе, чем именно тактируют господа. Но если в общем - да, превышать токи не стоит ) Добавлено через 5 минут(ы): Но ожидаемо несущей на 6МГц не увидел. Ведь общения между программой и трансивером после включения и соединения нет.напрямую компьютер и трансивер пробовали соединять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1407] Автор : EU1SW Дата : 22.12.2018 17:54 Кстати, о птицах... В соседней теме восторгаются мягкостью и прозрачностью звучания одного сдр ) Смеха ради прогнал тест цифрового домена, в дуплексе, в петле включены: тестовый двухтональный генератор psdr, весь передающий тракт в плис (апкорвертер, кордик), петля в цифре с выхода передатчика на вход приемника, весь приёмный тракт плис (кордик, дециматор), фильтры псдр. На 28 мгц ИМД3 цифрового домена более 100 дб, визуально ближе к 110, ограничено шумами. Из старого, сквозной ИМД3 включая аналоговый домен, измерения были полтора года назад, не повторял, лучше 85 дБ, сюда входят имд ЦАП, АЦП, и двух фнч... Возможно, если повторить измерения сейчас, то будет лучше, но пусть кто нибудь скажет, что это плохо ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1408] Автор : Genadi Zawidowski Дата : 22.12.2018 18:02 не назначенный в пинпланнере вывод плис сажал такт. Это определяет вот такая настройка: 303052 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1409] Автор : RA1QIT Дата : 23.12.2018 10:43 Коллеги, всем огромное спасибо! Особенно Василию - он дольше всех меня терпел. Сергей, у меня из приборов только китайский М-838, которому 25лет(не вру). Им я прозваниваю шлейфы и измеряю напряжения относительно корпуса. Юрий, у меня такой же КГ как и у Вас и плата на основе Вашей. Интересно какие напряжения показывает цешка у Вас на выходе КГ и на выходе транса? alex_m, шлейф DRY прозванивается от 53 ноги АЦП до 24 ноги ПЛИС. С соседями ни чего общего. Геннадий, pin88 который я использую в качестве транзитного при включенном трансивере на корпус не прозванивается. Какие настройки в квартале я не смотрел. Валерий, запустил я DRY в обход колодок с АЦП с резистором перемычкой на площадку 24 ноги ПЛИС и ВСЁ ЗАРАБОТАЛО!!! Ещё раз всем огромное спасибо за помощь и то что ни одна тапка не прилетела! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1410] Автор : alex_m Дата : 23.12.2018 11:32 pin88 который я использую в качестве транзитного вот в этом и вся проблема FPGA по дефолту неиспользуемые пины на выход включает и этот пин закорачивает тактовый сигнал от АЦП. Вам нужно добавить новый входной сигнал, присвоить и присвоить ему в пин планере 88 ногу, чтобы 88 вывод работал на вход. Или сделать как Геннадий выше подсказал, но я этот вариант еще не пробовал :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1411] Автор : RA1QIT Дата : 23.12.2018 12:52 Вам нужно добавить новый входной сигнал, присвоить и присвоить ему в пин планере 88 ногу, чтобы 88 вывод работал на вход. А для примера, что нужно добавить в файл прошивки и как обозвать пин в пинпланере? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1412] Автор : alex_m Дата : 23.12.2018 14:41 RA1QIT, как вам удобно так и назовите, я назвал ADC_DRY. Добавить в файле Hermes_Lite_SDK.v: module Hermes_Lite( input clk50mhz, input [1:0] dipsw, input ptt_i, output exp_ptt_n, input [13:0] ADC_in, output [13:0] DAC_out, input ADC_ready, input ADC_OVR, input ADC_DRY, // <= добавлена строка output DAC_CLK, output preamp, output audio_l, output audio_r, output [6:0] userout, output [3:0] LEDS, // RMII Ethernet PHY output [1:0] rmii_tx, output rmii_tx_en, после этого скомпилировать. Затем зайти в пин планер, назначить этому сигналу 88 ногу и еще раз скомпилировать. Есть вариант как Геннадий предложил, но у меня там и так стоит input tri-state, однако неиспользуемые пины почему-то в рандомное состояние на выход устанавливаются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1413] Автор : UB3DEH Дата : 23.12.2018 23:26 Здравствуйте. Подскажите пожалуйста, какой генератор для АЦП, кроме того который продаётся на Али можно применить в данной конструкции. Поделитесь ссылкой где можно купить. Заранее спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1414] Автор : UB3DEH Дата : 23.12.2018 23:46 Но если есть желание получить идеальные характеристики, то нужно ставить опорник с низким фазовым шумом, искать по ключевым словам Ultra-Low Phase Noise Oscillator. После поиска по ключевым словам легче совсем не стало. :-|. Все предложения с забугорных сайтов и что то нужного не находится. Ткните носом где смотреть. Неужели все делали на РОДЖОНе?:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1415] Автор : EU1SW Дата : 24.12.2018 10:05 https://eu.mouser.com/ProductDetail/ABRACON/ABLNO-96000MHz?qs=%252brH4t%252beVZ2ODyUhYxDweuQ%3D%3D крайстек на 76.8 мгц, но дороже https://eu.mouser.com/ProductDetail/Crystek-Corporation/CVHD-950-76800?qs=Jjm22i2YVvr6g%2fiMNT7E5w%3d%3d -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1416] Автор : UF3K Дата : 24.12.2018 10:09 Богдан, совет тактировать от ФАПЧ ПЛИС, мягко говоря, не очень - потеряете примерно 20 дБ BDR приемника. Вы живете не так далеко от Москвы. Посмотрите на сайте магазина "Кварц", у них вроде как были. Я покупал обычный smd генератор формфактора 5,0 х 7,0 мм. И стабильность и фазовый шум более чем удовлетворяют. Если захочется повысить кратковременную стабильность, просто накройте его пластиной пенопласта. Единственный недостаток - после включения питания трансивера есть выбег частоты, около 25 Гц на частоте 30 МГц. Ниже по частоте он пропорционально меньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1417] Автор : EU1SW Дата : 24.12.2018 10:12 тактировать от ФАПЧ ПЛИС, мягко говоря, не очень - потеряете примерно 20 дБ BDR приемника. А рожон не лучше, он такой же никакой ) Володь, может пропустил, я их немного повертел вот тут ​http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1561525&viewfull=1#post1561525 во втором посту твой 5х7 96 мгц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1418] Автор : UF3K Дата : 24.12.2018 10:15 Да, Сереж, спасибо, про ROJON у меня отложилось в памяти. А так хотелось халявы, т.к. ими весь Али забит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1419] Автор : UN7RX Дата : 24.12.2018 10:26 Разбирал пару MRFU, попались пара Ultra-Low Phase Noise Oscillator на 122.88. И ни одного на 96. :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1420] Автор : UF3K Дата : 24.12.2018 10:37 Роберт, увы, с разгоном AD6645 ни у Сергея, ни у меня не получилось. Да и замены логичной (чтобы не уходить в другую ценовую и монтажную категорию) ему как-то не находится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1421] Автор : RA4UKL Дата : 24.12.2018 11:34 Добавлю своего опыта - пробовал тактировать от adf4351, для проверки того что ацп дышит, хватило. Были в наличии 122.88, 125 - на них не заработало. Нашёл у Тележникова. При равных характеристиках рожона и этого ноунейм (хз что и там и там) , последний в разы дешевле. И еще: Роберт, предлагаю сообщение со скрином Геннадия вынести в шапку. Полагаю, будут ещё коллеги, которые будут искать потерянный такт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1422] Автор : EU1SW Дата : 25.12.2018 09:26 попались пара Ultra-Low Phase Noise Oscillator на 122.88 Роберт, их можно поделить на 2 с помощью 74lvc74, как в соседней теме, приемник тактируется 61,44, передатчик 122,88 Я чегой то раньше не подумал в данном ключе, но пожалуй запилю такую версию, раз "рожон" оказался тыквой ) несколько зайцев стреляется за раз -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1423] Автор : laguvit Дата : 03.01.2019 13:50 Здравствуйте! Сергей EU1SW Подскажите пожалуйста. Собрал DDC/DUC трансивер на модуле с EP4CE22F17i7N c SPI flash M25P64 , но есть одна проблема , при подаче питания не всегда переносится программа с из SPI flash M25P64 в EP4CE22F17i7N , приходится нажимать кнопку К1 Config ( K1 can.t be to program , but if you press once the key1, FPGA will reload program from SPI flash M25P64.). Где в программе увеличить время инициализации или сделать повторное нажатие кнопки К1? ------------------- Виталий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1424] Автор : EU1SW Дата : 03.01.2019 13:57 нигде проверяйте скорость нарастания и установления напряжения своих блоков питания -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1425] Автор : VFO Дата : 03.01.2019 14:44 А доступ к пинам MSEL есть? Может там POR Delay имеет значение Fast? См. Table 8–5. Configuration Schemes for Cyclone IV E Devices в Cyclone IV Device Handbook. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1426] Автор : EU1SW Дата : 03.01.2019 15:14 если доступа нет, то можно внешним ключом низкий уровень придержать подольше на К1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1427] Автор : laguvit Дата : 03.01.2019 16:05 если доступа нет, то можно внешним ключом низкий уровень придержать подольше на К1 Спасибо за советы. Сделаю внешний ключ. В программировании я не силен. Кому интересно как сделано смотрите https://yadi.sk/d/TDDnTgFr9DfB4A Виталий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1428] Автор : UN7JID Дата : 06.01.2019 21:01 К сожалению у единственного продавца на Али, который продавал платы в сборе, закончились варианты с Е22 https://ru.aliexpress.com/item/Fpga-EP4CE22E22C8N-altera-fpga-altera/32834586200.html?spm=a2g0s.13010208.99999999.311.26e93c00h9ClAq В связи с этим вопрос: Можно ли взять отдельно плату подешевле, например с Е6 https://ru.aliexpress.com/store/product/EP4CE6-altera-fpga-development-board-USB-Blaster-fpga-kit-altera-kit-fpga-board-altera-board/620372_32812957811.html?spm=a2g0v.12010608.0.0.6022150clUQu7D И перепаять туда чип Е22 https://ru.aliexpress.com/item/EP4CE22-EP4CE22E22-EP4CE22E22C8N-TQFP144/32919787076.html?spm=a2g0v.search0204.3.21.46104594wNJT6x&transAbTest=ae803_5&ws_ab_test=searchweb0_0%2Csearchweb201602_3_10065_10068_319_10059_10884_317_10887_10696_100031_321_322_10084_453_10083_454_10103_10618_10307_537_536%2Csearchweb201603_51%2CppcSwitch_0_ppcChannel&algo_pvid=5b8f2503-589e-491e-a312-f971392f79a1&algo_expid=5b8f2503-589e-491e-a312-f971392f79a1-3 Понятно, конечно, что чип такого размера перепаивать надо ИК-станцией, потому что термовоздушной, даже с нижним подогревом это будет ещё тот гемморой..:crazy: Но чисто теоретически? Визуально платы вроде бы одинаковые, только надписи разные... P.S. Кстати, по чипам AD6645-105, вот тут стоимость его 10$, правда доставка почти столько же. Но может в РФ доставка будет меньше. https://ru.aliexpress.com/item/LXT334QE-LXT385LE-AD6645ASQZ-105-A3P1000-FGG256/32855604675.html?spm=a2g0s.13010208.99999999.320.72d23c002zqdYL -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1429] Автор : EU1SW Дата : 06.01.2019 21:33 чисто теоретически? чисто теоретиццки, я знаю как минимум двоих участников темы, которые это делали, и в теме об этом писали ) но кто ж читает то? ) единственный косяк - очень внимательно надо смотреть, что б не засадить на питание PLL, которое 1,2 вольта, на питание периферии, которое 3,3... здоровья то не прибавит никому ) отличается распиновка сравните платы 6 и 22, благо картинки доступны, на 22к меньше светодиодов ) ну и второй вариант - платы на 22к есть, только в BGA корпусе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1430] Автор : RV3DLX Дата : 06.01.2019 22:16 У меня нормально работает модуль с перепаянной микросхемой FPGA. Правда модуль не такой, а как здесь ранее рекомендовал Василий (и под этот модуль сделана кросс-плата, тоже по разработке Василия). Хочу сказать, что перепаивал микросхему не сам, а обратился к специалистам, были перепаяны два модуля, у меня и у моего приятеля все работает прекрасно. Да, потом захотели повторить эту конструкцию еще два моих знакомых, они также произвели перепайку микросхем в конторе, которая занимается ремонтом компьютеров. После прошивки их модули были вставлены в мою конструкцию для проверки и все работало (правда эти два товарища так и не довели свои конструкции до работающего состояния, видимо запал кончился). Юрий. P.S. Я не видел на каком оборудовании и как делалась перепайка, но когда мне вернули две платы, я подумал что с ними ничего не делали, а вернули в первозданном виде, но только посмотрев на надписи микросхемы, понял, что микросхему заменили. При рассмотрении при сильном увеличении, совершенно не видно следов перепайки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1431] Автор : UN7JID Дата : 06.01.2019 22:18 ну и второй вариант - платы на 22к есть, только в BGA корпусе Сергей, спасибо. Нет, я читал про то что перекидывали чип 22 на плату Е6, но кк говорится есть нюансы... И чтоб их избежать я и задал вопрос, вы кстати это и подтвердили, на что следует обратить внимание. Ну и по цитате, а ссылку на эти платы не подскажете? А то, все-таки поиск по Али не выдерживает никакой критики. Даже в англоязычном варианте, не говоря уже про русскоязычный. Я так понимаю, что разницы то нет в каком корпусе запаян чип. Самое главное чтоб не перепутать пины на разъеме, ну и возможно подавая тактовую частоту с АЦП нужно будет быть повнимательнее, чтоб попасть "туда куда надо". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1432] Автор : EU1SW Дата : 06.01.2019 22:24 Так в том же самом магазине https://ru.aliexpress.com/store/product/cyclone-iv-board-E22-core-board-altera-fpga-board-altera-board-fpga-development-board-EP4CE22f17C8N/620372_32853228751.html дороже на червонец, насколько я помню старую цену, но пинов доступных намного больше, можно не стесняться в подключении периферии да с перепайками КМК то на то и выйдет, если просто взять сразу чуть более дорогую, но на 22к Пару страниц назад и фото даже было, на ней собрано -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1433] Автор : RV3DLX Дата : 06.01.2019 22:36 У меня и моих друзей вот такие: https://ru.aliexpress.com/item/ALTERA-FPGA-development-board-core-board-CYCLONE-IV-EP4CE-TFT-video-card/32535007308.html?spm=a2g0s.9042311.0.0.274233ed7ZOoPN -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1434] Автор : EU1SW Дата : 06.01.2019 22:43 Вот с перепаяной сейчас битву держу ) переделал на тактовую АЦП 61,44, передача на 122,88, все работает, все прекрасно Хотел прикрутить опорник 10 МГц, благо там же, где нашел предыдущий, рядом был и вектрон термостатированый, ну по легкому, что б не морочиться особо, как в оригинальном коде, на PLLках. ) чего то не взлетает, питаний видимо не хватает, в результате заморочился еще больше ) завтра либо попробую поменять местами с УКВшным, там оригинальная на 22к, ну, либо на счетчиках написать, посмотрю, что больше лениво будет ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1435] Автор : EU1SW Дата : 07.01.2019 15:14 собственно вот... Как добавить в трансивер высокостабильный опорный генератор 10 МГц не используя PLL благодаря этому код можно использовать в 10К плис Естественно нужно использовать в качестве основного генератора VCXO, с управляющим входом Итак, добавить вход и выход input OSC_10MHZ, //вход 10MHz output FPGA_PLL, //выход петли фапч далее, после строчки, начинающейся с ifclocks PLL_IF_inst.... добавить строки И читаем комментарий после "(count122 == 11'd1199)" wire ref_80khz; wire osc_80khz; reg out10 = 0; reg [6:0] count10 = 0; always @ (posedge OSC_10MHZ) begin if (count10 == 7'd124) begin count10 <= 0; out10 <= !out10; end else count10 <= count10 + 1'b1; end assign ref_80khz = out10; reg out122 = 0; reg [10:0] count122 = 0; always @ (posedge ADC_ready) begin if (count122 == 11'd1199) // для опорника 61,44 подставить 11'd767, для 96 Мгц - 11'd1199, для 122,88 - 11'd1535 begin count122 <= 0; out122 <= !out122; end else count122 <= count122 + 1'b1; end assign osc_80khz = out122; //Apply to EXOR phase detector assign FPGA_PLL = ref_80khz ^ osc_80khz; Назначить пины, скомпилировать и прошить выход с ФПГА подать на фильтр, схема фильтра тут http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7&p=1582766&viewfull=1#post1582766 на счетчиках реальная частота сравнения получается 40 кГц, поэтому емкости можно увеличить С фильтра - на управляющий вход VCXO подаем на вход опору 10 мГц и наслаждаемся стабильностью, в отсутствии сигнала 10 МГц управляющий сигнал для VCXO становится в "половину" питания, т.е. все продолжает работать, только ессно фапч уже не работает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1436] Автор : EU1SW Дата : 07.01.2019 17:18 Не делайте рандомные и бессмысленные телодвижения... Красный значок ТХ лишь означает что передача будет с этого VFO. Передача активируется и индицируется кнопкой МОХ. Добавлено через 39 минут(ы): UN7RX, в прикреплении архив под генераторы 122.88, на АЦП просто подать поделенный пополам с помощью 74lvc74 (они по шумам должны быть хорошие), ЦАП тактируется на удвоенной c внутренней PLL. выходной битрейт 48/96/192/384, вход для внешних 10 мгц Пока еще не перенес к себе последние доработки от Василия(кодек с I2C и аттенюатор на ПЕшках), если нужно скопируйте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1437] Автор : EU1SW Дата : 07.01.2019 17:51 и работа tcxo 10 мгц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1438] Автор : EU1SW Дата : 07.01.2019 20:01 кстати да, и можно слушать радио HDSDR с плагином от монтефуско (оригинальным, некоцаным) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1439] Автор : RA4UKL Дата : 07.01.2019 22:40 Сергей, не вижу различия в терминологии между ФАПЧ и PLL. Речь идет о коде ФАПЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1440] Автор : alex_m Дата : 08.01.2019 00:03 Но подключается только на одном из 2 компов.Точнее на одном стабильно на другом пару раз с удалением подключения к интернету. эта проблема в новой прошивке, у меня тоже из десяти попыток только один-два раза подключается. В старых подключение стабильное. Вот вариант старой прошивки который я использую, с пингом и модом для сигнала АЦП OVR (при перегрузке АЦП вспыхивает светодиод на плате FPGA и предупреждение в HPSDR): https://drive.google.com/file/d/1GBiEGEa2Lz2no-Lnu1AueRFNCy4ifVvM/view?usp=sharing -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1441] Автор : alex_m Дата : 13.01.2019 01:20 Чем Вас не устроило подключение кодека по SPI? С таким подключением все прекрасно работает и звук хороший. SPI не устраивает необходимостью резать проводники на модуле, отпаивать ноги WM8731 и цеплять к ним провода в подвешенном состоянии. Подозреваю, что с SPI было бы то-же самое, т.к. сам обмен i2c прекрасно работает, проблема в потере данных со звуком, который в SPI варианте точно-также передаётся. Возможно проблема в самой прошивке и не связана непосредственно с кодом аудиокодека, т.к. замечены странные и необъяснимые глюки с ней. Планирую перенести поддержку аудиокодека в старую версию, которая работает хорошо, надеюсь это поможет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1442] Автор : UN7RX Дата : 17.01.2019 23:03 Тема открыта. Перед тем как что-то писать, внимательно читаем первый, закрепленный пост! И вот это (http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7&p=1602898&viewfull=1#post1602898) тоже читаем. Чтобы потом не было ненужных проблем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1443] Автор : RV3DLX Дата : 18.01.2019 18:43 В моем трансивере произошла авария, пробило транзистор который коммутировал реле и цепи переключения прием-передача (пишу это, чтобы кто-то не наступил на эти грабли). Транзистор был MOSFET довольно мощный (хотя все равно его как-то пробило). Конечно я совершенно не правильно сделал, что выход FPGA подключил непосредственно к затвору этого транзистора и при пробое повышенное напряжение попало на выход микросхемы. Я испугался, что микросхема приказала долго жить, но при проверке оказалось что все работает нормально, только на этом выходе теперь всегда присутствует потенциал 1,8 Вольт, а при переходе на передачу появляется потенциал 3 Вольта. Конечно если сделать соответствующий ключ, этот выход можно будет использовать, но вопрос (наверно к людям которые много работают с FPGA) не будет ли эта микросхема деградировать дальше? Либо ее нужно сразу менять? Так что совет повторяющим эту конструкцию: не стоит к выходам микросхемы подключать ключи (даже если это MOSFETы) непосредственно, без защитных цепей. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1444] Автор : EU1SW Дата : 18.01.2019 19:07 Попробуйте подтянуть к земле. Деградировать там в самом ядре ничего не должно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1445] Автор : RV3DLX Дата : 18.01.2019 19:18 Сергей, спасибо за ответ! Подтяжка к земле не уменьшает напряжение на выходе (похоже выход каким то образом пробит на источник 1,8 вольт. Ну наверно не совсем накоротко, а какой либо из транзисторов внутри микросхемы???). Сейчас придумаю какой-либо ключик, который будет закрыт при входном напряжении 1,8В и открыт при 3В. А причина была в том, что пробился диод стоящий параллельно обмотке реле. Сам виноват, нужно было на реле подать напряжение через ограничивающий резистор, ну и не подключать выход микросхемы напрямую к затвору полевого транзистора. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1446] Автор : EU1SW Дата : 18.01.2019 19:32 У меня все реле сидят на земле, а коммутация выполняется "сверху" сборками полевых транзисторов p-типа, которые в свою очередь управляются открытыми коллекторами сборки ключей uln2003 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1447] Автор : RV3DLX Дата : 18.01.2019 20:04 Конечно Сергей, можно и так делать, но у меня в усилителе мощности было так уже сделано, что на реле подается напряжение, а при передаче его обмотка соединяется с землей. Просто мне нужно было с выхода ПЛИС на затвор полевика поставить диодик в прямом направлении, тогда бы если транзистор и сгорел бы синим пламенем, на выход микросхемы не попало бы опасное напряжение. Юрий. P.S. Кстати этот полевичек был с низким порогом открывания и диодик в затворе не помешал бы ему открываться. Так что советую всем подходить внимательно к подключению внешних цепей к выходам ПЛИС, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1448] Автор : EU1SW Дата : 18.01.2019 20:36 Значит просто uln2003 ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1449] Автор : RV3DLX Дата : 18.01.2019 21:27 Значит просто uln2003 ULN у меня используется на выходах "userout", а для РТТ не хватило "внутренностей" этой микросхемы, пришлось поставить транзистор. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1450] Автор : VFO Дата : 18.01.2019 21:39 Просто надо иметь привычку периферию подключать через резисторы. Стоял бы там в цепи 1к резистор, ничего бы не произошло, а на работоспособность никак бы не влияло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1451] Автор : Radiotester Дата : 18.01.2019 22:49 У меня биполярный транзистор и между последним и микросхемой стоит 4.7к резистор. На реле +12 идёт на прямую, нет резистора, реле 2шт загунтировано так же диодом как и Юрия. Теперь можно как бы тоже задуматься . Ещё может кому будет полезно то ptt управление включить очень желательно через оптопару. Стоит pc817, катод диода рву педалью или тангентой. На ключ "постеснялся" ставить, побоялся задержек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1452] Автор : RV3DLX Дата : 18.01.2019 23:56 Насчёт резистора согласен, нужно было поставить, понадеялся на прочный транзистор. Входы РТТ и ключа я защитил оптронами. Думаю задержки оптронов не будут заметны даже на самых больших скоростях телеграфирования. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1453] Автор : VFO Дата : 19.01.2019 08:55 Radiotester, ну вот и попробуйте спалить порт через 4.7к, от 12В это точно не получится, там ток будет меньше двух мА. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1454] Автор : UN7RX Дата : 19.01.2019 11:45 Ну хватит уже. Давайте будем две страницы обсуждать защитные резисторы. :evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1455] Автор : rv3bj Дата : 19.01.2019 20:16 Насчёт резистора согласен, нужно было поставить, понадеялся на прочный транзистор. Входы РТТ и ключа я защитил оптронами. Думаю задержки оптронов не будут заметны даже на самых больших скоростях телеграфирования. Юрий. Юрий. Если посмотреть в даташит на очень распространенный оптрон LT817, то можно увидеть что задержка распространения сигнала в нем составляет порядка 4 микросекунд. Если кому-то этого недостаточно, то можно использовать скоростной оптрон. Например 6N137. Типовое время задержки распространения сигнала в нем составляет 48 наносекунд. Использование оптронов позволит кардинально решить подобную проблему в будущем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1456] Автор : laguvit Дата : 24.01.2019 16:07 Здравствуйте! Проблема , иногда при включении появляется шум с рокотом на звуке, при это в режиме tx наблюдается микрофонный зфект, похоже происходит какой то сбой во время включения по звуку. Звуковая карта работает в режиме SPI. Помогает только перезагрузка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1457] Автор : RV3DLX Дата : 24.01.2019 16:20 Такая "штука" у меня (и не только у меня) появляется и в Гермесе и в этой конструкции, правда достаточно редко. После выключения и повторного включения все работает нормально и сколько угодно долго. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1458] Автор : EU1SW Дата : 30.01.2019 13:24 На прошлой неделе получил LTC6400-20, в порядке эксперимента распаял методом "дохлого жука" на плате АЦП AD6645, на месте сдутого AD8138. Поскольку питание LTC6400 3,6в макс., а 6645 аналоговая часть 5 вольт, организовано "плавающее" питание, в минусовую шину питания драйвера включены 2 диода (кремний+шоттки) шунтированные керамикой, в плюс - еще один кремний. таким образом по постоянному току все элементы в рабочих режимах, опорное АЦП в середине рабочего диапазона драйвера. Для согласования 200 Ом дифференциально входного сопротивления переиспользован трансформатор, ранее применявшийся на входе 6645. Результаты достигнуты ожидаемые, полет нормальный, перегруз АЦП при -16 дБм на входе. Артефакты интермодуляции, при условии отсутствия ДПФ и подключеной полноразмерной антенне, можно сказать, отсутствуют вообще. MDS (500 Гц) порядка -129-(-130) дБм, совпадает с расчетной. Таким образом результаты эксперимента показали, что типовое включение LTC6400-20 с небольшими доработками вполне может быть использовано для совместной работы с AD6645, как замена УВЧ на BFG591, как драйвер, позволяющий добиться достаточной чувствительности и максимально реализовать SFDR данного АЦП. единственный и основной минус - корпус QFP20 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1459] Автор : UN7JID Дата : 01.02.2019 11:00 Подскажите плиз чем отличаются AD6645ASVZ-105 И AD6645ASQZ-105? Даташит курил, там они через дробь в одном столбце. А цена в два раза различается. В чем подвох? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1460] Автор : Livas60 Дата : 01.02.2019 13:55 Вариантом исполнения корпуса: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1461] Автор : EU1SW Дата : 01.02.2019 15:57 новые игрушки приехали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1462] Автор : UN7JID Дата : 01.02.2019 16:03 Ну тогда не страшно. Один в посадочное место другого подходит 100%. Но все же странно такое различие по цене... один 16 долларов, второй аж 34. Новые технологии?)) Сорри за флуд.:oops: Брал тут- https://ru.aliexpress.com/item/Free-shipping-10PCS-MCP41010-DIP8/32822722977.html?spm=a2g0s.9042311.0.0.750533edOHbddc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1463] Автор : Livas60 Дата : 01.02.2019 17:03 новые игрушки приехали Я тоже уже получил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1464] Автор : Aivarss Дата : 02.02.2019 02:13 Опа :roll: шо за зверь такой... ссылку дайте. Что то крайне интересное ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1465] Автор : EU1SW Дата : 02.02.2019 06:55 Айварс, к этому еще подразумевается гигабитный PHY и новый протокол рабочего прототипа пока не существует, как дисклаймер ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1466] Автор : SVd2004 Дата : 02.02.2019 08:35 ссылку дайте. Затраты всё больше... https://ru.aliexpress.com/item/Cyclone-IV-EP4CE115-DDR2-64BIT-USB-Blaster-ALTERA-FPGA-Development-Board-fpga-development-board/1892377255.html?spm=a2g0v.search0604.3.1.5faf43b9NzCp48&s=p&ws_ab_test=searchweb0_0,searchweb201602_4_10065_10068_319_317_10696_453_10084_454_10083_433_10618_10307_10820_10301_10303_537_536_10902_10059_10884_10889_10887_321_322_10103_10914_10911,searchweb201603_51,ppcSwitch_0&algo_expid=b5e59706-9add-4ea3-ac00-00ca9b9fef56-0&algo_pvid=b5e59706-9add-4ea3-ac00-00ca9b9fef56&transAbTest=ae803_3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1467] Автор : vadim_d Дата : 02.02.2019 12:08 Затраты всё больше... Если верить ссылке "Совет по развитию altera доска eda" - доска для разделки еды :) подразумевается гигабитный PHY и новый протокол рабочего прототипа пока не существует То есть программная часть с этим протоколом будет своя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1468] Автор : EU1SW Дата : 02.02.2019 12:29 Вадим, имел в виду т.н. "новый" протокол openHPSDR. Не для этой темы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1469] Автор : vadim_d Дата : 02.02.2019 12:48 "новый" протокол openHPSDR То, что они называют Protocol 2? Спасибо поглядел Не для этой темы Что-то с Ниосом внутри? :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1470] Автор : EU1SW Дата : 02.02.2019 12:57 Есть такая мысль ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1471] Автор : labuda51 Дата : 03.02.2019 02:46 Флексы про AD6645. Добавлено через 59 минут(ы): Всё не влезает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1472] Автор : EU1SW Дата : 03.02.2019 06:27 Поздравляю, это все есть в даташитах от АД. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1473] Автор : labuda51 Дата : 03.02.2019 10:06 EU1SW.Да,конечно.Просто здесь от производителя SDR.Про разрядность.Там где на свистке станции нет на AD6645 станция в полный рост.Без УВЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1474] Автор : EU1SW Дата : 03.02.2019 11:09 я к тому что сие секрета не представляет но коль Вы заинтересовались, для закрепления материала могу предложить подсчитать на сколько нужно усилить сигнал, что б сравнять 8 битный АЦП с 14 битным по MDS, и соответственно можно ли будет использовать данное значение усиления на 40 метрах без перегрузки 8 битного АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1475] Автор : labuda51 Дата : 03.02.2019 11:31 EU1SW.У меня 40м почему-то в этом году провалились.Убегаю.Сорри. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1476] Автор : EU1SW Дата : 03.02.2019 12:50 для круглосуточной одновременной работы на НЧ и ВЧ диапазонах с 2 приемниками, одним АЦП, и многодиапазонной полноразмерной антенной полосовые фильтры оказываются не применимы. Нужно отлючать ДПФ но держать включеннм УВЧ, для обеспечения чувствительности на ВЧ, что может вызвать перегрузку АЦП в вечернее время. Выходом из данной ситуации может быть включение перед УВЧ т.н. "эквалайзера". Смысл в том, что чем ниже частота, тем выше уровень шумов диапазона и принимаемая энергетика станций, поэтому имея чувствительный приемник можно безболезненно для качества приема аттенюировать сигналы станций НЧ диапазонов, и не гробить динамический диапазон. Для реализации этой идеи Владимир RX3QFM любезно согласился потратить свое время на моделирование и оптимизацию схемы. На выходе получилась несложная "приставка" эквалайзер (схема в аттаче), с точкой перегиба АЧХ 14 МГц, в ветке ФНЧ включен аттенюатор (50 Ом - 50 Ом), величина затухания может быть выбрана индивидуально, примерные ориентиры 12-24 дБ, в зависимости от размера антенн и электромагнитной обстановки. С моим низко подвешенным сити виндом 80 метрового я тестировал 12 дб, на входе АЦП неотключаемый LTC6400-20, как я писал ранее, результаты отличные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1477] Автор : labuda51 Дата : 03.02.2019 13:19 del. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1478] Автор : EU1SW Дата : 03.02.2019 13:28 удл -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1479] Автор : UB3DEH Дата : 03.02.2019 18:09 Собрал и я это радио! Принимайте в компанию. Пока ещё вариант «на столе». Платы в редакции от RV3DLX. Была проблема с запуском, которая решилась прогревом блок LAN феном. Юрию и всему сообществу спасибо. 73!306496 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1480] Автор : EU1SW Дата : 16.02.2019 08:23 Собрал и я это радио! Принимайте в компанию. Добро пожаловать ) для круглосуточной одновременной работы с 2 приемниками, одним АЦП иллюстрация к посту, 80 и 40 метров, FT8 SWL -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1481] Автор : UF3K Дата : 16.02.2019 11:53 Раз "пошла такая пьянка" )) 307508 Через неделю-другую проект выложу в публичные на github Там кроме прочего добра стоит платка stm32f103c8t6 за 1,5$ - можно играться с управлением и все такое... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1482] Автор : laguvit Дата : 28.02.2019 19:46 Предусмотрено ли в проекте два пина для подключения аттенюатора на -10 и -20 db. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1483] Автор : RV3DLX Дата : 28.02.2019 21:21 Предусмотрено ли в проекте два пина для подключения аттенюатора на -10 и -20 db. Это определяется прошивкой. Я такую прошивку использую, аттенюаторы работают. Эту прошивку сделал активный участник форума Василий, за что ему спасибо! Юрий. P.S. Сообщение 1203. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1484] Автор : R4DM Дата : 01.03.2019 10:32 Ребята, если у кого есть лишняя кроссплата версии UR5RIM ( фото в посте 779 ) дайте знать в личку. Приобрету или закажу изготовить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1485] Автор : Livas60 Дата : 01.03.2019 12:34 Это старый пробный вариант. Сейчас проект переведен на новую версию платы: 308551308552 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1486] Автор : UF3K Дата : 01.03.2019 13:34 Василий, интересно в какие габаритные размеры плата уместилась? И, если позволите, есть замечание не по плате, а по поводу расположения опорника на АЦП. Его лучше на обратную сторону переместить. На прием не заметно, а на передачу в дальней зоне (0,5-2 МГц от сигнала) примерно 10-15 дБ получилось чище. Все-таки с шины АЦП наводится. Либо экранировать опорник. Никогда не заострял на этом внимание в теме, т.к. для меня это было настолько очевидно, что... PS: Опорник снизу очень удобно ложится на 2-сторонний скотч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1487] Автор : Livas60 Дата : 01.03.2019 14:39 Владимир, габариты платы получились 200х112мм. Опорник, вроде как, изначально экранирован: 308563 Пошли вопросы в личку по плате. Занимался платой мой сын Александр (UR5KIT). Проектирование и разводка производились им в программе Diptrace. Изготовление заказывали в Китае. Более подробную информацию о проекте Александр предоставит несколько позже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1488] Автор : UF3K Дата : 01.03.2019 14:55 Василий, спасибо, в отличные габариты вписались. Ну, и DipTrace - отличный выбор, если не заморачиваться с PiCad и Altium. Сорри, это у Вас плата входного трансформатора АЦП, а не опорник. Я сослепу не разглядел. Тогда все просто отлично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1489] Автор : Lazy Дата : 03.03.2019 23:09 Публикую дополнительную информацию по кросс-плате из сообщения #1485 На плате размещаются модули ПЛИС Altera, LAN, кодека и АЦП. Также разведены цепи опторазвязки управления внешним усилителем мощности, PTT и ключа. Предусмотрен вход для опорного генератора для PLL стабилизации частоты VCXO АЦП. Выведены порты управления внешним аттенюатором и УВЧ, а также ДПФ. Присутствует отдельный АЦП для подключения внешнего датчика КСВ. Для увеличения уровня выходного сигнала тракта передачи предусмотрена установка дополнительного MMIC усилителя. Сама плата разведена таким образом, чтобы все внешние подключения по возможности размещались с одной стороны платы для упрощения вывода на панель корпуса трансивера. Были смонтированы два экземпляра платы. При этом выявлены две небольших ошибки, допущенных при разработке платы. По первой желательно сместить модуль аудиокодека на 1.5мм в сторону центра платы (ближе к модулю АЦП). И вторая заключается в том, что в одной и той же цепи на плате были разведены последовательно один за другим два разделительных конденсатора C15 и C42. При монтаже нужно установить или оба конденсатора или вместо одного из них запаять перемычку. Проверены в работе все узлы платы, кроме PLL стабилизации частоты и усилителя MMIC. В планах есть идея разводки платы ДПФ+АТТ+УВЧ в тех же размерах для размещения этажеркой под кросс-платой: 308781 Плюс, в отдаленной перспективе, под ДПФ ещё одним этажом - плата УМ. В архиве схема и плата в формате DipTrace + гербер файлы, уже с исправлениями замечаний упомянутых выше. Также проект размещен на GitLab: https://gitlab.com/lazylinol/ddc-duc-trx (обе версии, реализованная в железе 0.1, и исправленная 0.2). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1490] Автор : UN7JID Дата : 04.03.2019 17:47 А плата, я так понимаю, разведена в 3-х слоях? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1491] Автор : R7KGA Дата : 04.03.2019 18:10 Судя по герберам это двухслойка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1492] Автор : UN7JID Дата : 05.03.2019 01:00 Тогда другой вопрос... А в формат .lay это перевести возможно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1493] Автор : UF3K Дата : 05.03.2019 03:11 UN7JID Валер, сходи на сайт "Diptrace", скачай последнюю версию. Они раздавали бесплатный ключ на 1000 узлов нетлиста и 2 слоя платы. Если не найдешь напиши мне в скайп. Единственное формальное условие - русскоязычный Windows. Программа в сто раз удобнее чем SprintLayout. После Picad от силы час на освоение уйдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1494] Автор : ra3tes Дата : 05.03.2019 07:23 Тогда другой вопрос... А в формат .lay это перевести возможно? В Sprint Layot есть функция импорта из гербер, вчера попробывал , нормально получается на экране. Проверять нет желания, не под мою плату ПЛИС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1495] Автор : ua3ycc Дата : 06.03.2019 13:24 OFF. Может у кого есть лишняя AD6645-105? Сгорела, срочно нужна... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1496] Автор : rv3bj Дата : 07.03.2019 13:31 Lazy, Спасибо за выложенную информацию. Позвольте выложить пару рекомендаций по использованию системы сквозного проектирования, которой является Diptrace. Чтобы избежать ошибок неправильной или неполной разводке платы необходимо делать ее верификацию. Для этого в диптрейсе предусмотрены все средства. После разводки платы надо запускать опцию Verification/Check design rules (нажав клавишу F9) - здесь на вашей плате все в порядке. Далее надо запустить Verification/Check Net Connectivity. На вашей плате сразу появляются недоразведенные цепи. +5 Vd и 3V3. После этого желательно запустить опцию Verification/Compare to Schematic, указав ссылку на файл схемы. Если во всех случаях не появятся сообщения об ошибке, то можно не беспокоясь отдавать герберы в производство. Ошибки в изделии могут появиться только из-за ошибок в схеме. Наличие этих опций и существенно отличает Diptrace от Sprint Layout. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1497] Автор : Lazy Дата : 07.03.2019 13:49 rv3bj, совершенно верно, абсолютно справедливые замечания. Чем и хороши системы сквозного проектирования типа DipTrace, что при правильном их использовании схема и плата всегда совпадают. При проектировании этой платы все верификации всегда проверялись как можно чаще. Design Rules были настроены согласно правил изготовителя ПП и разводка сделана с их учетом. А вот с Check Net Connectivity есть досадная проблема, - недостаток DipTrace в том, что нельзя указать, что некоторые цепи соединены "извне", виртуально (хотя, возможно, я просто не знаю как), как и получилось в случае с +5 Vd и 3V3. Эти сигналы присутствуют на паре разъемов, и соединяются уже на плате модуля Altera и разводить их на плате было лишним, хотя и не невозможным :-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1498] Автор : Radiotester Дата : 07.03.2019 23:11 Lazy, Спасибо за проделанную и очень не малую работу!!! А у Вас небыло мыслей развести непосредственно на плате модуль АЦП ad6645 и аудио кодек? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1499] Автор : EU1SW Дата : 08.03.2019 07:18 и началось в колхозе утро... Радиотестер вы мой дорогой, как разберетесь где зеркалка у маламута находится, так собирайте Гермес, HiQSDR, одиссей1/2... там уже все сделано как Вы любите, и есть "готовые пичатки" И очки купите, достали уже... Название темы видно? Есть идей гора - открываете тему и творите, разводите, просите -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1500] Автор : SVd2004 Дата : 08.03.2019 09:39 И очки купите, достали уже... Название темы видно? Название темы "DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али" Далее пояснение "В этой теме предлагается конструкция SDR трансивера из готовых модулей на основе исходных кодов OpenHPSDR & Hermes-Lite". Вопрос, можно ли собрать работающую конструкцию без доработки модуля АЦП? На фото сделанных конструкций видно, что модуль АЦП дорабатывается. Сам автор в первом сообщении об этом умалчивает. Непонятно, это не важно и модуль и так работать будет, или, всё таки, он требует обязательной доработки? Тогда, соответствует ли название темы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1501] Автор : RV3DLX Дата : 08.03.2019 10:20 или, всё таки, он требует обязательной доработки? Работает без всякой доработки. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1502] Автор : SVd2004 Дата : 08.03.2019 10:41 Работает без всякой доработки. Это необязательно, доработка ничего не даёт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1503] Автор : UF3K Дата : 08.03.2019 11:06 Если вы в смысле ограничительных диодов. Я пробовал и так и эдак (в даташите рекомендовано), разницы особо не заметно. С диодами ДД2 на 1-1,5 дБ выше, но это призрачно при измерениях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1504] Автор : RV3DLX Дата : 08.03.2019 12:16 доработка ничего не даёт? Я только лишь сказал, что все работает и без доработки. Делать ее или нет решайте сами. Для повышения динамического диапазона удаляют микросхему усилителя перед АЦП и ставят трансформатор. Подключение ограничительных диодов на сигнал генератора, у меня например, ничего не меняет, также как и изменение уровня сигнала генератора (в разумных пределах конечно). Это все показано а фото, что Вы приложили. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1505] Автор : R7KGA Дата : 20.03.2019 16:22 Проект отличный, но понял, что до законченной конструкции я его не доведу. Кому нужен целый макет или отдельные его части - обращайтесь в личку. Коммерции нет, скорее благотворительность. 310005 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1506] Автор : RV3DLX Дата : 23.03.2019 10:34 Мой товарищ приобрел генераторы 96МГц для этой конструкции, я для пробы запаял его в свою конструкцию и получил "замечательную картинку" (в кавычках), уши Бетмана вылезли во всей красе. Видимо этот генератор с внутренней PLL и такие генераторы нельзя применять в приемо-передающих устройствах. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1507] Автор : vadim_d Дата : 23.03.2019 11:02 Видимо этот генератор с внутренней PLL Юрий, а под каким типом (маркировкой) он приобретался? Описание глянуть хочется, буквочка Е там не от Епсона? :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1508] Автор : EU1SW Дата : 23.03.2019 11:15 У эпсона, судя по картинкам в интернете маркировка аккуратнее нанесена ) вероятно имеем дело с продуктом из-за великой китайской стены, наподобие ROJON, там абсолютно те же самые уши, только еще хуже ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1509] Автор : RV3DLX Дата : 23.03.2019 12:33 а под каким типом (маркировкой) он приобретался? Вадим, я спрошу у товарища ссылку на эти генераторы. Он мне просто принес 2 штуки и один я ради интереса запаял. Сергей, с китайским ROJON у меня таких"ушей" нет. Но скорее всего шумы (в ближней или дальней зонах) есть, т к. я вижу как поднимается шумовая дорожка на десятке, когда включаются таксисты (их контора от меня близко расположена). Юрий. P.S. Когда то давно я приобретал программируемый генератор от Epson, для трансвертора на 144, так с ним вообще работать было нельзя, еще в FM моде более-менее, а в SSB полное безобразие. Правда с этим генератором, о котором я писал выше, при прослушивании реального эфира вроде ничего и не заметно, но при подаче сигнала с генератора большего уровня, все и вылезает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1510] Автор : EU1SW Дата : 23.03.2019 13:27 Юрий, про рожон писал я тут http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1561525&viewfull=1#post1561525 Ну а про таксистов - так еще не факт что их передатчик чист аки слеза ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1511] Автор : Абрамович Дата : 27.03.2019 04:21 про рожон писал я тут с другим генератором пробовали? Может уши si570? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1512] Автор : UN7RX Дата : 27.03.2019 04:45 с другим генератором пробовали? :facepalm: Вы хоть прочитали ссылку Сергея? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1513] Автор : Абрамович Дата : 28.03.2019 06:52 :facepalm: Вы хоть прочитали ссылку Сергея? прочитал конечно, Сергей подключил si570 на вход приёмника и сделал скриншоты с включенным и выключенным si570. При этом приёмник тактировался от китайского рожона (следует из контекста). Но из приведённых результатов непонятно, кто источник ушей - si570 (всётаки это синтезатор) или рожон. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1514] Автор : UN7RX Дата : 28.03.2019 07:29 Если бы Сергей только рожон проверил, было бы неясно откуда проблема, но он же и с другим генератором проверил. Результаты говорят что проблема в рожоне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1515] Автор : EU1SW Дата : 28.03.2019 08:38 Да если бы Абрамович прочитал после поста по ссылке следующий пост темы, так и интриги и "поговорить" на пол страницы бы не было ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1516] Автор : UN7JID Дата : 30.03.2019 17:53 Всем доброго времени суток! Прошу прощения за дилетанский вопрос, но можно судить о работоспособности АЦП измерив режимы по постоянному току? Вкратце ситуация: Пошивка от UR5KIM, с восстановленным пингом и аттенюаторами. http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1549027&viewfull=1#post1549027 Пины переписаны под плату с ПЛИС в BGA корпусе. Коннект напрямую, компьютер- SDR по APIPA, немного долговато, секунд 30 но без сбоев. Микросхема АПЦ куплена отдельно на Али, плата самодельная с опорником, АЦП и УВЧ на одной плате, кажется от RV3DLX. После коннекта в программе PowerSDR, кнопочки, регуляторы и прочее все становится активным, но шумовая дорожка отсутствует. ПЛИС стоит холодная "как ноги у покойника":-P "Родной" опорник ПЛИС 50Мгц выпаян АЦП же наоборот греется так, что палец держать на нем дольше 2-3сек уже невозможно. при касании цешкой выхода ADC_DRY в динамиках слышны щелчки(компьютерные колонки подключены к ПЛИС без кодека на выходы audio r,l) Постоянные напряжения на разъемах платы такие: D0-D4 - 3.15V D5,D6 -0.15V D7- 3.15v D8-D12 - 0.15v D13-3.15V На выводе DRY 2.48V -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1517] Автор : RV3DLX Дата : 30.03.2019 20:23 Что то у Вас не так с АЦП. Если измерять напряжения на выходе АЦП мультиметром, то на выходе DRY, будет напряжение равное половине напряжения питания выходных буферов микросхемы, т.е если у Вас там 3 Вольта, то мультметр будет показывать примерно 1,5 Вольта. Такое же напряжение будет на выходах двух младших разрядов, если на вход АЦП ничего не подается, это шумы. Остальные выходы могут находится в состояниях близких к нулю или к напряжению питания. По мере того, как Вы будете увеличивать сигнал на входе, напряжения равные половине питания будут появляться на выходах более старших битов. То что микросхема горячая, что палец не терпит, это нормально. Если микросхема исправная, то похоже на то, что у Вас на нее не подается сигнал с тактового генератора, либо уровень его мал. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1518] Автор : UN7JID Дата : 30.03.2019 23:56 Проверил тактовый генератор. Заменил на другой, уровень стал чуть выше. К сожалению точно померять никак. ибо дома у меня только "показометр". Для очистки совести удалил делитель 200\200ом, на входе тактовой частоты в АЦП, перед трансформатором который. Напряжение(постоянное), на выходе DRY выросло до 2.8В, а вот ВЧ там так и не наблюдалось. Увы, наверное АЦП все-таки битый. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1519] Автор : RA1QIT Дата : 31.03.2019 07:35 UN7JID, мне в свое время помогла перемычка по шлейфу DRY от резистора на выходе АЦП до площадки не запаенного КГ на плате ПЛИС в обход переходных колодок. Но у меня АЦП запитана от 3,33В и постоянку на DRY показывала 1,65В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1520] Автор : UF3K Дата : 31.03.2019 08:28 UN7JID Валер, проверь прямо на ногах АЦП, чтобы аналоговое питание было не менее 5В. При пониженном они нормально не работают, Сергей EU1SW с таким сталкивался. PS: То, что АЦП греется примерно до 70 грд, это нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1521] Автор : UN7RX Дата : 31.03.2019 08:46 чтобы аналоговое питание было не менее 5В У меня при 4.8 уже не работала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1522] Автор : RV3DLX Дата : 31.03.2019 08:57 UN7JID, Валерий, если у Вас трансформатор после генератора самодельный, проверьте фазировку его вторичной обмотки, вдруг Вы включили обмотки противофазно. На выходе DRY (если посмотреть широкополосным осциллографом) сигнал имеет форму меандра, поэтому если измерять вольтметром постоянного тока, он должен показывать половину трехвольтового питания. Проверьте еще напряжения на генераторных и сигнальных входах АЦП, там должно быть напряжение около 2,5 Вольта, так же как и на ножке 3, это опорное напряжение АЦП. Успехов! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1523] Автор : UN7JID Дата : 31.03.2019 19:50 Доброго дня! Всем спасибо, за проявленную отзывчивость. разобрался. Всему виной оказалась плохая пайка одной ножки питания +5В. Читал же, что многие тут жаловались на то, что микросхема плохо лудится и паяется, но все равно наступил на те же грабли. Главное визуально смотрелось, будто ножка припаяна и при нажатии на нее ничего не происходило, но лишь повторная пропайка дала результат... Правда вся конструкция свистит шипит и возбуждается, при подаче сигнала на вход АЦП на выходе появляется дикий шум и панорама на powerSDR тупо зависает... Но тут уже скорее всего причиной является то, что собрано все "на соплях", платки тупо раскиданы на столе и соединены проводами. Буду переносить в корпус, а там видно будет. Один вопрос, я в своих изысканиях накрутил уровень опорника, плюс выбросил делитель на входе АЦП.... Большой уровень опорника, может вызвать нестабильность в работе СДР? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1524] Автор : EU1SW Дата : 31.03.2019 20:17 о есть программная часть с этим протоколом будет своя? пруфпик -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1525] Автор : vadim_d Дата : 31.03.2019 21:17 То есть уже в стадии бета-тестирования? Ну это радует :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1526] Автор : RA4UKL Дата : 01.04.2019 00:43 UN7JID, ищите непропай ещё, начните с такта, очень похоже на это. Припаяйте к щупу мультиметра иголку, и проверяйте контакт не на плате, а непосредственно от места входа пина в корпус АЦП до платы ПЛИС. Выводы нужно было хорошо зачистить под лупой до меди перед пайкой. И УВЧ отключите на время отладки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1527] Автор : RV3DLX Дата : 01.04.2019 08:36 ищите непропай ещё Согласен почти со всем, что написал Валерий, кроме того, что нужно зачистить ножки до меди, они совсем не медные:-P. Если микросхема нормальная, то ножки зачищать не нужно, недавно паял этот АЦП полученный из того же Китая без всяких проблем, чего не могу сказать о предыдущей микросхеме (от другого продавца), которая и паялась плохо и работает не так как надо. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1528] Автор : RA4UIR Дата : 07.04.2019 11:36 всем привет. Не подскажете проверенного продавца MCP3202 (smd)? Кажется приехало не рабочее барахло. Запаял, - не работает. Пробовал на мегаомах прозвонить ноги между собой, тоже не звонится ни одна нога. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1529] Автор : RV3DLX Дата : 07.04.2019 12:18 Не подскажете проверенного продавца MCP3202 Покупал по этой ссылке: https://ru.aliexpress.com/item/Free-shipping-5pcs-lot-MCP3202-MCP3202-CI-SN-3202-CI-original-authentic/32823556361.html?spm=a2g0s.9042311.0.0.7f9833edHc2VpC Из пяти штук 3 работают у меня и моих друзей нормально. Если уж прозванивать ножки микросхем, то мультиметр нужно ставить не на мегаомы, а в положение где мнемоника диод. Юрий -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1530] Автор : laguvit Дата : 07.04.2019 18:17 всем привет. Не подскажете проверенного продавца MCP3202 (smd)? Кажется приехало не рабочее барахло. Запаял, - не работает. Пробовал на мегаомах прозвонить ноги между собой, тоже не звонится ни одна нога. Здравствуйте! Проверьте стоит ли птичка Apollo на вкладке Hardware Config > Hardware Options . Без нее MCP3202 не работает. --------------------------- Виталий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1531] Автор : RA4UIR Дата : 07.04.2019 19:03 Здравствуйте! Проверьте стоит ли птичка Apollo на вкладке Hardware Config > Hardware Options . Без нее MCP3202 не работает. --------------------------- Виталий. эхх, Виталий) спасибо, точно так и было, а я уже одну микросхему разломал посмотреть что внутри. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1532] Автор : RV3DLX Дата : 07.04.2019 22:04 Честно говоря, я не знаю что такое Apollo, у меня в программе, в закладке "Radio Model" стоит "ANAN-10" и все работает. Юрий. P.S. Попробовал поставить HERMES, и там медленный АЦП работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1533] Автор : EU1SW Дата : 08.04.2019 21:22 То есть уже в стадии бета-тестирования? Ну это радует и даже несколько более, на проводах прицепил ad9226, исключительно радио послушать и скрин сделать ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1534] Автор : UN7RX Дата : 14.04.2019 20:54 Немного "отпустило" на работе, да платы заказанные пришли. 311934 311935 Посидел субботу-воскресенье, собрал на прием. Платы ушли в заказ без макетирования, то есть я не делал прототипы, нарисовал, конвертанул в гербер и отправил китайцам. При таком подходе конечно ошибок избежать не удалось, впрочем, их нашлось штуки три. Из них, одна это забытая перемычка, одна - перевернутая микросхема импульсного стабилизатора и одна из курьезных ))) Ее хорошо видно на правой плате. Дело в том, что микросхем кодека у меня не было, они как раз шли с Али, а даташит я посмотрел невнимательно и вместо TSSOP-28 нарисовал SOP-28 :ржач: Можно сделать переходник, но поскольку это фактически прототипные платы, то просто закажу новые, отредактированные. А микросхему стаба просто перевернул. 311936 311937 Не стал сажать на саму плату плиску и микросхему LAN. После предварительного макетирования в лайоуте получилось что я ничего не выиграю по размеру. Плата с циклоном и без того без излишеств и с уникальным количеством выведенных пинов, а в лане больше всего места занимает разъем. Места же на плате вдоволь оставалось. Поэтому их поставил в разъемы. Вот что получилось. Запустилось моментом под PSDR. 311938 Потестирую, по итогам модифицирую платы и сделаю новые. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1535] Автор : Livas60 Дата : 14.04.2019 21:21 Интересный модуль Альтеры. Роберт, микросхему 6К тоже надо менять на 22К? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1536] Автор : RV3DLX Дата : 14.04.2019 21:39 Роберт, подскажите пожалуйста, тип генератора 96МГц. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1537] Автор : UN7RX Дата : 14.04.2019 22:00 Роберт, микросхему 6К тоже надо менять на 22К? Да, пришлось перепаять. тип генератора 96МГц. Сергей ранее такой исследовал, вот тут (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1561553&viewfull=1#post1561553). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1538] Автор : UN7RX Дата : 15.04.2019 19:04 Пришла Raspberry P3 B+, хотел было опробовать на ней запустить, а она мертвая. :-( Обидно, блин. Вроде и упакована в кучу пупырчатой пленки, а вид такой как будто на ней присели неслабо - текстолит изогнут и слегка винтом перекручен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1539] Автор : ua3uhp Дата : 15.04.2019 20:14 Здравствуйте а печатки такой нет не у кого лишней!??:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1540] Автор : EU1SW Дата : 27.04.2019 18:49 Коллеги, после добавления регулировки мощности ТХ посредством вывода регулировки опоры ЦАП посредством ШИМ, обнаружились проблемы с работой VNA. Будет исправлено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1541] Автор : UF3K Дата : 27.04.2019 18:52 Раз за год ни кто не обратил внимания, то видимо будет багфикс из любви к искусству. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1542] Автор : UN7RX Дата : 27.04.2019 20:08 Я просто не успел до этого добраться. :smile: Зато теперь Сергей предупредил. :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1543] Автор : LY3OS Дата : 28.04.2019 14:32 Регулировка мощности ТХ вообще интересная штука. У меня месяц назад пропала передача с выхода DAC904. Почитал даташит, потыкал тестером и оказалось, не приходит регулирующее напряжение 0,1 .. 1,25V с пина Альтеры DAC_ALC (у меня пин 103). При любом положении регулятора на экране, там все время микроскопические 0,019V и конечно ЦАП ничего не выдает. Не разбирался, что там с Альтерой случилось, просто отключил этот проводок от нее, и подал на него 1,2V как написано в даташите (от стабилизатора питания 3V3, через резисторный делитель). Все сразу заработало, как ни в чем не бывало. А прикол в том, что мощность по прежнему регулируется из программы, как и прежде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1544] Автор : EU1SW Дата : 28.04.2019 14:44 Это не прикол, а кривые настройки софта ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1545] Автор : LY3OS Дата : 28.04.2019 14:51 Что бы мне потыкать в настройках, что-б было как положено? Хотя и так работой конструкции очень доволен :up: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1546] Автор : EU1SW Дата : 28.04.2019 16:29 http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1461467&viewfull=1#post1461467 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1547] Автор : UN7RX Дата : 02.05.2019 20:17 Пока вторая версия платы едет где то по просторам Поднебесной, занялся ФНЧ и ДПФ. В пинпланнере пины userout1-7 соответствуют таблице пинов во вкладке Hermes Ctrl PSDR ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1548] Автор : Radiotester Дата : 02.05.2019 20:33 UN7RX, Соответствуют, но если хотите сэкономить то можно демультиплексор поставить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1549] Автор : UN7RX Дата : 02.05.2019 20:53 Ну это понятно. Мне в шлейфе лишние провода не нужны. Дешифратор уже заложен в схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1550] Автор : UN7RX Дата : 08.05.2019 20:27 Пришла наконец еще одна малина и о, чудо, рабочая! :smile: Собрал по быстрому все в кучу, очень уж не терпелось посмотреть как на дисплее будет pihpsdr смотреться. 313241 313242 Вообще то лучше, чем я ожидал. Кстати, малина неплохо потребляет, для ее надежной запитки использован двойной (ШИМ+аналоговый) стабилизатор, который на фото, на 5А. При прогонке стресстесом в течении 10 минут радиаторы очень неплохо нагрелись... Интерфейс pihpsdr доработать бы очень желательно. Серьезная проблема - софтина исключительно под одно разрешение, 800х480, а дисплей поддерживает вплоть до 1024х600. Будем разбираться, пока даже не знаю в чем писали эту софтину. То что на С понятно, а вот в какой среде, неизвестно. Проверил нагрузку процессора, при двух включенных фильтрах NB+NR и семплрейте 192к получается 38-40%. Никаких тормозов пока не увидел. Придут новые платы, пересоберу трансивер и буду выбирать, PSDR с хорошим куском подключаемого аппаратного довеска, или эта простая функциональность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1551] Автор : EU1SW Дата : 08.05.2019 20:46 на всякий случай, вдруг кто еще заинтересуется ) https://github.com/g0orx/pihpsdr/blob/master/release/documentation/pihpsdr-install.pdf Роберт, у Вас какая версия pihpsdr? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1552] Автор : UN7RX Дата : 08.05.2019 21:57 Последний релиз на гитхабе, 1.2.3 вроде. Дизайн бы софтине красивый сделать, да добавить кнопки прямого набора, без функции, и было бы хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1553] Автор : UF3K Дата : 09.05.2019 05:49 Будем разбираться, пока даже не знаю в чем писали эту софтину. То что на С понятно, а вот в какой среде, неизвестно. Роберт, возможно в текстовом редакторе :-P, т.к. использована GTK для графики. Для аудио используется ALSA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1554] Автор : RA4UIR Дата : 12.05.2019 20:39 Всем привет. Дошло дело до полосовых фильтров. В третий раз повторил фильтры от Николая RA4NAL, все работает, но оказалось что границы переключения не совпадают с тем как это делает PowerSDR или ПЛИС (точно не знаю где это происходит). Я честно говоря думал что это настраивается вот в этом меню 313457 Но это оказалось не так. Реальные границы переключения полосовых фильтров соответствует следующей таблице 313458 Если это возможно поправить в коде ПЛИС то буду благодарен если подскажете где задаются эти границы.:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1555] Автор : EU1SW Дата : 12.05.2019 20:44 Что нужно править в коде плис? Вы пытаетесь управлять платой, которой у вас нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1556] Автор : RZ1ZR Дата : 12.05.2019 20:47 Но это оказалось не так. вам наверное сюда.. 313459 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1557] Автор : EU1SW Дата : 12.05.2019 20:58 Если нужен код автоматического переключения фильтров от частоты в плис , работающий независимо от поверсдр, то в самой первой конструкции sdr приемника из модулей был такой код, можно использовать, там и подвигать можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1558] Автор : RA4UIR Дата : 12.05.2019 21:11 Что нужно править в коде плис? Вы пытаетесь управлять платой, которой у вас нет. Ничего не прошу править. Если нужен код автоматического переключения фильтров от частоты в плис , работающий независимо от поверсдр, то в самой первой конструкции sdr приемника из модулей был такой код, можно использовать, там и подвигать можно. Да именно это нужно подсмотреть. Сергей имеется ввиду радио из этой темы ? Или где то в другом месте поискать проект? вам наверное сюда.. Спасибо, но не это имелось ввиду) Там просто настройка userout. Цель под существующие фильтры не много доработать переключение, что бы было непрерывный диапазон от 0 - 30 мгц в моем случае. Сейчас границы переключения фильтров не много не совпадают. По идее можно смирится, но если есть возможность, почему не попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1559] Автор : EU1SW Дата : 12.05.2019 21:36 Пробуйте, нет преград желанию сделать лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1560] Автор : UN7RX Дата : 12.05.2019 21:59 По идее можно смирится, но если есть возможность, почему не попробовать. Вам же Сергей ясно ответил: Вы пытаетесь управлять платой, которой у вас нет. Вы выбрали в настройках вкладку Alex - у вас фильтры Alex? Эта таблица не имеет отношения данной конструкции, точнее, к вашим ДПФ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1561] Автор : EU1SW Дата : 12.05.2019 22:16 Роберт, с радостью пусть творят, выдумывают, пробуют, обработчик доступен в проекте SDR приемника. Там разобраться и прикрутить его несложно. Заодно и с архитектурой понимание придет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1562] Автор : RW6MON Дата : 17.05.2019 11:53 Здравствуйте, подскажите где взять список модулей для заказа с али, где взять образ для малинки, я так понимаю для альтера нужна прошивка, где об этом можно почитать, из первого поста темы я не чего не понял и есть ли видео о работе данного девайса, заранее спасибо, прошу сильно не пинать, буду рад любой помощи с уважением Дмирий R6LEX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1563] Автор : UN7RX Дата : 17.05.2019 11:55 я так понимаю для альтера нужна прошивка, где об этом можно почитать Это что, шутка такая? :shock: Читайте тему. В ней есть ответы на ВСЕ ваши вопросы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1564] Автор : RW6MON Дата : 17.05.2019 12:09 нет не шутка, я зашел на форум и прошу помощи где, что и как -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1565] Автор : Balaganoff Дата : 17.05.2019 13:06 В первом сообщении этой темы (которое закреплено на каждой странице вверху) есть подробное описание что, как и где. Не забывайте нажимать на + у надписи "Скрытый текст" Удачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1566] Автор : RW6MON Дата : 18.05.2019 21:14 Здравствуйте, уважаемые форумчане, подскажите пожалуйста, что за модуль альтеры установлен на плате? http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1617871&viewfull=1#post1617871 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1567] Автор : RA4UIR Дата : 18.05.2019 22:24 Добрый. Плата вот такая https://ru.aliexpress.com/item/ALTERA-FPGA-development-board-core-board-CYCLONE-IV-EP4CE-TFT-video-card/32535007308.html?spm=a2g0s.9042311.0.0.274233edptCRXz Но с плис на 22. Когда я делал их готовых не было с 22, пришлось брать по ссылке что выше и отдельно ПЛИС и перепаивать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1568] Автор : EU1SW Дата : 18.05.2019 22:29 Кстати да, более лучшие варианты постепенно появляются, 22к плис за 25 , раньше такого даже и мечтать не было ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1569] Автор : RW6MON Дата : 19.05.2019 06:48 можно брать эту плату, и перепаивать не чего не нужно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1570] Автор : RW6MON Дата : 19.05.2019 08:17 то есть нужно перепаять чип на ep4ce22e22c8n, я правильно понял? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1571] Автор : UN7RX Дата : 19.05.2019 09:01 Если будете задавать по одному вопросу в посту, отправитесь по основательно читать тему в режиме чтения. Гарантирую. то есть нужно перепаять чип на ep4ce22e22c8n Вы демонстрируете просто наплевательское отношение у необходимости ЧИТАТЬ. Вам дали ссылку на плату - вы читали ее описание? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1572] Автор : RW6MON Дата : 19.05.2019 09:29 я понимаю вы человек опытный, но я в этих плис дуб дерево хвойное, почему нет в первом посте список компонентов и доработок, я новичок, вот по этому, такие как вы наезжаете на молодых и по этому нет новых молодых радиолюбителей, ваше ненависть и высокомерие отталкивает людей, откуда столько злобы в вас, оформите первый пост как подобает со всеми доработками и модулями, чтоб такие молодые радиолюбители как мы не задавали кучу вопросов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1573] Автор : EU1SW Дата : 19.05.2019 10:13 Как автор темы я посоветую Вам отложить сборку данной конструкции. Эта тема и так достаточно наполнена объяснениями и справочными материалами, как в шапке, так и в самой теме. Если этого недостаточно - приобретаем опыт на других конструкциях, или ищем опытного товарища, который будет отвечать и разжевывать материал в оффлайне. Превращать в песочницу не будем. Так, 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1574] Автор : RW6MON Дата : 19.05.2019 10:17 отложить не могу, так как заказал кросс плату в китае -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1575] Автор : RA4UIR Дата : 19.05.2019 10:18 RW6MON Да все верно, надо перепаять на EP4CE22E22C8N (https://www.aliexpress.com/item/Original-1PCS-2PCS-EP4CE22E22C8N-EP4CE22E22-TQFP-144-IC-FPGA-NEWEST-IN-STOCK-FREE-SHIPPING/32296709072.html?spm=a2g0s.9042311.0.0.274233edpbiAeP). Но можно поискать может и есть сейчас готовые. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1576] Автор : EU1SW Дата : 19.05.2019 10:28 Дмитрий, я вчера невнимательно посмотрел по вашей ссылке, да, там таки 6к ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1577] Автор : RW6MON Дата : 19.05.2019 10:36 может есть такой модуль готовый? а кросс плату для изготовления я взял от сюда https://gitlab.com/lazylinol/ddc-duc-trx -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1578] Автор : EU1SW Дата : 19.05.2019 20:15 отложить не могу, Ну так это не повод наводить тут порядки, и требовать чо то там оформлять, и проч... Что то не устраивает - проходим, не задерживаемся... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1579] Автор : labuda51 Дата : 23.05.2019 19:37 EU1SW.Прошился 61.44/384.Работает.Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1580] Автор : UN7RX Дата : 27.05.2019 18:19 На прошлой неделе получил модифицированные платы из Китая. Проверил перед отправкой тщательно, очень не хотелось вновь накосячить. :smile: Поскольку модули с черной паяльной маской, для основной платы заказал такую же. Собрал - работает. Удивительно даже, пока косяков не нашел. :crazy: 314215 314216 Приклеен только радиатор на ПЛИС, остальные съемные, хорошо прижаты гибкими стальными хомутами, через термопасту. Очень эффективно охлаждается все. Откалибровать бы надо, но пока проблема с генератором без просачивания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1581] Автор : ua3uhp Дата : 27.05.2019 19:15 Красота:super:а лишних печаточек нет?:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1582] Автор : R4DM Дата : 27.05.2019 19:37 Аналогичный вопрос . Если что ответ в личку. И если можно, ссылку где брали основной модуль Альтеры( желательно вариант 22к ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1583] Автор : UN7RX Дата : 27.05.2019 19:41 Модуль мне привез товарищ из поездки в Синцзян, в продаже не попадались нигде. Печатка исключительно под него, другие варианты не прокатят. Так что интереса она не представляет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1584] Автор : R4DM Дата : 27.05.2019 20:21 Вот так всегда. На самом интересном месте :roll: ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1585] Автор : ua3uhp Дата : 27.05.2019 20:26 да уж ! :-Pназывается закатим губу! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1586] Автор : UN7RX Дата : 27.05.2019 20:30 Да это просто вариант "из того что было". В теме есть другие, с распространенными модулями и уже опробованной схемотехникой обвеса. В моем случае УВЧ на SBF5089, на выходе хорошо зарекомендовавший себя УМ на OPA2674. Предварительный импульсный стаб исключил нагрев всех линейных стабилизаторов, чуть теплые. Больше всего греется, точнее, грелась микросхема АЦП, сначала стоял радиатор как на ПЛИС, потом поставил тот что на фото и она резко охладела. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1587] Автор : UT4UBK Дата : 27.05.2019 20:47 К сожалению не нашел результаты измерения mds и blocking level для этого adc. Где-то можно глянуть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1588] Автор : EU1SW Дата : 02.06.2019 20:37 Вероятно может быть интересным использование таких модулей, к сожалению корпус BGA, но учитывая малый размер и жесткость платы вероятность успешной доставки довольно высока https://ru.aliexpress.com/item/AC608-FPGA-EP4CE22-EP4CE15-EP4CE10/32971249808.html Добавлено через 59 минут(ы): Модуль мне привез товарищ из поездки в Синцзян, в продаже не попадались нигде. Печатка исключительно под него, другие варианты не прокатят. Так что интереса она не представляет. Роберт, вот Ваш модуль https://ru.aliexpress.com/item/The-Black-Hawk-open-source-FPGA-core-board-C402-ALTERA-CYCLONE-IV-EP4CE6-DIY-tool-for/32819671718.html Если есть желание, выложите чертежик Вашей платы, думаю он будет востребован ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1589] Автор : R4DM Дата : 02.06.2019 23:52 Может кто найдется и закажет платы. Думаю что желающие будут. Если что я первый ;-) . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1590] Автор : UN7RX Дата : 03.06.2019 05:35 Похоже, после новых взаимных американо-китайских санкций, в продаже будет появляться масса интересного, надо почаще листать Али. :!: Если бы сейчас с нуля начинал, купил бы BGA вариант, для компактной разработки просто суперподходящий. :roll: Вот зачем там RAM непонятно и что с ней делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1591] Автор : EU1SW Дата : 03.06.2019 10:08 RAM очень к месту для запуска софт процессора NIOS, но мы это не используем, поэтому просто место и пины занимает ) Но поскольку внешние пины и пины RAM не пересекаются, можно забить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1592] Автор : RA4UIR Дата : 16.07.2019 09:19 Всем привет. Дошел до выходного каскада, планируется 10-15 вт. Хочу предусмотреть что бы работал Pure Signal. Как правильно это сделать? Есть встроенный ATT максимум 30 дб, до скольки надо понизить выходную мощность что бы подать на вход приемника, 50 мкв ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1593] Автор : EU1SW Дата : 16.07.2019 09:30 Для такой выходной мощности нужно иметь в сумме не менее 41-43 дБ аттенюации между выходом передатчика и входом трансформатора АЦП. В самом простейшем случае резистор в 4.7к-5,6к между контактами RX и TX антенного реле решает вопрос наличия PureSignal при RD16 на выходе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1594] Автор : EU1SW Дата : 04.08.2019 11:07 Результаты достигнуты ожидаемые, полет нормальный, перегруз АЦП при -16 дБм на входе. Артефакты интермодуляции, при условии отсутствия ДПФ и подключеной полноразмерной антенне, можно сказать, отсутствуют вообще. MDS (500 Гц) порядка -129-(-130) дБм, совпадает с расчетной. Нашел ошибку в тексте, читать как MDS (2500 Гц) порядка -129-(-130) дБм NF=~11-12 дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1595] Автор : EU1SW Дата : 04.08.2019 13:57 для 500 Гц MDS составит -136-(-137) дБм Для С/Ш 10 дБ чувствительность составляет 0,1 мкВ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1596] Автор : EU1SW Дата : 06.08.2019 10:58 приглашаю к участию в новом проекте http://www.cqham.ru/forum/group.php?groupid=27 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1597] Автор : ra3tes Дата : 08.08.2019 06:48 приглашаю к участию в новом проекте http://www.cqham.ru/forum/group.php?groupid=27 Есть одно НО 318306 Группа только по приглашения, это конечно правильно. Может можно сделать так, что бы материалы могли просматривать не только участники группы ? Смотреть пока особо не чего, на будущее :-) Удачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1598] Автор : EU1SW Дата : 08.08.2019 08:44 Вот, в будущем и посмотрите, а сейчас "неначтосмотреть", спасибо за тактичность и понимание, да... И да, группа стала закрытой со вчерашнего вечера, причины именно в необычайной тактичности и непреодолимом желании помочь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1599] Автор : vadim_d Дата : 08.08.2019 09:57 и непреодолимом желании помочь Сергей, от меня вряд ли можно ожидать реальной помощи, поэтому я ее и не предлагаю :). Сейчас пытаюсь на запущенном недавно N2PK VNA сделать достаточно быстрый и точный обсчет параметров кварцевых резонаторов и может в обозримом будущем посмотреть зависимость резонансной частоты срезов АТ от амплитуды и по ней сделать модель интермодуляции в КФ. Но есть три вещи, на которые можно смотреть бесконечно, и тут немного удивляет, что темы и сообщения группы видны, а картинки - нет :). IMHO если проект некоммерческий, то утечка картинок не должна на него отрицательно влиять, обычного запрета на запись хватило бы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1600] Автор : UN7RX Дата : 08.08.2019 10:09 Если вы про отсутствие картинок в темах, то их там и нет, они отдельно загружены. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1601] Автор : vadim_d Дата : 08.08.2019 10:14 Роберт, я про выскакивающее сообщение о том, что для просмотра изображений надо быть членом группы, есть ли смысл ограничивать видимость? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1602] Автор : labuda51 Дата : 15.08.2019 15:12 EU1SW.Возможно ли подключение к PC через адаптер езернет-юсб QTS 1081 B с али.На мат плате нет слота под сетевую карту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1603] Автор : EU1SW Дата : 15.08.2019 16:45 Присылайте мне ваш комплект адаптера и материнки с жестким диском, я все тщательно проверю и потом Вам расскажу, можно или нет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1604] Автор : UN7RX Дата : 15.08.2019 17:39 labuda51, эта проблема не имеет ни малейшего отношения к данной конструкции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1605] Автор : labuda51 Дата : 15.08.2019 17:53 Un7rx.Согласен.Это был только вопрос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1606] Автор : labuda51 Дата : 15.08.2019 20:30 UN7RX.Может кому будет полезно.USB сетевую поставил на интернет сабж на встроенную.Всё заработало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1607] Автор : RA4ATL Дата : 02.09.2019 21:20 319657хелп ми здравствуйте собрал этот проект год назад всё сполпинка завелось собрал радости нет предела но шум достал между платами провода длинные сантиметров по 10 вот решил переделать плату ацп припаял прямо на плату альтеры соответственно в пин плане поправил прошил и вот теперь такая петрушка трансивер постоянно на передаче ладно хрен сним в пин плане удаляю всё оставляю только ацп и сетевую тоже самое ладно прописываю внешний ptt прошиваю снова на передаче даже если внешний ptt и к земле и 3.3v без разници помогает только принудительно в программе запрет передачи галка запрет ptt приём работает но видно слабую несущую свою не подавленную особенно на вч бендах тоесть плата продолжает работать на передачу вопрос как смена пин плана могла повлиять на работу пины как в посте номер 348 альтера 10 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1608] Автор : EU1SW Дата : 02.09.2019 21:42 ессно... подтягивающий резистор 10 ком на +3,3 с пина, на котором сейчас назначен вход PTT либо что то умерло, либо я не знаю, альтера 10 может иметь свои заморочки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1609] Автор : RA4ATL Дата : 02.09.2019 21:52 побывал не помогло ели разница в пинах по скорости или вход выход или что есчё -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1610] Автор : r3ya Дата : 11.09.2019 16:37 Сегодня пришлось перепрошить свой трансивер. Все тоже самое что и у RA4ATL!!! Трансивер стоит на передаче... Что-то не так... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1611] Автор : UN7RX Дата : 11.09.2019 16:57 С чем "не так"? Если все работало и вдруг перестало, то понятно что искать нужно исключительно у себя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1612] Автор : ra3tes Дата : 12.09.2019 10:01 Сегодня пришлось перепрошить свой трансивер. Все тоже самое что и у RA4ATL!!! Трансивер стоит на передаче... Что-то не так... В какой программе ? В поверсдр бывает такое из-за настроек. В других программах как ? В HDSDR например ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1613] Автор : EU1SW Дата : 12.09.2019 10:17 r3ya, у вас новый трансивер? ранее Вы в другой ветке писали http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7&p=1658927&viewfull=1#post1658927 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1614] Автор : labuda51 Дата : 12.09.2019 10:32 Сергей.Десятка не прошивается. Почему не знаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1615] Автор : EU1SW Дата : 12.09.2019 10:36 Я тоже. С таким объемом предоставляемой информации я не буду партизанить и выспрашивать, что же вы делали, что изменилось и проч... Люди уж не юные, должно быть понимание, что хрустальные шары не работают, и в тазике с водой вашу проблему я не увижу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1616] Автор : labuda51 Дата : 12.09.2019 11:06 Спасибо за Юнону и Питер.Шина данных 36 не совсем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1617] Автор : r3ya Дата : 12.09.2019 12:50 Здравствуйте Сергей. Я сделал всего 5 аппаратов, 4 из этой темы и один двухканальный из другой. Так вот в одном из них полетела плата с FPGA на 22к. Пришла новая плата, я ее прошил прошивкой что в заголовке темы (обновление от 10.02.18) Получилось тоже что и у RA4ATL. Никаких изменений в железе не делал... А сегодня скачал прошивку из сообщения #792 прошил и все нормально заработало... Есть какая-то не совместимость железа и софта. Или нужно править что-то самому. Разбираться не буду. Я уже на двухканальном работаю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1618] Автор : EU1SW Дата : 12.09.2019 20:28 Александр, это полезная информация, спасибо. проверю в чем там дело Добавлено через 16 минут(ы): но, на первый взгляд, это один и тот же архив, что из шапки, от 10.02.18, что из поста №792... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1619] Автор : Alexproga Дата : 22.09.2019 15:43 320887Здравствуйте, прошу помощи. Вот моя проблема - плата 22к прошилась последней весией из шапки темы нормально, я подключил лан модуль и стал проверять с помощью поверсдр. Программа подключилась, макет определился с адресом 192.168.1.6 и повер сдр сразу встал на передачу. Я поставил галочку в настройках "без ртт" активировался прием, но спустя несколько минут макет перестал определяться программой. На плате зажглись в "полнакала" светодиоды, которые ранее не светились. Попытка перепрошить не дала результата квартус говорит "Error (209040): Can't access JTAG chain" Напряжение стабилизаторов в норме, но на выводах плис, даже которым назначены входы ацп присутствует +1,2....1,36 В. Подскажите как восстановить работу платы или "все пропало"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1620] Автор : EU1SW Дата : 22.09.2019 15:49 что заливаете .sof или .jic? Тактирование присутствует? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1621] Автор : Alexproga Дата : 22.09.2019 16:23 Заливаю .jic, генератор 50мгц на плате, еще подключил модуль ацп и завел от него 96мгц на 24 ножку, по постоянному напряжению на этой ножке режим поменялся +2,2В. на 1 ноге 25р16 проскакивают какие-то импульсы остальное осциллографом С1-112 не вижу. Еще заметил при первой прошивке на юсб бластере при отключенном питании альтеры тускло светился повер, сейчас не светится. может пробовать менять 25р16? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1622] Автор : EU1SW Дата : 22.09.2019 16:30 ну попробуйте для проверки .sof загрузить, это позволит диагностировать неисправность 25р16 Ну и все стабилизаторы проверьте, на возбуд, на стабильность -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1623] Автор : Alexproga Дата : 22.09.2019 17:02 Выходы стабилизаторов зашунтировал по 100мкф. прошивка sof дала такой же результат.320892 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1624] Автор : EU1SW Дата : 22.09.2019 17:18 беда, беда... могу предложить только отовсюду выйти, все позакрывать, выключить все, все разъеденить, потом соединить, потом все включить и еще раз проверить... и на этом все, советы кончились, остались только предположения... На будущее совет объединять общие провода всех приборов, конструкции, компьютера, корпус паяльника и себя самого, для уравнивания потенциалов, это значительно уменьшает количество "необяснимых" прекращений функционирования. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1625] Автор : Lazy Дата : 22.09.2019 17:44 Alexproga, была аналогичная проблема. "Внезапно" перестал шиться модуль Altera. По итогу выяснилось что 16 вывод EP4CE22 пробит на корпус, вероятно после подключений бластера "на ходу". Увы, вылечилось только заменой ПЛИС. Проверяйте 15 (TDI), 16 (TCK), 18 (TMS) и 20 (TDO) ноги Альтеры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1626] Автор : Alexproga Дата : 22.09.2019 18:16 Спасибо Сергей за отклик, увы ничего не вышло но ведь несколько минут работало, прошил плис я несколько дней назад, а сегодня после манипуляций с ртт, который я вывел на пин90 плата "легла" Добавлено через 6 минут(ы): Lazy (http://www.cqham.ru/forum/member.php?7273-Lazy) Звонятся как нормальные нет кз, нет обывов, прощупываются защитные диоды относительно массы и +3,3В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1627] Автор : EU1SW Дата : 22.09.2019 18:31 Тема с ptt надо полагать сама не умрет... ) Надо попросить модератора добавить в первый пост красными буквами "Если после включения PowerSDR становится на передачу, значит с вероятностью 99% вы назначили вход PTT IN на пин без внутреннего подтягивающего резистора, добавьте внешние 10 кОм с этого пина на +3,3 В." -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1628] Автор : avbelnn Дата : 22.09.2019 18:36 Alexproga, У Вас по фото бластер не выбран -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1629] Автор : Alexproga Дата : 22.09.2019 18:39 Скрин экрана я делал в процессе между попытками. Там невозможно прошивать без выбора оборудования. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1630] Автор : UN7RX Дата : 27.09.2019 15:50 R4DM, на Доску Объявлений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1631] Автор : R4DM Дата : 27.09.2019 16:37 Уже отправил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1632] Автор : EU1SW Дата : 05.10.2019 11:42 rojon 76,8 mhz tcxo нашел случайно описание того, что внутри http://forum.vhfdx.ru/tekhnicheskiy-forum/generatory-tcxo-rojon/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1633] Автор : UN7RX Дата : 05.10.2019 12:34 Даже так, умножение. В общем, не удивительно, Сергей, что при Ваших экспериментах такая дрянь получилась. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1634] Автор : EU1SW Дата : 05.10.2019 12:47 Посмотрю сегодня на какую частоту VC-TCXO у меня установлен, в качестве стабильного опорника вполне можно будет использовать, без умножения, раз уж купил ) даташит на мультиплер нашелся, в прикреплении возможные варианты частот исходного TCXO с учетом возможностей мультиплера для 76,8 МГц 19.2 14.4 15.36 30.72 38.4 23.04 12.8 25.6 9.6 и для 96 МГц 24 18 19.2 38.4 48 28.8 16 32 12 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1635] Автор : EU1SW Дата : 05.10.2019 13:45 В общем разобрал, генератор на 19,2, мультиплер, или что там еще, другой, не нашел пока на него ничего -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1636] Автор : vadim_d Дата : 05.10.2019 13:49 Параметры скорее всего схожие, свободы для маневра на чипе мало :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1637] Автор : EU1SW Дата : 20.10.2019 12:08 к вопросу о PowerSDR и "атомных" планшетах на винде, выглядит оно так, загрузка порядка 40-50%, соединение по wifi, 96 кгц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1638] Автор : EU1SW Дата : 20.10.2019 13:23 или так самая минимальная и древняя комплектация, 8.0" IPS (1280x800), Windows 8, Intel Atom Z3735G, ОЗУ 1 ГБ, флэш-память 16 ГБ, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1639] Автор : Alexproga Дата : 19.11.2019 11:12 Коллеги, нужна помощь. Прошил плату альтера 10к последней прошивкой, соединил с модулем лан, подключил, поверсдр присвоил адрес и включился, понятное дело панорама пустая. Я подключил плату ацп, подключил на площадку второго к.г. клок от ацп - поверсдр не видит конструкцию. Только отключение ацп и отпаивание от платы альтера сигнала 96мгц возвращает определение в повер сдр. На выходе тактирования от ацп есть постоянное напряжение 1,6в (примерно половина питания +3,26) думаю что это меандр 96мгц. Что я сделал не так? Что и как проверить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1640] Автор : ra3tes Дата : 19.11.2019 12:45 Скорее всего у Вас клок не доходит до ПЛИС. При компиляции прошивки в пинпланере все прописано правильно (на счет клок) . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1641] Автор : EU1SW Дата : 19.11.2019 14:20 возьмите "непоследнюю" прошивку, что нибудь изменится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1642] Автор : Alexproga Дата : 19.11.2019 14:59 Скорее всего у Вас клок не доходит до ПЛИС. При компиляции прошивки в пинпланере все прописано правильно (на счет клок) . adc_ready pin_24 clk50mhz pin_23 возьмите "непоследнюю" прошивку, что нибудь изменится? сегодня попробую. оффтоп - как перенести распиновку без назначения каждому пину назначения вручную? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1643] Автор : EU1SW Дата : 19.11.2019 15:04 файл с расширением .qsf строки начинаются с "set_location_assignment" без пробела... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1644] Автор : Genadi Zawidowski Дата : 19.11.2019 15:05 Вариантов переноса два - Открыть два Квартуса и copy/paste Или проект в текстовом редакторе открыть и копировать что надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1645] Автор : Alexproga Дата : 19.11.2019 21:24 Спасибо за советы. проверил несколько прошивок - не видит программа радио совсем. прозвонил тестером все пины - все правильно. отдельно модуль лан и модуль ацп 6645 с запаянным кг96 проверил у друга (он собрал такое радио вначале 2018г) блоки рабочие. есть какие-то идеи? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1646] Автор : UN7RX Дата : 19.11.2019 21:31 Alexproga, исходите из того, что конструкция АБСОЛЮТНО рабочая и многократно проверенная. То есть, косяк 100% у вас. В этой теме народ нередко сталкивался со своими проблемами и по моему каждый раз они были разные. Подавляющее большинство, обычная невнимательность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1647] Автор : EU1SW Дата : 19.11.2019 22:27 плюсую ну, питание проверьте, что тут еще сказать... Найдите отличие вашего дизайна от того, в котором модули работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1648] Автор : EU1SW Дата : 20.11.2019 14:37 и даже стоит обратить внимание на версию квартус, на которой собиралось тогда и сейчас -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1649] Автор : Alexproga Дата : 21.11.2019 11:32 Спасибо огромное всем откликнувшимся. повторил присоединение лан, которое было у товарища и определение и даже прием пошел. в планах расставить пины для ацп, чтобы избежать медленные входы альтеры (как это сделано в последней прошивке). и далее передача, аудиокодек, дпф, ум. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1650] Автор : EU1SW Дата : 23.11.2019 11:49 соревнования однако -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1651] Автор : Alexproga Дата : 28.11.2019 12:27 Есть самоконтроль CW по VAC? В ssb себя слышу, TUN слышу. И какова функция пинов альтеры dipsw[0] dipsw[1]? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1652] Автор : EU1SW Дата : 29.11.2019 13:48 Самоконтроль CW формируется непосредственно в ПЛИС, равно как и формирование самой огибающей на радиочастоте по сигналу с клоподава, подключенного к железу, либо флагов в потоке данных. Соответственно нет никаких путей подавать самоконтроль в VAC, PSDR его не формирует по причине отсутствия надобности. Это уже описывалось, и развернуто в теме, и кратко, прочитайте пожалуйста первый пост. dipsw - модификация MAC адреса устройства для возможности одновременной работы нескольких устройств в одной сети. И это уже описывалось. Пожалуйста, пользуйтесь поиском перед тем как задавать вопросы. Это ускорит получение ответов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1653] Автор : R3MC Дата : 15.12.2019 20:47 Здравствуйте .Подскажите на счет ацп и цап, на алиэкспресс на эти микросхемы очень большой разброс цен ,возможны подделки ad ?ссылок кто где покупал не нашел -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1654] Автор : EU1SW Дата : 15.12.2019 20:51 Не нашли ссылок потому что для обсуждения покупок на Али есть другая тема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1655] Автор : EU1SW Дата : 18.01.2020 22:39 К сожалению на Али демоплат ПЛИС ep4ce22 прежнего формата уже нет, есть другие, для примера https://aliexpress.ru/item/32971249808.html но с ними немного сложнее стартовать с нуля, нужно больше обвеса, и прошивальщик покупать отдельно, но есть плюс - больше доступных выводов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1656] Автор : ua3uhp Дата : 24.01.2020 20:23 А печатки под этот вариант по случаю нет !? у меня модуль плис именно такой !:oops:только начинаю делать этот трансивер буду рад любой информации и помощи!:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1657] Автор : ua3uhp Дата : 02.02.2020 20:20 Да видать поздненько я этой конструкцией занялся ее больше не кто не юзает!!:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1658] Автор : EU1SW Дата : 02.02.2020 20:54 на сайте откуда загружена картинка с ПЛИС, есть рядом еще одна интересная картинка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1659] Автор : ua3uhp Дата : 02.02.2020 21:25 Да я ее видел ! но не понятно от какого модуля эта плата Если бы знать точно что подойдет!? я бы купил конечно и не парился!:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1660] Автор : EU1SW Дата : 02.02.2020 21:31 Да, справедливое замечание, если посчитать пины разъема, то эта кроссплата не для этого модуля ПЛИС. Поспрошу, может быть поделятся коллеги рисунком. Я делал кроссплату под этот модуль ПЛИС, но под другой модуль АЦП. Если самостоятельно доработаете плату под другой разъем и распиновку 14 битного АЦП, то файлы тут http://www.cqham.ru/forum/attachment.php?attachmentid=285983&d=1522599973 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1661] Автор : ua3uhp Дата : 02.02.2020 21:49 Спасибо за подсказку!буду как то выходить из положения, просто не очень хочется на проводках делать !! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1662] Автор : Alexproga Дата : 03.02.2020 22:30 329224329225 Здравствуйте коллеги. +- 48кГц от основной частоты наблюдаю побочные каналы передачи. 1 скрин с ум 10вт 2 просто перемычка с цапа на ацп. все стабилизторы линейные. по питанию, что 470мкф, что 2200мкф - картина не меняется. так должно быть? как поправить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1663] Автор : EU1SW Дата : 03.02.2020 23:01 побочные каналы составляют -80 дб относительно основного :ржач: Добавлено через 17 минут(ы): если принять, что мощность PA равна 10 ватт, то мощность каждого "побочного" составляет 0,1 микроватта. если мне не изменяет склероз то требования ГОСТ перевыполнены в 10 раз ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1664] Автор : Alexproga Дата : 04.02.2020 10:08 Принято. Я подумал на "неправильный" цап. Это артефакт цифровой обработки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1665] Автор : RA4UIR Дата : 04.02.2020 10:18 ...наблюдаю побочные каналы передачи. Да у меня такая же штука, но как Сергей сказал, прикинул,- уровень ничтожно мал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1666] Автор : EU1SW Дата : 04.02.2020 10:28 Да, артефакт, пички порядка -80-90 дБц на частотах +-48, +-96 кгц присутствуют во всех аппаратах на основе исходников openhpsdr, вероятно ошибки округления Но уровень сами видите, вероятно можно пробовать с ними бороться, но не на 10К ПЛИС, там точно места больше нет, хоть я и уверен, что сильно переживать из-за артефакта на уровне -80 не стоит ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1667] Автор : R3AZ Дата : 18.02.2020 17:34 Уважаемые участники, подскажите, пожалуйста, актуальную ссылку на отладочную плату с ПЛИС на текущий момент. Уж больно ветка объёмная... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1668] Автор : R3AZ Дата : 18.02.2020 19:50 Вот эта (https://aliexpress.ru/item/4000498461586.html?spm=a2g0o.productlist.0.0.1ef62cf6K5abpv&algo_pvid=edb6e12e-fd0c-494b-958d-969243059857&algo_expid=edb6e12e-fd0c-494b-958d-969243059857-9&btsid=0b8b15cb15820440478346563e68f3&ws_ab_test=searchweb0_0,searchweb201602_,searchweb201603_) плата подойдёт? На Ali есть платы E10, E15 (https://aliexpress.ru/item/32902527476.html?spm=a2g0o.productlist.0.0.1b0150cbK3tVFj&s=p&algo_pvid=2d24971f-d7d8-47a0-ba27-a7c613dd8d63&algo_expid=2d24971f-d7d8-47a0-ba27-a7c613dd8d63-1&btsid=0b8b15cb15820437950474245e68f3&ws_ab_test=searchweb0_0,searchweb201602_,searchweb201603_). Конструктив платы E22, под которую предлагается кросс-плата в заголовке темы, отличается от всех имеющихся сейчас в продаже перечисленных вариантов E10, E22. Правильно ли я понимаю, что взяв за основу кросс-плату, подключить то, что сейчас можно купить на Ali можно будет только на шлейфах, а всю коммутацию нужно будет переделывать в соответствии с имеющейся отладочной платой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1669] Автор : ua3uhp Дата : 18.02.2020 20:05 Да правильно понимаете!А таких плат больше не про дают я тоже с этим столкнулся ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1670] Автор : EU1SW Дата : 18.02.2020 20:10 Добрый вечер, не далее как страницу, две назад обсуждение было http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1711565&viewfull=1#post1711565 как вариант брать отсюда http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1498728&viewfull=1#post1498728 т.е. покупается демоборда с 6к и перепаивается 22к З.Ы. ua3uhp, Вам здравствуйте , ну что поделать, все течет, все изменяется, нет уже на али в том формфакторе на 22к без переделки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1671] Автор : ua3uhp Дата : 18.02.2020 20:17 Сергей ! я уже печатку под этот модуль развел, завтра буду травить!!!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1672] Автор : EU1SW Дата : 18.02.2020 20:20 да, извините, я решил пост подкорректировать и дополнить информацией для коллеги, и вы уже дали ответ, я не специально ) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1673] Автор : R1ZS Дата : 18.02.2020 20:23 больше не продают ! Может тогда сюда http://forum.vhfdx.ru/tekhnicheskiy-forum/angelialite-openhpsdr-sovmestimyj-sdr/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1674] Автор : ua3uhp Дата : 18.02.2020 20:28 Может тогда сюда http://forum.vhfdx.ru/tekhnicheskiy-forum/angelialite-openhpsdr-sovmestimyj-sdr/ Уменя модуль уже есть делаю под него !:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1675] Автор : R3AZ Дата : 18.02.2020 20:41 В новой ветке (http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7/page31) в сообщении #303 ub1amz предлагает использовать отладочную плату AC6608 (они сейчас доступны на Ali). В последствии, им было реализовано несколько модификаций кросс-платы. Тоже, актуальный вариант, судя по всему... Вы его тоже потом повторили (EU1SW). Т.е. реализаций, на сегодняшний день, уже несколько. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1676] Автор : EU1SW Дата : 18.02.2020 20:49 Может тогда сюда http://forum.vhfdx.ru/tekhnicheskiy-...vmestimyj-sdr/ а может сюда? ) http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7&p=1680910&viewfull=1#post1680910 Добавлено через 5 минут(ы): я там еще предлагаю варианты бенд декодера и многие другие плюхи ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1677] Автор : UN7RX Дата : 18.02.2020 21:16 а может сюда? ) Не, там 12 бит. :smile: Тут тоже есть прошивка под два АЦП. И, кстати, если использовать вариант ПЛИС в корпусе FBGA то выводов абсолютно под все хватает и без дополнительных контроллеров с двумя АЦП-14 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1678] Автор : EU1SW Дата : 18.02.2020 21:23 Добрый вечер! Роберт, дайте ссылки на Ваши наработки, Вы их просто прячете от общественности ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1679] Автор : ub1amz Дата : 18.02.2020 23:47 Ну для КВ трансивера, я думаю, можно не заворачиваться с выводом опоры и танцев с gpsdo... А старые модули точно почти все пропали с али. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1680] Автор : UN7RX Дата : 19.02.2020 05:15 Вы их просто прячете от общественности Да ничего подобного. Просто ПП никак не дорисую, нет времени, с Тюльпаном завис. Там разрабатывать то нечего, все по следам вашей разработки, разве она нуждается в комментариях? А еще один АЦП подключить "разработкой" назвать при всем желании невозможно. Ну и сменить АЦП на ADS6145, исключительно из любви к меньшему числу выводов и меньшему энергопотреблению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1681] Автор : EU1SW Дата : 19.02.2020 10:41 сменить АЦП на ADS6145 С точки зрения SFDR это даунгрейд, увы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1682] Автор : UN7RX Дата : 26.02.2020 17:49 EU1SW, конечно Сергей, хотя на мой взгляд не столь критичный, если посмотреть по даташиту на одинаковых частотах (по графикам, а не указанных вначале даташитов, очень различающихся частотах). Зато плата элементарно получается и рассеиваемая мощность резко падает, можно обойтись без того чудо радиатора что сейчас у меня стоит. Впрочем, есть еще ADC9255, но по нему есть некоторые вопросы, поэтому "держу в уме", что называется. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1683] Автор : ua3uhp Дата : 27.02.2020 09:42 По тихоньку делается! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1684] Автор : RV3DLX Дата : 27.02.2020 10:36 По тихоньку делается! Алексей, я смотрю на плате ты развел операционный усилитель в цепи регулировки мощности (возле ЦАПа стоит). Этот операционник совершенно бесполезен. Вполне достаточно подать сигнал с интегрирующей цепочки прямо на вход опоры ЦАПа. Ну конечно если ты уже развел плату, можно микросхему не запаивать, а запаять перемычку между входом и выходом "повторителя", который сделан на этом операционнике. Успехов тебе в запуске устройства! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1685] Автор : ua3uhp Дата : 27.02.2020 11:11 Алексей, я смотрю на плате ты развел операционный усилитель в цепи регулировки мощности (возле ЦАПа стоит). Этот операционник совершенно бесполезен. Вполне достаточно подать сигнал с интегрирующей цепочки прямо на вход опоры ЦАПа. Ну конечно если ты уже развел плату, можно микросхему не запаивать, а запаять перемычку между входом и выходом "повторителя", который сделан на этом операционнике. Успехов тебе в запуске устройства! Юрий. Юрий спасибо за совет ! пусть будет перемкнуть всегда можно!:ржач:надо проверять, да еще с блоком питания определяться!!успеть бы до весны на прием запустить! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1686] Автор : RV3DLX Дата : 27.02.2020 11:23 да еще с блоком питания определяться А что там определяться, самый простой вариант это 5-ти вольтовые напряжения получить с двух КРЕНок, одна для аналоговых, другая для цифровых цепей, что бы на этих КРЕНках снизить рассеиваемую мощность, многие перед ними ставят 9-ти вольтовую КРЕН. Ну а я например поставил DC-DC, на выходе которого выставил напряжение 7 Вольт, при этом резко снизилось тепловыделение всей конструкции. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1687] Автор : ur5xca Дата : 07.03.2020 10:35 Подскажите пожалуйста возможно ли применить АЦП менее производительный ? В наличии имеется AD6645 80MSP. На сколько я понимаю тактирование ПЛИС идет не от имеющегося на плате осциллятора 50Мгц , а от сигнала DRY ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1688] Автор : EU1SW Дата : 07.03.2020 18:26 частота 76.8 МГц будет удобна для вас Ну или в соседней теме с 61.44 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1689] Автор : RC3ZQ Дата : 07.03.2020 19:10 В наличии имеется AD6645 80MSP Да работает, и с 96мгц. Сталкивался я однажды когда вывел из строя AD6645-105 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1690] Автор : ua3uhp Дата : 08.03.2020 09:15 Всем привет! нет ли у кого готовой прошивки под эту схему! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1691] Автор : ua3uhp Дата : 08.03.2020 11:21 Переназначаю в пин планет ноги, 8 ошибок получается ! :oops:не понятно что с этим делать!? это после компиляции -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1692] Автор : Aivarss Дата : 08.03.2020 15:59 Допускаю что переназначаете ножки которые не подходят/нельзя итд :roll: Где то было расписано которые можно, а которые нет ;-) Щас уже не помню где. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1693] Автор : ua3uhp Дата : 08.03.2020 18:35 Да про это я читал ! вроде быстрые и медленные не по путал :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1694] Автор : ub1amz Дата : 08.03.2020 19:36 В ошибках вроде пишется причина ошибки. Может стоит это прочитать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1695] Автор : EU1SW Дата : 09.03.2020 09:56 8 ошибок получается ! не понятно что с этим делать! Нам отсюда не видно что за ошибки, поэтому ничего посоветовать не можем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1696] Автор : ua3uhp Дата : 09.03.2020 17:26 Разобрались всем спасибо!:oops: Не умеешь работать головой работай руками ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1697] Автор : Aivarss Дата : 09.03.2020 17:36 ua3uhp, поделитесь, в чём была проблема ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1698] Автор : ua3uhp Дата : 09.03.2020 17:43 Просто так от балды выводы назначать нельзя ! Вот и вся проблема:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1699] Автор : EU1SW Дата : 09.03.2020 17:54 ну вообще странная ситуация... Да, просто так выводы назначать нельзя, но вы же делали по готовому рисунку, в чем там проблемы могли быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1700] Автор : ua3uhp Дата : 09.03.2020 18:09 Брал две разные схемы! и когда начал назначать выводы codek modul, они оказавается могут работать только на вывод или на ввод и квартус ругаться стал не правильно говорит !и еще в slow adc так же на косячил вообщем моя без грамотность и не внимательность -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1701] Автор : EU1SW Дата : 09.03.2020 18:18 Понятно... на рисунке как раз синим отмечены те, которые могут работать только как вход, соответственно подумалось, что Вы в курсе... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1702] Автор : ua3uhp Дата : 09.03.2020 18:22 Вот вот а я этого не знал к сожалению! ну нечего обошлось малой кровью печатку переделывать не придется, только аудио модуль пока не буду ставить, да и не пришел он еще !:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1703] Автор : EU1SW Дата : 09.03.2020 18:24 с установкой модуля кодека освобождаются 2 линии, аудио выходы LR, они уже становятся не нужны -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1704] Автор : ua3uhp Дата : 09.03.2020 18:37 Когда придет на проводках придется уже соединять! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1705] Автор : EU1SW Дата : 09.03.2020 19:02 я больше к тому, что выводов таки хватает, и для кодека, и для медленного АЦП просто получилось так, что не обратили внимания в самом начале на подписи -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1706] Автор : ua3uhp Дата : 09.03.2020 19:07 я больше к тому, что выводов таки хватает, и для кодека, и для медленного АЦП просто получилось так, что не обратили внимания в самом начале на подписи Да именно так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1707] Автор : UC8U Дата : 17.03.2020 13:00 сменить АЦП на ADS6145 С точки зрения SFDR это даунгрейд, увы Подтверждаю. Собрал а-ля одиссей1 на ADS6145 На направленную антенну, когда вещалки с 13МГц идут >S9+40. Ихнии гармоники можно увидеть на 27мгц. На входе только фнч 50МГц. На 7МГц такого не наблюдаю, у нас китай с уровнем S+50 прет, антенна 3ел яги, гармоник не видно. Однако AD6645 не на много лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1708] Автор : EU1SW Дата : 17.03.2020 15:51 Там "намного" уже ни с каким АЦП не получится... ) LTC2208 будет еще немного лучше чем AD6645, а саму AD6645 можно еще немного взбодрить понижением тактовой, 61.44, 76.8... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1709] Автор : UN7RX Дата : 17.03.2020 16:07 Сергей, а как согласовать АЦП с напряжением питания 1.8В с альтерой? Теоретически можно попробовать найти AD9255. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1710] Автор : ub1amz Дата : 17.03.2020 17:27 В пинпланере поменять level для пинов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1711] Автор : EU1SW Дата : 17.03.2020 18:31 разные о вольтажу стандарты IO требуют подачи на банки питания соответствующих VCCIO -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1712] Автор : UC8U Дата : 17.03.2020 18:59 AD6645 можно еще немного взбодрить понижением тактовой, 61.44, 76.8... Нее.. на гармошки не повлияет . Помогут только BPF. Второй приемник использовать только в пределах BPF. Ну или два АЦП+два BPF. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1713] Автор : EU1SW Дата : 17.03.2020 19:25 Дело хозяйское ) Уговаривать и божиться не буду, но опыт такой есть, и он положительный ) Надеюсь это не гармошки УВЧ? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1714] Автор : UC8U Дата : 17.03.2020 19:53 увч пока нет, сравнил уровень 1й и 2й -88 дБс. Собственно примерно как и запротоколированно в даташите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1715] Автор : EU1SW Дата : 17.03.2020 20:01 да, все норм, в даташите есть графики worst case spur в зависимости от частоты семплирования, правда там все по минимуму, для сигнала 2,2 и для 69 мгц. по краям диапазона допустимых частот семплирования ДД уменьшается, я проверил перейдя сначала на опорник 76,8 но этот Rojon по факту оказался очень шумным, а потом попался Vectron на 61,44, вот он с тех пор и живет, на ЦАП удвоенная частота, и драйвер LTC6400-20 прижился в том ящике. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1716] Автор : EU1SW Дата : 22.03.2020 21:57 К слову, тестировал LTC2208, с драйвером LTC6400-14 и опорником CVHD-950-122.880 на китайской плате за 2 доллара 5 шт, комплектующие тоже еснно из Китая... причем я так и не понял, в чем именно состоит секрет такого ценообразования на эти АЦП на этой торговой площадке, результат - применять можно, но горячее все, нужно лепить пластины на "жвачку" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1717] Автор : UC8U Дата : 23.03.2020 14:45 Уговаривать и божиться не буду, но опыт такой есть, и он положительный Да, согласился в даташите есть тому подтверждение, тактовая частота влияет на SFDR. 331769 Сигнал мощной станции на 40м. Прохода на 14\21МГц в это время нет, хорошо видно гармонику. В даташитовские параметры вписываются. Только я не помню, был тогда трансик на тактовом входе ads6145. 331770 Пропустил сигнал генератора NanoVNA через ПЧ фильтрик от СВ станции, послушал уровень второй гармоники на айком 7410. Без преампа слышно на уровне шума, ПЧ sdr панорама встроенная в айком, показала HD2-87dBc. HD3 на 15дБ громче. по этому в SDR_e, HD3 генератором не мерил. Измерения генератором SDR_а с ads6145. Tрансформатор на тактовом входе улучает HD2 на 10dBc. Не знаю почему, но с эфира показатели лучше. Возможно ,не достаточно подавлен уровень гармоники генератора. 331771 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1718] Автор : EU1SW Дата : 23.03.2020 14:52 Спасибо что поделись результатами Не знаю почему, но с эфира показатели лучше Вероятно из эфира шума подкидывает, дизер оченна благотворно действует на параметры АЦП ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1719] Автор : ua3uhp Дата : 03.04.2020 20:52 Ура! на прием заработало ! правда пока только через vac!!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1720] Автор : ua3uhp Дата : 29.04.2020 18:14 Трансивер заработал полностью! осталось только корпус изготовить! спасибо автору за его труды хороший аппарат!:пиво::пиво::пиво::пиво::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1721] Автор : RA1QIT Дата : 06.05.2020 09:55 Друзья, помогите настроить PI4 для работы с этим трансивером. Что-то делаю не так. На интерфейсе pihpsdr нет сетки панорамы и приема нет. Наверное лучше в личку. Пардон. Проверил кабель Ethernet - плохо вставлен в разъем трансивера. Заработало!!!! Вы, мягко говоря, достали своим наплевательством. Есть специальная тема о софте для SDR, вместо того чтобы за два года научиться пользоваться поиском, вы, несмотря на то что предыдущие посты были удалены, вновь и вновь продолжаете писать одно и то же в теме где это делать запрещено, просто игнорируя удаление без штрафа. У нас вообще без штрафа почему то до многих никак не доходит. Итого получаете по совокупности за все предыдущие игноры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1722] Автор : Alexproga Дата : 06.05.2020 19:41 С наступающими! Коллеги, как у Вас обстоят дела с помехами от сети? Выкладываю скрины трансивер-компьютер напрямую и через роутер netis. Роутер конкретно подсыпает на 14 и 18 МГц, даже на 7 МГц видны эти горбы. 334948334946 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1723] Автор : EU1SW Дата : 28.05.2020 09:57 Долго я на это смотрел и так и не понял, зачем это тут, и как это может помочь... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1724] Автор : linoobs Дата : 04.06.2020 22:17 Добрый день всем. В первую очередь хочу выразить Сергею благодарность за такую разработку. Подскажите пожалуйста, что делаю не так, бьюсь третий день, разворачиваю проект, компилирую, все проходит, меняю порты на свои и после этого не компилится, застряет на Fitter и ругается на пины, которые мне пока не нужны и они не назначены. Пробовал назначать их, но дальше ругается на другие не задействованные пины. Как ни странно, раньше уже собирал прошивку без проблем и она компилируется нормально, такая проблема только с вновь восстановленными проектами. Скриншот прилагаю. 336955 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1725] Автор : EU1SW Дата : 05.06.2020 00:21 любые изменения на свой вкус, но без моего участия, пожалуйста в проекте нет PCI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1726] Автор : linoobs Дата : 05.06.2020 00:42 Проект никак не менялся от слова совсем, кроме конечно пинов. Открыл, компильнул, заменил пины и получаю ошибки. А о каком PCI оно ругается не подскажете? 336960 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1727] Автор : EU1SW Дата : 05.06.2020 00:50 ну таки да, я прочистил глаза, не разглядел спросонья плис самая обычная, но дело именно в переназначении пин -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1728] Автор : linoobs Дата : 05.06.2020 00:55 на двух компьютерах, один и тот же проект, с одними и теми же ошибками, причем на выходных эти же исходники собирались. Подскажите пожалуйста, что можно попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1729] Автор : EU1SW Дата : 05.06.2020 00:59 вернуть пины на исходную позицию -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1730] Автор : linoobs Дата : 05.06.2020 01:16 в исходной позиции собирается, но и с таким расположением пинов на выходных собиралось тоже, буду подбирать варианты. Сергей, подскажите еще пожалуйста, если не сложно, что нужно подправить в коде, для расширения полосы обзора до 384к? Доброй ночи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1731] Автор : EU1SW Дата : 05.06.2020 10:03 с опорой 96 мгц это невозможно, я все уже писал ранее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1732] Автор : linoobs Дата : 05.06.2020 12:58 Видимо пропустил, осталось в памяти, что Вы как-то выкладывали тестовую прошивку "Экспериментальная версия с переменным битрейтом для 22к ПЛИС, 48/96/192/384 кГц" на том она так и осталась тестовой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1733] Автор : EU1SW Дата : 05.06.2020 14:46 я уже не вспомню чем закончилась ветка прошивок под 96 Мгц... Помню что я поэтому и уходил впоследствии от этой частоты именно этой причине у себя перешел сначала на 76,8 - на ней уже нормально реализуется 48/96/192/384, а затем и вовсе на 61.44, по причине того что ROJON на 76,8 шумел как примус, и подвернулся VCXO Vectron малошумный на 61,44 И по интермодам самого АЦП даже еще лучше получается Конечно спустя 3 года многое можно переделать и будет лучше, проще и правильнее. Но сами понимаете, пока есть новые идеи садиться рефармить старый код... Ради чего? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1734] Автор : linoobs Дата : 05.06.2020 16:21 Понятно, спасибо, скажите актуальный проект это "Бюджетный двухканальный с ПЧ"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1735] Автор : UN7RX Дата : 05.06.2020 18:29 Оба проекта актуальны, это зависит от того что вам нужно. У каждого свои собиратели и своя актуальность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1736] Автор : ux1vx Дата : 26.07.2020 10:56 Приветствую, форумчане! Предлагают купить такой трансивер - очень заманчиво. Интересует мнение конструкторов: достаточны ли характеристики трансивера для работы в тестах? Интересует подавление побочных излучений, динамика и тд. Сейчас использую сдр1000 и маламут, но у обоих свои нюансы, хочется одним махом решить все проблемы! Получится ли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1737] Автор : Genadi Zawidowski Дата : 26.07.2020 11:11 сдр1000 и маламут, но у обоих свои нюансы Тип Маламута уточните… и что у них за нюансы при работе в тестах? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1738] Автор : ux1vx Дата : 26.07.2020 11:38 Маламут 1,3. Не устраивает слабое подавление несущей и не стыковка на прямую с N1MM. Нужно извращаться с портами через омни риг, что не удобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1739] Автор : EU1SW Дата : 27.07.2020 09:41 Не совсем понятно мнение каких именно конструкторов интересует... Лично я в тестах не работаю, поэтому не могу ответить, достаточны ли характеристики для работы в тестах. А числовые значения тех величин, которые были измерены, приведены в стартовом топике темы, и напрямую зависят от примененного опорного КГ. Соответственно, спрашивать конкретики нужно у того, кто продает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1740] Автор : UF3K Дата : 27.07.2020 11:18 Маламут 1,3. Не устраивает слабое подавление несущей и не стыковка на прямую с N1MM. Нужно извращаться с портами через омни риг, что не удобно. Я регулярно в УКВ-контестах работаю. Опыт в КВ-контестах тоже есть. Пригодность сильно зависит от условий работы. В multi-multi без внешних ДПФ (на прием и передачу, по типу как делает фирма UA4CC), ну никак. Впрочем, как и на большинстве других трансиверов. Если работать одному и рядом не живет сосед-радиолюбитель с 1 кВт, то и никакие фильтры не нужны, динамики 14-бит АЦП хватает вполне. Передатчик тоже чистый. Если привыкли к SDR-1000, то значит работа с PowerSDR в контесте не в новинку (как по мне, то это забавное "удовольствие", но терпеть можно). Неплохим решением считаю дополнить Software Difined Connectors и N1MM вынести на другой компьютер. Это заметно облегчает жизнь. Если опишите свои задачи подробнее, то возможно что-то еще смогу подсказать. Резюмирую - основная проблема это эргономика PowerSDR. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1741] Автор : UN7RX Дата : 27.07.2020 14:31 Обсуждайте Маламут в теме Маламута! Что за привычка мусорить словами где попало... :evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1742] Автор : ux1vx Дата : 27.07.2020 17:00 Спасибо, Владимир! Я понимаю, что это следующий шаг в сдр схемотехнике и характеристики должны быть не хуже предыдущих решений. Именно этот аппарат из готовых модулей по своим параметрам и надежности удовлетворяет Ваши потребности? Хочется не только поменять трансивер, но и получить при этом более высокие характеристики. Нигде не могу найти отзывы или сравнение с обычным сдр1000. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1743] Автор : RV3DLX Дата : 27.07.2020 18:20 Много лет я использовал SDR-1000, самодельный. Так же через мои руки прошли (ремонтировал) и фирменные от FLEX, и разные клоны. Потом собрал трансивер на основе платы Гермес, который использую по сей день. Когда появилась публикация Сергея про трансивер из китайских модулей, сделал и эту конструкцию. Несмотря на то, что в нем 14-ти битный АЦП, разницы в реальном эфире с Гермесом не заметил. Особенно на передачу трансиверы по структуре DDC/DUC сильно выигрывают у трансиверов сделанных по типу SDR-1000. У них практически отсутствуют не подавленная несущая и вторая боковая, чего нельзя сказать о конструкциях по типу SDR-1000. Можно ввести функцию PureSignal, что позволяет резко улучшить ИМД при передаче. Сейчас на моей конструкции работает мой приятель RA3AI, он очень доволен работой трансивера. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1744] Автор : ux1vx Дата : 27.07.2020 19:22 Юрий, большое спасибо! С RA3AI регулярно встречаемся в тестах, так что это показатель для этого трансивера. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1745] Автор : RV3DLX Дата : 27.07.2020 19:54 С RA3AI регулярно встречаемся в тестах Я прошу прощения за ошибку, позывной RA5AI Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1746] Автор : RA4UIR Дата : 03.11.2020 20:17 коллеги, работаю больше в цифре, кто-нибудь сталкивался с следующим эффектом? Сигнал на передачу как бы дрожит. Вот с моего QTH радиолюбитель заскриншотил 'ворота' psk сигнала (там imd не очень, но я пробовал и без помошника, сигнал поуже, но эффект остается). Проявляется не как две ровные линии на водопаде а как будто промодулированны слегка. Если слушать ушами, то тоже кажется грязноватым. Думал что дело в VAC, даю тональник просто смотрю на sdr в соседнем регионе, и тоже наблюдается что то подобное. Буду благодарен если кто подскажет по этой проблеме. 345571 Второй момент это плавает сигнал, точно не знаю пару герц в обе стороны, это видимо дешевый китайский опорник так работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1747] Автор : RX3QCL Дата : 03.11.2020 20:58 У меня тоже такое было, но во второй версии трансивера, вход ФАПЧ посадил на землю через 1К. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1748] Автор : RA4UIR Дата : 03.11.2020 21:14 RX3QCL, Игорь, спасибо! стыдно признаться, можете показать на схеме этот вывод? 345572 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1749] Автор : RX3QCL Дата : 03.11.2020 21:53 По Вашей схеме нет ФАПЧ, у вас прошивка возможно с ФАПЧ - нужно смотреть прошивку. Возможно я ошибаюсь, Сергей я думаю уточнит. Но во второй версии мне помогло (хотя правильнее было бы в прошивке отключить ФАПЧ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1750] Автор : RV3DLX Дата : 03.11.2020 22:01 Я думаю, что если даже прошивка и ФАПЧ, то это не должно влиять, т.к. по схеме у Дмитрия в генератор на ножку подстройки частоты сигнал подстройки не приходит. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1751] Автор : RX3QCL Дата : 03.11.2020 22:12 Приветствую Юрий! Точно! Сорри не внимательно посмотрел:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1752] Автор : EU1SW Дата : 03.11.2020 22:18 ммм, тут такое дело, схема приведена без петли фапч, соответственно если электрически собрано без подачи управляющего сигнала на опорник, то и "фнутрення сушность " фапч, если она даже там и есть в прошивке, никак не может повлиять на чистоту сигнала, а вот все говнецо опорника напрямую транслируется в выход, ну или проверить, доходит ли таки опорник без потерь через АЦП до ПЛИС... ну или заменить на нормальный как опция - проверить напряжение аналоговой части на АЦП, 6645 капризный в этом смысле, были некоторые нюансы при напряжении ниже рекомендованного нижнего порога 4,9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1753] Автор : RA4UIR Дата : 04.11.2020 20:36 Спасибо всем за ответы. У меня вот такой генератор применяется. Он почему то греется не слабо, градусов 50. Буду благодарен если порекомендуете генератор, может есть проверенный продавец какой.. 345601 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1754] Автор : ua3uhp Дата : 04.11.2020 20:48 питание должно быть 5в :-P на генераторе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1755] Автор : EU1SW Дата : 04.11.2020 21:01 и мы уже сравнивали rojon с простым опорником с али на 96 мгц за 1,5 бакса, поищите в теме, даже с картинками, краткий спойлер - для рожон все плохо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1756] Автор : RA4UIR Дата : 04.11.2020 23:22 ua3uhp, кстати когда делал радио не получилось найти информацию какое у него напряжение питания у этого генератора, у меня запитан от 3.3в. У Вас он питается от 5 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1757] Автор : RV3DLX Дата : 05.11.2020 09:52 Отвечу за Алексея UA3UHP, скорее всего у него генератор питается от 3,3V, т.к. я ему отдал свою самодельную плату АЦП,а там отдельный стабилизатор для генератора, вряд ли он что то переделывал. Юрий. P.S. Дмитрий, а у Вас генератор без имени производителя, не известно на сколько он хорош. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1758] Автор : RA4UIR Дата : 05.11.2020 11:18 RV3DLX, спасибо Юрий, посмотрел ветку, попробую заказать разные что тут упоминались, ABLNO конечно хорошо, но жаба не позволяет ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1759] Автор : RV3DLX Дата : 05.11.2020 12:03 Дмитрий, у меня изначально стоял ROJON, но я ради интереса все же приобрел ABLNO, но никаких видимых улучшений не заметил. Пробовал какие то дешевые генераторы (вроде бы я раньше выкладывал их фото), но с ними был "полный отстой" видимый невооруженным глазом. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1760] Автор : EU1SW Дата : 05.11.2020 12:26 Юрий, а как вы оценивали улучшения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1761] Автор : RV3DLX Дата : 05.11.2020 14:17 Юрий, а как вы оценивали улучшения? Сергей, ставил несущую с генератора на пределе перегрузки рядом с принимаемыми сигналами на различных диапазонах и смотрел появление побочных сигналов. Возможно это не правильно и субъективно? При дешевом плохом генераторе и несущую не нужно было ставить, на эфирных сигналах все безобразие вылезало. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1762] Автор : RA4UIR Дата : 05.11.2020 14:23 RV3DLX, у меня как раз такое наблюдается по приему, на мощных сигналах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1763] Автор : EU1SW Дата : 05.11.2020 16:15 Сергей, ставил несущую с генератора на пределе перегрузки рядом с принимаемыми сигналами на различных диапазонах и смотрел появление побочных сигналов. Возможно это не правильно и субъективно? Да в общем то все правильно, на практическом уровне, с реальными шумами эфирными Я к тому, расходы на ABLNO можно будет по праву оценить лет через 3-5-7 ) когда ВЧ диапазоны откроются, диапазоны малошумные, и рядом вещалки, которые почти не отфильтровываются ПФ, потому что рядом, и ДД по RMDR будет основным фактором. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1764] Автор : rk6aar Дата : 10.11.2020 15:41 Добрый день, на али нашел только такую плату 3*610,40 руб. | Плата макетная QMTECH циклонного типа https://a.aliexpress.com/_9I79JD Подойдет ли она? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1765] Автор : EU1SW Дата : 10.11.2020 15:52 Доброго дня, интересная находка, на EP4CE55, и недорого для такой емкости... можно брать, под эту плату уже можно растянуть прошивку на 4-7 приемников, как в оригинале. добавил и себе в закладки ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1766] Автор : R3AZ Дата : 18.12.2020 15:48 del не мог ссылку найти в первом посте... в самом низу оказалась, сорь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1767] Автор : R3MC Дата : 16.01.2021 16:47 Здравствуйте.Может кто сталкивался с такой проблемой подскажите: Плата плис ас608 22к чип ep4ce22f17c8 прошивка Hermes_Lite_22k_CW_sidetone работает и на прием и на передачу только в ней нет контроля мощности прошивка с контролем Hermes_Lite_22k_CW_sidetone_fix192_cwkey_input_tune_out_power_control все компилируется без ошибок на входящие пины ptt и т. д. подтягивающие резисторы ставил пересобирал несколько раз ,переустанавливал квартус 15 и 18 версии ни в какую не хочет работать, в hpsdr c сетью все нормально но ни каких признаков общения с плис нет . И еще вопрос: если залить прошивку Hermes_Lite_22k_CW_sidetone_fix192_cwkey_input_tune_out_power_control_WM8731_OK без кодека работать будет ? и чем в ней тактируется сама плис если нет пина клок 50 мгц? Еще где то в теме читал о закольцовке rx c tx так не нашел где ,какой утилиткой посмотреть характиристику входа ацп трансформаторы использовал tc4-1t 4;1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1768] Автор : EU1SW Дата : 18.01.2021 09:52 Добрый день! Воспользуйтесь исходниками крайней версии, смените тип ПЛИС, раставьте пины, обратите внимание на необходимость подачи тактирования на выделенные пины, кодек можете не подключать. Насчет тактирования разверните первый пост темы, там все написано, что откуда идет. Исходите их того, что если работает одна, то обязаны работать и все остальные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1769] Автор : R3MC Дата : 21.01.2021 19:29 Все заработало только после того как с платы сдул генератор 50мгц,так и не понял в чем прикол при чем генератор если пин е15 не назначен.На этой плате и так клоковых входов куча. Спасибо Сергей за отличную конструкцию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1770] Автор : LY3OS Дата : 01.02.2021 16:38 Сделал ДПФы для этого трансивера на стандартных зеленых дросселях, по статье UR5FFR (http://dspview.com/viewtopic.php?f=19&t=221). Реле еще не запаивал. Но что-то теперь появилось сомнение – стоит ли их ставить в DDC трансивер. Как думаете, будут ли здесь диапазонные ДПФы чем-то лучше обычного ФНЧ 7-порядка со срезом на 35 МГц? 350785 350786 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1771] Автор : UN7RX Дата : 01.02.2021 17:13 Я бы сказал иначе зачем очень неплохие параметры данного трансивера убивать фильтрами совсем не предназначенными для таких трактов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1772] Автор : EU1SW Дата : 01.02.2021 20:48 +1 могут всплыть совсем неочевидные вопросы ) недостаток дизера, к примеру ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1773] Автор : UF3K Дата : 01.02.2021 22:31 Уже сделано. Отчего бы не попробовать? ) PS: Предположу, что даже такие фильтры на 14 МГц и выше подчистят немного помехи от НЧ. Ниже 10 МГц может выползти интермод, если уровни с антенны большие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1774] Автор : EU1SW Дата : 02.02.2021 09:21 Как сказал Лев Николаич Толстой на первом собрании общества трезвенников "что бы не пить, вовсе не стоит собираться, но раз уж собрались, то почему бы и не выпить..." :ржач: Пусть пробует, тут же никто не запрещает, и по рукам не бьет ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1775] Автор : EU1SW Дата : 02.02.2021 12:10 Я вспомнил, что ранее уже делился опытом эксплуатации, могу повториться Владимир, по Вашему же совету и модели изготовил диапазонные фильтры 14, 21, 28, ну можно еще 24 до кучи изготовить... ) и мне их достаточно, поскольку от 10 мгц и ниже динамики RX и так с большим запасом, даже на широкополосные антенны, даже на 12 битах ), просто на больших антеннах необходимо и достаточно отсекать СВ/ДВ с помощью ФВЧ 1,7 мгц ) А полосовые 14, 21, 24, 28 иногда нужны с широкополосными антеннами в вечернее время, когда надо ловить проход на ВЧ и нужна макс. чувствительность. А на 6 метровый штырь НЧ диапазоны и так достаточно ослаблены, поэтому вообще практически не включаю ПФ в последнее время, поскольку никакого прихода от их включения не наблюдается. Возможно в городе все по другому, и с помощью ПФ можно с соседом хотя бы на разных диапазонах работать ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1776] Автор : LY3OS Дата : 02.02.2021 13:02 Спасибо за ответы, пожалуй не буду не ставить ДПФы сюда, оставлю их для другово - аналогово трансивера. Тогда по теме еще один вопрос – стоит ли ставить сдесь на входе кроме ФНЧ, еще ФВЧ на 1,5 МГц. Как думаете, будет ли от него какая-то польза? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1777] Автор : EU1SW Дата : 02.02.2021 14:43 будет ли от него какая-то польза Однозначно, даже не сомневайтесь, мало ли какую антенну в будущем соберетесь подключить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1778] Автор : LY3OS Дата : 10.02.2021 13:33 Извиняюсь, уточню еще насчет ДПФов. Как я понимаю проблема в низкой добротности дросселей. И если сделаю такие-же фильтры, но с самодельными индуктивностями – на оправке 10 мм. проводом 0.3, то их добротность будет значительно выше и такие суровые фильтры можно будет смело ставить в DDC TRX? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1779] Автор : EU1SW Дата : 10.02.2021 14:50 по моему мнению их просто не нужно делать такими узкими, вполне достаточно полуоктавных фильтров на стандартных индуктивностях, и непрерывное перекрытие обеспечено и все остальное, и технологично, и настраивать не надо. Еще раз повторюсь, если хочется особым путем, можно не спрашивать разрешения ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1780] Автор : RC3ZQ Дата : 31.03.2021 12:47 Добрый день, участникам форума! Вопрос по правке проекта в квартусе а именно по пин планеру. В ветке фигурировала прошивка для модуля с плис 22к где был восстановлен ping и добавлены два аттенюатора . Собственно мне два АТТ не требуются (у меня их нет), так же не требуются выходы на с плис поскольку установлен аудио кодек. Как поступить в пин планере удалить полностью эти пины или оставить но не назначиными (т.е поля ,pin пустыми). Это при условии что я в проекте менять ни чего не буду. Мне просто нужен восстановленный пинг. Спасибо за подсказку. Много уже воды утекло после того как собрал его, поэтому уже забыл многие нюансы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1781] Автор : UN7RX Дата : 31.03.2021 12:56 Просто оставьте пустыми. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1782] Автор : RC3ZQ Дата : 31.03.2021 14:00 UN7RX, Большое спасибо! А групповой экспорт возможно из проекта в проект делать таблицы пинов? Сохрань в Эксель я сохранял , а вот перенос не делал. Вот этот тоже момент интересен . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1783] Автор : EU1SW Дата : 31.03.2021 14:13 распиновка каждого проекта хранится в файле с расширением .qsf типа таких строчечек set_location_assignment PIN_AD27 -to ADCCLK set_location_assignment PIN_AE26 -to ADCMISO поэтому можно поредактировать этот файлик в текстовом редакторе, после чего открыть проект в квартусе и перекомпилировать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1784] Автор : UN7RX Дата : 31.03.2021 14:16 Я когда то Сергея тоже доставал этим вопросом, по переносу :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1785] Автор : RC3ZQ Дата : 31.03.2021 14:33 EU1SW, Спасибо, но полностью замену файла я так понял делать нельзя? Там видимо хранится что то ещё индивидуальное связанное и привязанное к проекту? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1786] Автор : RC3ZQ Дата : 31.03.2021 23:00 Просто оставьте пустыми. Добрый вечер. Не получается увы так, когда пустыми оставляю компилятор дает ошибки касаемые пинов. Error (176210): Can't place pin atten_20dB with I/O standard 3.3-V LVCMOS, Termination setting Off, and PCI I/O setting off due to device constraints Error (176207): Can't place pin atten_20dB in I/O bank 1 due to the following reasons Error (169187): Following feature(s) of I/O pin atten_20dB has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 6 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin atten_20dB has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 8 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin atten_20dB has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 13 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (176207): Can't place pin atten_20dB in I/O bank 2 due to the following reasons Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 25 Error (176207): Can't place pin atten_20dB in I/O bank 4 due to the following reasons Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 55 Error (176207): Can't place pin atten_20dB in I/O bank 5 due to the following reasons Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 88 Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 89 Error (176207): Can't place pin atten_20dB in I/O bank 6 due to the following reasons Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 90 Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 91 Error (169286): Too many output or bidirectional pins (11) are assigned in I/O bank 7. The I/O bank has only 10 pin location(s) supporting output operation. Info (169112): Pin exp_ptt_n Info (169112): Pin DAC_out[2] Info (169112): Pin DAC_out[4] Info (169112): Pin DAC_out[5] Info (169112): Pin DAC_out[6] Info (169112): Pin DAC_out[7] Info (169112): Pin DAC_out[8] Info (169112): Pin DAC_out[9] Info (169112): Pin DAC_out[10] Info (169112): Pin DAC_out[11] Error (176207): Can't place pin atten_20dB in I/O bank 8 due to the following reasons Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 128 Error (169009): Cannot place output or bidirectional pin atten_20dB in input pin location 129 Error (176209): Can't place I/O pins -- can't place pins in any other I/O bank or other I/O banks have no pins available for general purpose I/O placement Error (176210): Can't place pin ADCMOSI with I/O standard 3.3-V LVCMOS, Termination setting Off, and PCI I/O setting off due to device constraints Error (176207): Can't place pin ADCMOSI in I/O bank 1 due to the following reasons Error (169187): Following feature(s) of I/O pin ADCMOSI has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 6 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin ADCMOSI has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 8 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin ADCMOSI has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 13 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (176207): Can't place pin ADCMOSI in I/O bank 2 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 25 Error (176207): Can't place pin ADCMOSI in I/O bank 4 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 55 Error (176207): Can't place pin ADCMOSI in I/O bank 5 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 88 Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 89 Error (176207): Can't place pin ADCMOSI in I/O bank 6 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 90 Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 91 Error (169286): Too many output or bidirectional pins (11) are assigned in I/O bank 7. The I/O bank has only 10 pin location(s) supporting output operation. Info (169112): Pin exp_ptt_n Info (169112): Pin DAC_out[2] Info (169112): Pin DAC_out[4] Info (169112): Pin DAC_out[5] Info (169112): Pin DAC_out[6] Info (169112): Pin DAC_out[7] Info (169112): Pin DAC_out[8] Info (169112): Pin DAC_out[9] Info (169112): Pin DAC_out[10] Info (169112): Pin DAC_out[11] Error (176207): Can't place pin ADCMOSI in I/O bank 8 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 128 Error (169009): Cannot place output or bidirectional pin ADCMOSI in input pin location 129 Error (176209): Can't place I/O pins -- can't place pins in any other I/O bank or other I/O banks have no pins available for general purpose I/O placement Error (176210): Can't place pin ADCCLK with I/O standard 3.3-V LVCMOS, Termination setting Off, and PCI I/O setting off due to device constraints Error (176207): Can't place pin ADCCLK in I/O bank 1 due to the following reasons Error (169187): Following feature(s) of I/O pin ADCCLK has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 6 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin ADCCLK has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 8 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin ADCCLK has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 13 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (176207): Can't place pin ADCCLK in I/O bank 2 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 25 Error (176207): Can't place pin ADCCLK in I/O bank 4 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 55 Error (176207): Can't place pin ADCCLK in I/O bank 5 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 88 Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 89 Error (176207): Can't place pin ADCCLK in I/O bank 6 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 90 Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 91 Error (169286): Too many output or bidirectional pins (11) are assigned in I/O bank 7. The I/O bank has only 10 pin location(s) supporting output operation. Info (169112): Pin exp_ptt_n Info (169112): Pin DAC_out[2] Info (169112): Pin DAC_out[4] Info (169112): Pin DAC_out[5] Info (169112): Pin DAC_out[6] Info (169112): Pin DAC_out[7] Info (169112): Pin DAC_out[8] Info (169112): Pin DAC_out[9] Info (169112): Pin DAC_out[10] Info (169112): Pin DAC_out[11] Error (176207): Can't place pin ADCCLK in I/O bank 8 due to the following reasons Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 128 Error (169009): Cannot place output or bidirectional pin ADCCLK in input pin location 129 Error (176209): Can't place I/O pins -- can't place pins in any other I/O bank or other I/O banks have no pins available for general purpose I/O placement Error (176210): Can't place pin nADCCS with I/O standard 3.3-V LVCMOS, Termination setting Off, and PCI I/O setting off due to device constraints Error (176207): Can't place pin nADCCS in I/O bank 1 due to the following reasons Error (169187): Following feature(s) of I/O pin nADCCS has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 6 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin nADCCS has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 8 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (169187): Following feature(s) of I/O pin nADCCS has invalid setting(s) in the configuration scheme ACTIVE_SERIAL when the pin is placed at pin location 13 Info (169189): I/O feature 'Weak Pull Up' has an invalid setting. The setting should be ON. Error (176207): Can't place pin nADCCS in I/O bank 2 due to the following reasons Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 25 Error (176207): Can't place pin nADCCS in I/O bank 4 due to the following reasons Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 55 Error (176207): Can't place pin nADCCS in I/O bank 5 due to the following reasons Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 88 Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 89 Error (176207): Can't place pin nADCCS in I/O bank 6 due to the following reasons Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 90 Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 91 Error (169286): Too many output or bidirectional pins (11) are assigned in I/O bank 7. The I/O bank has only 10 pin location(s) supporting output operation. Info (169112): Pin exp_ptt_n Info (169112): Pin DAC_out[2] Info (169112): Pin DAC_out[4] Info (169112): Pin DAC_out[5] Info (169112): Pin DAC_out[6] Info (169112): Pin DAC_out[7] Info (169112): Pin DAC_out[8] Info (169112): Pin DAC_out[9] Info (169112): Pin DAC_out[10] Info (169112): Pin DAC_out[11] Error (176207): Can't place pin nADCCS in I/O bank 8 due to the following reasons Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 128 Error (169009): Cannot place output or bidirectional pin nADCCS in input pin location 129 Error (176209): Can't place I/O pins -- can't place pins in any other I/O bank or other I/O banks have no pins available for general purpose I/O placement Error (176204): Can't place pins due to device constraints Error (171000): Can't fit design in device Error: Quartus II 64-Bit Fitter was unsuccessful. 83 errors, 87 warnings Error: Peak virtual memory: 1183 megabytes Error: Processing ended: Wed Mar 31 22:41:07 2021 Error: Elapsed time: 00:00:58 Error: Total CPU time (on all processors): 00:00:58 Error (293001): Quartus II Full Compilation was unsuccessful. 85 errors, 409 warnings Пробовал и оставлять не назначенные и удалять из файла не нужные пины, результат одинаков. 355189355190355191 У меня нет дополнительного ADC и ATT , нет выходов I и Q и используются только с 0 по 3 юзераут. Я так понимаю что где то еще нужно что то убрать в проекте что не задействовать не нужное. Что я делаю не так подскажите пожалуйста? В архивах файл пин планера и файлы проекта в котором пытаюсь установить свои пины. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1787] Автор : RC3ZQ Дата : 04.04.2021 15:35 Товарищи дык как восстановить pingв коде исходника ни кто не подскажет? Или это великая тайна? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1788] Автор : Alexproga Дата : 04.04.2021 16:02 Да и ямбический ключ добавить. Многим это не под силу, увы автор занят другими темами:-(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1789] Автор : ra3tes Дата : 04.04.2021 17:21 RC3ZQ, Ошибка при отправке Вам личного сообщения. "RC3ZQ превысил(а) максимальный объём сохранённых личных сообщений и не может получать новые сообщения, пока не удалит часть старых." Прошу прощения за оффтоп. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1790] Автор : RC3ZQ Дата : 05.04.2021 14:29 ra3tes, Доброго дня! Спасибо за помощь! Получилось, подменил файлы в своем проекте и ping во становился. В этом плане отлично все. Ночью сегодня вспомнилось мне что я на плате дпф заложил я еще три года назад аттенюатор. Посмотрел а он даже распаян и реле установлены с ключом. Возникла мысль его задействовать. Выше в моем сообщении проект где задействованы два аттенюатора. Редакцией проекта тогда занимался Василий Livas если не ошибаюсь. Только описания нет в каких и где файлах нужно что либо исправить. Поиском я нашел файлы со словами att, но тупо менять файлы я побаиваюсь поскольку могу что нибуть притянуть к себе в проект связанное с дополнительным ADC которого у меня нет и не будет и тем самым получить какие либо косяки которые можно сразу не обнаружить а до модуля плисины доступ у меня тут не очень быстрый и удобный. Может кто более конкретней знает где это все проекте? Оно закомментировано или вообще удалено из кода? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1791] Автор : ruslan32 Дата : 05.04.2021 20:07 да вроде в вашем проекте есть АТТ. Пины только назначить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1792] Автор : RC3ZQ Дата : 05.04.2021 20:13 ruslan32, Добрый вечер, тот что в сообщении проект это проект не мой, и в нем действительно есть att и соответственно пины, от своего я только выкладывал файл который видит в квартусе пин планер. Но в итоге я правил свой проект где небыли ни пинга ни АТТ, пинг сейчас есть а вот с att я боюсь ошибиться . Там в нескольких файлах проекта есть код касательно его, но разобраться сложновато.....Поэтому и спрашиваю кто может конкретно знает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1793] Автор : EU1SW Дата : 22.04.2021 11:53 К вопросу о узких диапазонных фильтрах на дрочелях, применительно к DDC прекрасные экспериментальные результаты опубликованы по ссылке http://www.cqham.ru/forum/showthread.php?12254-%CF%F0%E8%E5%EC%EE%EF%E5%F0%E5%E4%E0%FE%F9%E8%E9-%F2%F0%E0%EA%F2-SSB-%F2%F0%E0%ED%F1%E8%E2%E5%F0%E0&p=1822803&viewfull=1#post1822803 очевидно, что узкий ДПФ даже на немаленьких Т50-2 может ухудшить на пару-тройку-пятерку-десятку дБ общий имд даже ad9226, не говоря уже о более горячих штучках ) посему читаем, делаем выводы, и делаем кому как хочется ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1794] Автор : UN7RX Дата : 22.04.2021 14:57 Спасибо Сергей! Как раз об этом всегда и вспоминаю, когда вижу массовое увлечение минифильтрами для таких высокодинамичных приемников. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1795] Автор : EU1SW Дата : 22.04.2021 15:17 Роберт, я делал на воздухе, по моделям Владимира UF3K, exRX3QFM Для 6645 и 2208 тоже КМК вполне неплохо подойдут, сделать лучше уже будет достаточно сложно, и главное вряд ли нужно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1796] Автор : RZ1ZR Дата : 22.04.2021 15:55 а мне вариант "ALEX" нравится, подавление максимум, искажений минимум.... 356607 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1797] Автор : EU1SW Дата : 22.04.2021 16:55 плата "алекс", как комбинация ФВЧ+ФНЧ - это уже классика жанра однако в трансиверах anan-7000|8000DLEшках таки набор ДПФ я не об том речь завел, в принципе, полненькие или худенькие ) а о том, что бы сформировалось понимание, что если лепить узкие фильтры, то требования к ним как у Дроздова -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1798] Автор : UN7RX Дата : 22.04.2021 20:26 Сергей, а о каких моделях речь, Владимир их где то выкладывал? Я так понял там катушки без сердечника? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1799] Автор : UF3K Дата : 23.04.2021 07:02 Чувствую, придется дополнить. ) 1. Собственно идея таких фильтров принадлежит Дмитрию UG3K ex RZ3QD. 2. Необходимость в фильтрах есть у станций позиций multi-multi либо когда есть мощный сосед. "Классики жанра" в виде ФНЧ+ФВЧ может не хватить. В иных случаях ДД приемника хватает даже у AD9226. 3. Для тех, кому не нравятся помехи IMD на ВЧ диапазонах можно немного упростить. Сделать фильтры от 20 м и выше, а на НЧ диапазоны 30 м и ниже применить ФНЧ со срезом на 11 МГц. 4. Модели сделаны в AWR MWO 10. Могу выложить именно в таком виде. На практике я делал от 40 м и выше макеты. Бескаркасные катушки и многослойные китайские конденсаторы. Работает очень хорошо. Настраивается растяжением/сжатием витков. Диапазон 80 м тоже получится. Вот 160 м надо попробовать в плане того, хватит ли упругости провода для бескаркасной катушки. Может быть на каркасе сделать 160 и 80 м. 5. Полный набор фильтров будет иметь приличные габариты, т.е. на них с ПЛИС могут случиться наводки, что приведет к подъему шумовой полки на ВЧ. Т.е. весьма вероятно, что потребуется экранировка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1800] Автор : UN7RX Дата : 23.04.2021 10:40 В "дроздивере" катушки на НЧ по моему многослойные. Кстати, хорошо Сергей выше о этих фильтрах упомянул, вспомнил, что у меня есть полный комплект готовых фильтров "дроздивера" изготовленных лет 25 назад. Знали б вы из чего пришлось делать каркасы в моем тогдашнем колхозе... :ржач: Но все норм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1801] Автор : EU1SW Дата : 23.04.2021 13:12 Если сразу проектировать аппарат под узкие чисто диапазонные фильтры крайне неплохо заранее пердусмотреть возможность получения избыточного усиления перед АЦП. Причина проста, мощности шума эфира+сигналы станций диапазона в полосе прозрачности фильтра (особенно на ВЧ, в тихих местах, ну, про 50 МГц и так понятно, там просто надо) может банально не хватить что б нормально "зашевелить" биты АЦП, поэтому необходимо иметь под рукой "кочергу" согласно эмпирическому принципу "пол - потолок" я бы предложил еще с каскад 10-13-15 дб набросить, типа как у фирмачей, УВЧ1 + УВЧ2, удобные значения 18 +12 дб ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1802] Автор : UN7RX Дата : 23.04.2021 19:54 Вот это да, спасибо, учту. :smile: Беда - шума не хватает! :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1803] Автор : UF3K Дата : 23.04.2021 20:25 Кстати, да. Сергей прав- я наблюдал на ВЧ артефакты в виде ненормально высокой чувствительности и т.п. из-за недостатка дизера. Но принимать было приятно все равно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1804] Автор : RA1AGB Дата : 23.04.2021 20:38 В качестве ДПФ рассматриваю вариант от UN7GCE https://forum.qrz.ru/180-kv-ra3ao/3363-chuvstvitelnost-ra3ao-10.html Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1805] Автор : UN7RX Дата : 23.04.2021 20:58 Ну тут как раз речь о катушках БЕЗ сердечника, Сергей выше об этом и писал, с указанием причин. Если делать на кольцах, вообще нет смысла заморачиваться с констуктивом, давно все отработано... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1806] Автор : RC3ZQ Дата : 23.04.2021 23:30 Господа смею отвлечь Вас от темы конструктива полосовых фильтров. Хочу задать вопрос по трансиверу. У меня долгострой и я даже затрудеяюсь было ли это изначально или получилось в процессе "ковыряний" проекта . В режиме передачи трансивер излучает сигнал DSB, то есть обе боковые и это не зависимо что включено LSB или USB в PSDR. По приему все нормально. Что делалось совсем недавно с проектом -востановлен ping, добавлено управление для att. Сомневаюсь конечно если это могло как то повлиять. Господа навидите на мысль че робыть...... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1807] Автор : EU1SW Дата : 24.04.2021 18:41 Если делать на кольцах, вообще нет смысла заморачиваться Именно так! в том то и дело, на кольцах, да на амидоне, их тыщи, и схем, и дизайнов, и наборов, а тут Владимир и Дмитрий предложили новое прочтение, качественно и доступно, и без амидона, посчитал необходимым привлечь внимание конструирующей радиолюбительской общественности ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1808] Автор : EU1SW Дата : 26.06.2021 00:10 Предусмотрен вывод демодулированного аудио непосредственно из железа, для минимизации задержек, реализация дельтасигма ЦАП, внешний кодек не нужен, наушники непосредственно с пинов ПЛИС через цепочку из ВЧ дросселя + электролит, отсечь постоянку http://www.cqham.ru/forum/showthread.php?27609-%D2%F0%E0%ED%F1%E8%E2%E5%F0-HiQSDR-mini&p=1835783&viewfull=1#post1835783 новое, это хорошо забытое старое, и люди довольны ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1809] Автор : ra3tes Дата : 26.06.2021 10:18 Раз пошло такое дело, не большой вопросик. При выводе звука на наушники непосредственно с пинов ПЛИС. при выключенной PSDR на выходе присутствует "свист". При приеме его не слышно, возможно просто маскируется шумами эфира. Тоже самое при самоконтроле CW, в паузах свист, также "свист" слышно если убрать регулятор громкости в PSDR до нуля. Это только у меня, или еще у кого-то присутствует ? Прошивка из этого поста (http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7&p=1624498&viewfull=1#post1624498), в части вывода через СигмаДельтаМодулятор, изменений с относительно данного проекта нет. Просто решил немного телеграфом по ковырять и столкнулся вот с такой "проблемкой". PS Откровенно говоря, мне больше по душе такая концепция СДР: "...SDR в моем понятии это ящик с разъемом антенны, разъемом питания, и разъемом езернет (ну можно еще кнопку питания предусмотреть, для эстэтов) который можно поставить под стол или вынести поближе к антеннам, или еще что нибудь... все эти юзкейсы не подразумевают втыкания в этот ящик ничего, кроме сети, питания и антенны..." Цитата из поста (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1454766&viewfull=1#post1454766) Сергея. Конечно, это четыре года назад было, все меняется :-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1810] Автор : Sergey_gh Дата : 26.06.2021 10:50 в паузах свист Рискну предположить. Скорее всего помеха идёт с внутрикристальной земли или питания данного IO ПЛИС. Наблюдал похожее на таких простейших сигма-дельта ЦАП-ах сделанных напрямую с пина. Решением может быть установка внешнего буфера или инвертора с чистым питанием на этот вывод. Что-нибудь типа 74LVC1G04. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1811] Автор : RC3ZQ Дата : 26.06.2021 12:54 при выключенной PSDR на выходе присутствует "свист" Аналогично, пришлось отказаться от встроенного УНЧ в трансивер. Но у меня аудио кодек есть , с него я на наушники слушаю. Поэтому как то не особо важно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1812] Автор : linoobs Дата : 26.06.2021 22:16 Всем доброго вечера, остались платы для распайки всех элементов без участия модулей. На плате Altera 22к, АЦП AD6645, ДПФ, 1 АТТ, УВЧ LTC6400, усилитель мощности на 2х RD15/RD16 20 ватт, ФНЧ, Тандемматч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1813] Автор : EU1SW Дата : 27.06.2021 10:40 есть сомнения в корректности реализации отдельных узлов, разглядывал фото в окрестностях 6400, и не увидел того, чего ожидал увидеть... 6400 запитана от какого напряжения? ну и рожон заменить на что нибудь приличное ) Добавлено через 39 минут(ы): http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1607566&viewfull=1#post1607566 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1814] Автор : EU1SW Дата : 27.06.2021 10:42 http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1561525&viewfull=1#post1561525 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1815] Автор : linoobs Дата : 27.06.2021 11:46 Место под генератор размером 7050 и Dip, ставить можно что угодно. Драйвер ltc6400-8 запитан от 3,3в, но как показали ковыряния, он не особо и нужен, без него на 10м диапазоне по приему не уступает yaesu ftdx-1200. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1816] Автор : EU1SW Дата : 27.06.2021 12:06 ну так вот с драйвером то и нехорошо выходит, у 6645 аналоговое питание 5 вольт, и опорное 2,4 вольта, макс. размах входного сигнала 2,2 п-п, соответственно драйвер не в режиме, смещен по постоянке на 0,8 вольта в сторону верхнего плеча, и соответственно ограничивает ДД сверху, поскольку обрезает верхушки, недоиспользуя ДД АЦП но в целом сработано прикольно ) "прием" зависит от конкретной местности, в моем QTH шум в полосе 3 кгц на 10 метровом диапазоне со штыревой антенны -118 дБм, а с веревки -105 - -110 дБм MDS 6645 без драйвера в полосе 3 кГц составляет -112 дБм, ну вот и получается, что с веревкой можно и без драйвера сидеть, и так и было, и слышать, все что принимает какое нибудь другое конвециальное радио на ту же веревку, а вот со штыря чувствительности будет сильно не хватать, как минимум на 6 дб и увч нужен -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1817] Автор : linoobs Дата : 27.06.2021 12:36 Сергей спасибо за ответ и критику. Очень хорошо, по крайней мере у меня, помогает ДПФ, без него да чутья явно не хватает. Первая версия платы была с увч на BFG591, особой разницы с драйвером я не заметил, но это субъективное мнение, замеры не проводил. Данная плата разводилась под конкретный корпус от старой CB станции для забрасывания где-то далеко, но с того времени много чего поменялось и я не занимался этим проектом больше двух лет. Если будет интерс, можно сделать плату под какой-то стандартный корпус Bahar 150*100 +/- -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1818] Автор : EU1SW Дата : 27.06.2021 13:19 Да какая тут критика, просто мнение, как можно было бы сделать чуть иначе ) если делать одноплатник по мотивам, то как справедливо отмечено, прошли годы, и КМК имеет смысл упростить местами, не в ущерб, использовать наработки из смежных проектов, на выход сборку MRF9120 (или другой, коллега UF3K подскажет, есть уже какая то сборка получше) с драйвером RD06hvf, они есть на Али, так же применить LTC2208 в качестве АЦП, они также есть на али на 15$, я их проверял, вполне себе работают, и дадут возможность ввести 50 МГц и 144. Предусмотреть коммутатор на входе АЦП, для переключения входных веток 0-50 и 144-146, RX "двойка" на алиасах, + продвинутый ФНЧ на выходе ЦАП с диплексером на две ветки: 0-50 и 120+ для TX на двойке. Аттенюатор для проброса PureSignal. И модуль ПЛИС на BGA 22к. Модули кодека и GPS приемника. Модуль для TX на 144. Но это уже большая плата будет, и большой корпус, по теплу, в том числе, можно будет сразу к радиатору прикручивать ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1819] Автор : UN7RX Дата : 27.06.2021 17:52 Сергей, так тут как бы две совершенно разные вещи получается. К примеру собранная по данному проекту моя плата предлагает самый весь заложенный сервис и имеет резервы и по пинам и по возможностям. НО! Только потому что есть написанный и развитый вами софт в который все это заложено. Без него это просто кучка барахла. Помня наш разговор по LTC2208 и прочим вкусностям я было уже начал делать одноплатник - без УМ на плате, я противник такого решения, но забросил, потому что софта не предвиделось, вы занялись то ли УКВ, то ли еще чем то, а самому всерьез осваивать квартус и ПЛМ нет возможности. Проще просто повторить AngeliaLite благо для него есть абсолютно все. Но так никогда и не закончишь ничего, прыгая от проекта к проекту. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1820] Автор : EU1SW Дата : 27.06.2021 18:06 Роберт, я не понимаю смысла этого комментария, простите... ) Хотите собирать ангелиялайт - собирайте, вы же не можете мне запретить делать то, что мне интересно и когда мне интересно ) Я написал, как я вижу то, над чем мне интересно было бы сейчас поработать Если бы я работал над разными проектами в том же темпе, в котором люди их собирают, особенно с отсутствующим желанием разбираться в исходниках, (Это не в Ваш огород, вы же видите сколько человек участвуют в разработке софта, допилке хардвера, а сколько повторяют) тогда бы не накопилось того бэкграунда, который можно положить в основу следующей конструкции... они ж не от сырости появляются ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1821] Автор : UN7RX Дата : 27.06.2021 20:38 Все просто. Это - ваша тема, соответственно все что говорите я воспринимаю как авторское. Если вы говорите о новых концепциях я и воспринимаю это через призму авторской конструкции. В теме есть многократно повторенный вариант с отлаженным авторским ПО. Это то, что многие, в т.ч и я повторили с громадным интересом. И идеи, которые для абсолютного большинства таковыми и останутся - вспомните, некоторые повторившие даже на стабилизаторах споткнулись :smile: Конечно вы можете в своей теме заниматься чем хотите, на то она и авторская. Станет ли новая идея новой конструкцией пригодной для повторения - исключительно в вашем желании, или нежелании. А тут срабатывают иные факторы, но это не для общественной беседы.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1822] Автор : EU1SW Дата : 27.06.2021 21:17 одноплатник - без УМ на плате, я противник такого решения, да, с подобным набором функционала пытаться выкружить все на одной плате - не очень себе решение, мало кому это все надо будет а вот малосигнальная часть целиком со всеми RX фильтрами , коммутацией и различными aux разъемами, типа RX1|2|XVTR с мощностью порядка 1 ватта - таки очень даже да А тут срабатывают иные факторы, но это не для общественной беседы. Конечно вы можете в своей теме заниматься чем хотите, на то она и авторская. ну надо же мне как то искать единомышленников, не в одно лицо все пилить ) а платы я не люблю пилить, я от них приунываю ) а то потом рассказывают всякие чудаки в разных темах - ой, у него нифига не взлетело бабла поднять, лазит теперь всех обсирает :ржач: Нормальный такой общественный дискурс ) почему ж нет ) выкладывание исходников - это как раз говорит о том, что автор желает поднять бабла ) Добавлено через 23 минут(ы): а если серьезно, то база наработок достигла критической массы, модели фильтров посчитаны и обмеряны, возможно пришло время, что бы эту массу реализовать в виде законченной платы, и выбросить из кода все лишнее, что вызывает вопросы. И вопрос лишь в том, есть ли участники, которым это интересно именно в таком виде. Именно это отличает концепцию, которую предлагаю я, от всего того, что было раньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1823] Автор : EU1SW Дата : 07.07.2021 22:00 Вот это да, спасибо, учту. Беда - шума не хватает! А вот в продолжение беседы и случай из жизни http://www.cqham.ru/forum/showthread.php?41400-%D2%F0%E0%ED%F1%E8%E2%E5%F0-SunSDR2-%F2%E5%EC%E0-%B96&p=1837168&viewfull=1#post1837168 убрали атт с -20 до нуля, а еще б лучше +10 усиления набросили, и было бы без помех и без перегруза ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1824] Автор : ra3tes Дата : 14.07.2021 11:34 Кто-нибудь "прикручивал", к данной конструкции, коммутатор антенн ? Прием-передача на разные антенны, или на одну. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1825] Автор : UN7RX Дата : 14.07.2021 13:00 аза наработок достигла критической массы, модели фильтров посчитаны и обмеряны, возможно пришло время, что бы эту массу реализовать в виде законченной платы, и выбросить из кода все лишнее, что вызывает вопросы. Сергей, я немного запутался )) Речь о конструкции в теме, или вашей плате с двумя LTC2208? :roll: выкладывание исходников - это как раз говорит о том, что автор желает поднять бабла Ну у нас еще и не такие экзерсисы умудряются выдавать...:facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1826] Автор : EU1SW Дата : 14.07.2021 17:50 Сергей, я немного запутался )) Речь о конструкции в теме, или вашей плате с двумя LTC2208? я говорю о компиляции всех рабочих наработок в одну плату Я не вытягиваю весь цикл самостоятельно Поэтому нужен компаньён, для разработки ПП, как я вижу конечный продукт, описал тут применить LTC2208 в качестве АЦП, они также есть на али на 15$, я их проверял, вполне себе работают, и дадут возможность ввести 50 МГц и 144. Предусмотреть коммутатор на входе АЦП, для переключения входных веток 0-50 и 144-146, RX "двойка" на алиасах, + продвинутый ФНЧ на выходе ЦАП с диплексером на две ветки: 0-50 и 120+ для TX на двойке. Аттенюатор для проброса PureSignal. И модуль ПЛИС на BGA 22к. Модули кодека и GPS приемника. Модуль для TX на 144. + на борту драйвер для раскачки КВ PA 1,8-50 мгц, полосовые КВ, вся малосигналка 144 мгц с выходным уровнем 100-200 мВт + все остальные узлы как управление коммутацией, всякие сервисные АЦП, кодек на плату паять и тд и тп -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1827] Автор : UN7RX Дата : 14.07.2021 18:37 Собственно я себе плату примерно так и делал - все на одну, но без фильтров и мощного УМ, потому что уверен - и тому и другому не место на данной плате, резко возрастут проблемы с наводками как по цифре так и аналоговые обратки и без УКВ, мне это не интересно. Но, например, если ПЛИС на плате А6 с али, то установка плиски на саму плату не дает выигрыша по размерам. Зато использование ее на своей субплате сильно развязывает руки в разводке, можно как угодно изгаляться под ней. Самый большой ее (А6) плюс, почти 100% использование всех i/o, я прикидывал на основе концепции разработки в этой ветке, хватает на две ацп и на всевозможные хотелки которые реализованы в данной ветке. Я даже начинал разводку ПП с парой LTC2208, предвкушая прицепить Андромеду, но после нашей переписки по поводу ПО, когда услышал что у вас другие идеи, текщий софт не интересен (а там нужна поддержка Thetis) и так далее, все заморозил и бросил. Та что есть, готовая, на 6645, будет собрана на малине с аппаратным интерфейсом управления и стандартными 100Вт, потом есть еще пара недоделанных цифровиков, ну а если сяду что то снова с нуля делать, то на основе тех АЦП которые лежат у меня, 9255, да и то нескоро, предстоит стройка и наверняка не на один год ((. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1828] Автор : UT4NJ Дата : 19.08.2021 07:37 Подскажите, а вариант описан в этой теме пост №823, будет работать с Raspberry PI4 + piHPSDR ? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1829] Автор : EU1SW Дата : 19.08.2021 09:12 посмотрите пост №6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1830] Автор : vasi Дата : 19.08.2021 22:00 Здравствуйте Сергей ,прошу помощи.Залил ета прошивка Hermes_Lite_6144.zip ,пост 1436 от 7.01.19. На прием все работает.На передатча SSB -ok, Tune -ok ,а CW что то не так.Проверил с осциллографе после НЧФ ,там нет чистая синусуида.До етого стояла Hermes-lite_22k_96MHz.zip ,там CW-ok. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1831] Автор : EU1SW Дата : 20.08.2021 17:35 добър ден ! я не понял, если будет удобнее вам напишите eu1sw@vwts.ru -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1832] Автор : ua3uhp Дата : 14.11.2021 19:34 Всем привет! не осталось ли у кого такой платы!? вышла из строя! по разумной цене! на али таких уже нет!:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1833] Автор : EU1SW Дата : 14.11.2021 19:58 если никто не предложит, напишите мне в личку, сможем найти одну ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1834] Автор : ua3uhp Дата : 14.11.2021 20:05 если никто не предложит, напишите мне в личку, сможем найти одну ) Спасибо Сергей! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1835] Автор : Fercad Дата : 03.12.2021 16:58 Такие платы действительно уже не продаются на Алиэкспрессе, но чипы еще есть. Может кто-нибудь выложить герберы, для заказа изготовления плат? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1836] Автор : ua3uhp Дата : 03.12.2021 18:15 Да чипы есть !но я почему то стремаюсь пока заказывать, на али они не дешевы,!? а риск получить лажу большой!:oops: и пока мой трансивер превратился кирпич !к сожалению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1837] Автор : UN7RX Дата : 03.12.2021 20:09 но я почему то стремаюсь пока заказывать, на али они не дешевы,!? Ни разу не попадались нерабочие Циклоны на Али, хотя покупал у разных продавцов. Их нет смысла подделывать, это не массовый продукт. Может кто-нибудь выложить герберы, для заказа изготовления плат? Герберы каких плат? Если не можете развести ПП целого устройства, то разведите просто плату переходник с корпуса FPGA на удобный вам шаг и подкорректируете любую субплату из представленных в теме под свой переходник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1838] Автор : ua3uhp Дата : 03.12.2021 21:25 Спасибо это ценная информация! наверно тогда стоит и рискнуть чип и дип 4 р просит на али вдвое дешевле -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1839] Автор : ub1amz Дата : 05.12.2021 18:56 Я смотрю уже и 55к элементов приближается к доступному ценнику: https://aliexpress.ru/item/4001310584093.html?mp=1&sku_id=10000015689528465&spm=a2g0o.cart.0.0.27fa3c00EyelUC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1840] Автор : EU1SW Дата : 05.12.2021 19:19 Как то заказывали такие платы компанией, даже почти год назад к парням пришло, я мне по спору деньги вернули ) но у меня 115К есть, так что я не расстроился ) Добавлено через 6 минут(ы): Я смотрю уже и 55к элементов приближается к доступному ценнику: https://aliexpress.ru/item/400131058...27fa3c00EyelUC этих мало у него, судя по остаткам - 26 шт вот этих побольше https://aliexpress.ru/item/1005001782703399.html 5 сайлон на 77к -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1841] Автор : olegn77 Дата : 05.12.2021 19:52 А если смысл покупать данные платы? Проекты как то подзаглохли, а покупать эти платки чтоб поморгать светодиодами выходит дороговато. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1842] Автор : UN7RX Дата : 05.12.2021 20:11 Что значит "проекты заглохли"? Проекты логически завершены и готовы для повторения любым радиолюбителем с ровными руками и понимающему что он делает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1843] Автор : olegn77 Дата : 05.12.2021 20:19 Я к тому, что эти проекты были сделаны на Циклонах с 22К и ресурсов этих FPGA хватало, подумал может замышляется какое то продолжение этих проектов или вообще что то новое, вот и спросил про это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1844] Автор : M0TLN Дата : 06.12.2021 10:38 В шапке есть файл и для 10К: Hermes_Lite_10k_96_MHz_full.zip -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1845] Автор : EU1SW Дата : 06.12.2021 19:00 Сергей, насколько я понял из контекста, предыдущий оратор кОтит свою бочку в противоположную сторону ) типа в 55к плисине оставшиеся 33к элементов будут курить бамбук ) но это не так ) для 55к проект 22к отлично масштабируется через ctrl-c/ctrl-v это в 115к у меня бамбу курилщиков больше половины, и в 55к все 5 приемников стройно уместятся ) другой вопрос - а зачем мне что то делать, если нет запроса ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1846] Автор : UN7RX Дата : 06.12.2021 20:28 Кстати, по поводу именно этой конструкции. Кто-то из ребят запускал две 6645, точно помню, отзовитесь плиз, кто делал? Для двух у 22к вполне хватает выводов на все хотелки, для LT2208 уже нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1847] Автор : RA4UIR Дата : 06.12.2021 20:37 подскажите кто то использует этот тракт с дпф? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1848] Автор : M0TLN Дата : 06.12.2021 23:42 ...это в 115к у меня бамбу курилщиков больше половины, и в 55к все 5 приемников стройно уместятся ) ... В прошлый раз я упустил, это похожа на вашу 115К https://www.aliexpress.com/item/4000150949762.html ? Тоже 2 ряда контактов и тоже с шагом 1.25мм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1849] Автор : RV3DLX Дата : 07.12.2021 02:56 подскажите кто то использует этот тракт с дпф? А в чем проблема? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1850] Автор : UF3K Дата : 07.12.2021 11:23 Кто-то из ребят запускал две 6645, точно помню, отзовитесь плиз, кто делал? Роберт, я делал макет (года 3 назад, наверное). Оно работало, вполне неплохо. Подавление помех работало, но в моем случае они сразу с нескольких направлений, поэтому толку мало. В итоге для себя решил оставить одноканальный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1851] Автор : RA4UIR Дата : 07.12.2021 11:31 А в чем проблема? Юрий я первоначально грешил на неправильно спроектированный дпф, но сейчас склоняюсь что дело в увч. При отключенном дпф шумовая дорожка на уровне 1 балл примерно, при подключении ДПФ шумовая дорожка поднимается на 5.5 баллов (на разных диапазонах по разному), на 160 метров и на 28 мгц больше всего. Первая мысль была что полосовики работают как антенна (хотя в экранированном отсеке находятся). Еще вчера заметил что на 160 метров появляются горбы на панораме, пытался их найти рядом стоящим трансивером,- нет, все тихо. Друг подсказал на счет увч, отключил его полностью, подал сигнал с дпф на фнч перед ацп. И совершенно никаких горбов нет, поднятия шумовой дорожки нет при подключении ДПФ. Если и возбуждается BFG591, то как то странно, ток стоит как вкопанный 60 ма. Пробовал режимы УВЧ выставлять, при изменении тока 'горбы' на панораме двигаются, их уровень, не много изменяется. Вот хотел спросить может кто боролся с такой бедой ? Я пока пришел к выводу что возбуждается УВЧ при подключении дпф, но возбуд пока сбить не удалось, ферритовые бусины вешал, резистор в базу. У меня печатная плата АЦП + УВЧ по Вашей топологии сделана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1852] Автор : UN7JID Дата : 07.12.2021 11:49 Я пока пришел к выводу что возбуждается УВЧ при подключении дпф, но возбуд пока сбить не удалось, ферритовые бусины вешал, резистор в базу. Может попробовать заменить сам транзистор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1853] Автор : EU1SW Дата : 07.12.2021 12:15 Я пока пришел к выводу что возбуждается УВЧ при подключении дпф, но возбуд пока сбить не удалось, ферритовые бусины вешал, резистор в базу.146% сверлить текстолит насвозь, рядом с эмиттерами, сажать концы эмиттерных резисторов на фольгу, буквально не далее 1 мм, иначе блуд Добавлено через 7 минут(ы): это похожа на вашу 115К https://www.aliexpress.com/item/4000150949762.html ? это оно самое, именно к ней у меня нахлобучен борд с двумя 2208 только я покупал за 79, просто плату ПЛИС, без материнской, которая с кодеками и езернетом, кажется, что в то время не было этих цацок, а иначе это все готовый модуль, просто прицепить АЦП/ЦАП ) Физически ПЛИС и LAN уже разведены и 100 процентов связка рабочая, остается просто кодить ) Добавлено через 12 минут(ы): с 591ми моя история такая: либо он блудит, и невозможно его угомонить, либо не блудит, и фиг сорвешь ) и определяется исключительно топологией ПП а по ощущениям - универсальный транзистор, за исключением каскадов LNA 144 и выше ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1854] Автор : RV3DLX Дата : 07.12.2021 13:11 RA4UIR, Дмитрий, возможно что дело в возбуждении УВЧ, но скорее всего при подключении ДПФ нужно аккуратно быть с компоновкой, разводка земель и питания и все будет хорошо, ДПФ дадут только положительный результат. Успехов! Юрий. P.S. При правильной разводке платы у меня УВЧ никогда не возбуждался. Сергей совершенно прав, цепь эмиттеров должна быть максимально короткой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1855] Автор : EU1SW Дата : 07.12.2021 14:21 немного риторики ) в привычных единицах АЦП 6645 можно описать как двухполюсник со значением параметра IP3 в +50 с лишним дБм, хотя его поведение и отличается от классического определения интерцепшн поинт, но если суть одна, то можно пренебречь ) соответственно перед ним в сигнальном тракте должно располагаться устройство с еще большим IP3, а это уже проблемно, по очевидным причинам ) согласно литературе, для диапазона 1-30 МГц оценочное значение IP3 +50 входа приемника является весьма даже приемлемым у каскада на 591 с током покоя ~60 мА и питанием 9 вольт точка пересечения в районе +39 дбм соответственно, со стороны крайне пессимистической оценки, мы можем его использовать в качестве драйвера этого АЦП с условием установки ДПФ, либо "эквалайзера", я писал в теме об этом, аттенюирует сигналы ниже 10 МГЦ на 10-12 дб, а чувствительности все равно овердофига для частот ниже 10 МГц. Либо ДПФ, либо подключаемым, для частот 14 МГц и выше, с обрезкой ФВЧ Все три варианта отлично работают А на мою веревку ситивиндом 80 в деревне, с высотой подвеса около 10 метров, таки вообще ничего не нужно, 591 можно постоянно держать включенным, и добавочных артефактов не наблюдается, даже наоборот, больше дизера => меньше глюков у АЦП ) для 9226 так вообще "хайли лайкли" Добавлено через 41 минут(ы): т.е. у каждого свои антенны, и свой собственный профиль эфира крайние случаи: 1: "треугольник" на 160 будет давить АЦП мощностью средневолновых вещалок, соответственно с "широким горлом" необходимого усиления и чувствительности на 28 просто не достичь, ввиду перегруза по входу, необходим ДПФ/"эквалайзер", кстати, это идея почерпнута из Рэда, и она отличная ) 2: спайдер/диапазонные антенны на ВЧ диапазоны, минимальное влияние энергетики ДВ/СВ/НЧ диапазонов, и максимум влияния вещалок на 13+ МГц, а тут и ДПФ не помогут особо, ну пару дБ если только -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1856] Автор : EU1SW Дата : 07.12.2021 16:22 да, еще во время внутренней дискуссии высказана мысль, что максимум вклада в "давление" вносят вещалки 6 и 7 МГц, согласен с поправкой даже если отрезать ФВЧ 1,5 МГц, все равно останется радио Румынии и радио Китая это для европейской части наблюдения, в 9 районе своя кухня ) Добавлено через 6 минут(ы): Роберт, может быть у Вас есть наблюдения по уровням сигналов? Было бы интересно знать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1857] Автор : rz3qs Дата : 07.12.2021 16:53 Уровни сейчас на K9AY. Сосед на 80 м и в районе 11 МГц идет мощность с антенны. С диполем на 80-40 м уровни в зоне этих диапазоном будут на 10-20 дБ больше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1858] Автор : EU1SW Дата : 07.12.2021 18:11 отлично, спасибо вполне согласуется с моими наблюдениями уточните пжалста в какое время суток? с диполем можем говорить о уровнях доходящих до уровня более -10 дБм, а это уже перегруз связки АЦП + УВЧ, без аттенюации с другой стороны, если у меня MDS - 128 dBm, а на 10метровом уровень шумов на дворе -118, то я могу себе позволить пару тройку дБ аттенюации и иметь возможность слушать 10метровый даже в разгар прохождения на ночных диапазонах на веревку Вот были бы бимы, то это была бы совершенно другая история ) Добавлено через 7 минут(ы): еще лирики ad6645 от ltc2208 отличается по документам лишь по SFDR и максимальной тактовой. И абсолютно не факт, что кто либо сможет различить в слепом тесте ) Добавлено через 8 минут(ы): особенно если принять за ключевой KPI не BDR, а фазовые шумы опорника ЗЫ: сейчас параллельно применяю и сравниваю 6645, 2208 и 9226 в оверсемплинг на 144, и в моих условиях разница лишь в NF, для 9226 нужно больше усиления "вещалка" на 148 МГц находится в 1,5 км, не мешает вообще, тем более что когда на Луну, то помеха находится в заднем секторе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1859] Автор : UN7RX Дата : 07.12.2021 18:14 Роберт, может быть у Вас есть наблюдения по уровням сигналов? УВЧ неотключаемый, но в моем варианте даже на НЧ особо не мешает, проще включить атт, чем делать схему обхода УВЧ, с изменениями по сопротивлению, паразитными емкостями и прочей ерундой. На 40-ке вещалки мешают при близких частотат, но у меня пока никаких ДПФ по входу не ставил. На 160 не слушал - не кого и не на что. Да, еще момент, УВЧ на GALI 74. Работает абсолютно стабильно, без возбудов и прочего. До этого был на 591, там были некоторые проблемы со стабильностью, но вполне решаемые при правильной топологии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1860] Автор : rz3qs Дата : 07.12.2021 18:19 уточните пжалста в какое время суток? Сегодня, вечер. И каждый любитель данной темы должен под рукой иметь калибровочный генератор (http://www.cqham.ru/forum/showthread.php?25343-%C8%E7%EC%E5%F0%E5%ED%E8%FF-%EF%E0%F0%E0%EC%E5%F2%F0%EE%E2-%EB%FE%E1%E8%F2%E5%EB%FC%F1%EA%EE%E9-%E0%EF%EF%E0%F0%E0%F2%F3%F0%FB&p=1758337&viewfull=1#post1758337), автономный. Много вопросов отпадает сразу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1861] Автор : EU1SW Дата : 07.12.2021 18:24 каждый любитель данной темы должен под рукой иметь калибровочный генератор, автономный. Много вопросов отпадает сразу. вот согласен 100% Да, еще момент, УВЧ на GALI 74. супер, именно в тему, нет видимой разницы, если параметры совпадают -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1862] Автор : UN7RX Дата : 07.12.2021 18:36 С гарантированно рабочих плат 3G передатчиков снял пару ADS6145. Посмотрел - очень близки к 6645, но потребляют гораздо меньше. Вот только так лень схему и ПП новые делать... :smile: Роберт, я делал макет (года 3 назад, наверное). Володя, я чтобы ветку не засорять в ЛС пару вопросов напишу, тут даже дело не в возможности подавление использовать, сколько выжать со схемотехники по максимуму, учитывая что внешний аппаратный интерфейс (andromeda) хлопотный, уж делать так делать... :smile: Если конечно наберусь таки духа на 6145-е -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1863] Автор : EU1SW Дата : 07.12.2021 18:46 Роберт, умоляю, пишите тут, это избавит от кучи вопросов читающих -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1864] Автор : UF3K Дата : 07.12.2021 19:08 Роберт, умоляю, пишите тут Кстати, да, Сергей прав. В личку я конечно тоже отвечу, но на форуме бываю редко - строительство дома все время отнимает. Это Сергей меня сегодня по скайпу зазвал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1865] Автор : UN7RX Дата : 08.12.2021 07:17 Не, я стройку на зиму отложил.:smile: Собственно ничего секретного, я хотел узнать какие изменения в какой секции (секциях) и какие изменения в коде нужно сделать для подключения второй АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1866] Автор : UF3K Дата : 08.12.2021 08:27 Нужно добавить инстанс 2-го приемника, как сделано в проекте на 9226. Собственно, это как раз больше к Сергею вопрос, т.к. он знает verilog в 100 раз лучше меня. PS: Посмотрел, у меня исходники проекта с двумя приемниками на 6645, увы не сохранились. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1867] Автор : EU1SW Дата : 08.12.2021 12:10 самый простой путь - доработать код "бюджетного..." -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1868] Автор : linoobs Дата : 12.12.2021 21:22 Сергей, понимаю много времени прошло, а нет ли возможности сделать эту прошивку для гены 61.440 под 10к плис? Или хотябы как есть 122.88/2, но упаковать в 10к плисину. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1869] Автор : EU1SW Дата : 12.12.2021 21:52 это точно можно сделать, но я точно не буду этим заниматься для вас исходники публикуются для того, что бы каждый мог сделать под себя поясню, дело не в принципах и вообще ни в чем ) а я просто даже свои задумки не успеваю делать в полном объеме, ибо или мне лень, или на работе задачи есть и нет времени, хочется отдохнуть ) что в общем то приводит к одному и тому же -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1870] Автор : rz3qs Дата : 18.12.2021 22:01 Еще два слова про автономный калибратор уровня. Есть у меня NanoVNA-H. Надеюсь, что и у многих он тоже имеется. В диапазоне 1-30 МГц выдает стабильный уровень от 2 дБм до 1.7 дБм. Добавляем нужный АТТ и все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1871] Автор : linoobs Дата : 20.12.2021 20:42 Предлагаю на обсуждение очередную плату, перед заказом хотелось бы услышать мнение специалистов, а точнее две платы размерами 100х150мм под стандартный корпус. Предусмотрена установка одной из трех ПЛИС 10к, 15к, 22к. На плате ДПФ, два АТТ на резисторах, УВЧ Gali-3+ или подоный LNA, УНЧ. Место под термостабильный генератор 96 мгц или регулируемый на 122 с делителем и место для термостабильного генератора на 10мгц для стабилизации частоты. Вторая плата усилителя мощности, можно установить два или четыри RD15/16, 6 полос ФНЧ управляются отдельно от ДПФ. На передней панели гнездо под тангенту MH-48 или подобную и 3,5мм джеки для гарнитуры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1872] Автор : ua3uhp Дата : 20.12.2021 20:47 Красиво! но это уже не модули с али! :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1873] Автор : linoobs Дата : 20.12.2021 21:15 Спасибо, а зачем переплачивать за модули? Самое дорогое тут это ПЛИС, остальное горсть деталей, которые у каждого в столе есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1874] Автор : UN7RX Дата : 20.12.2021 22:54 Симпатичный тракт, компактный и удобный. То что это не модульно, неважно, схемотехника по авторской теме, это главное. Но имейте ввиду, на 6645 нужен теплоотвод и хороший. Поэтому окружающие детали это должны предусматривать, я это учел у себя. Аудиокодек не предусмотрен? Я когда начал свой вариант рисовать, сначала тоже рассчитывал все на плату перенести, но когда попался недорогой модуль с АЦП и максимальным количеством выводов, прикинул и получалось что ничего не выиграю по размерам. Поэтому оставил две суббплаты - ПЛИС и LAN http://www.cqham.ru/forum/attachment.php?attachmentid=314215&d=1558970221&thumb=1 (http://www.cqham.ru/forum/attachment.php?attachmentid=314215&d=1558970221) http://www.cqham.ru/forum/attachment.php?attachmentid=314216&d=1558970240&thumb=1 (http://www.cqham.ru/forum/attachment.php?attachmentid=314216&d=1558970240) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1875] Автор : linoobs Дата : 20.12.2021 23:51 Кодек конечно тоже присутствует, тангента будет работать как задуманно. По тепловыделению будет видно, должно быть все норм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1876] Автор : EU1SW Дата : 21.12.2021 00:00 оставил две суббплаты - АЦП и LAN вероятно все же следует читать как "ПЛИС и LAN" ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1877] Автор : UN7RX Дата : 21.12.2021 00:07 Сорри, конечно :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1878] Автор : EU1SW Дата : 21.12.2021 19:26 промакетировал навесом и промерял банальный широкополосный однотакт на RD15, схема преобычнейшая с ООС, резистор ООС 240 Ом, выходной трансформатор 1:2 плотно свитой косой 3 провода 0,6, компенсировал только по первичке, АЧХ выхлопа на снимке, для оценки усиления: на входе -4-5 дбм, нижняя линия, возможно если не на длинных соплях, а на плате, и компенсировать трансформатор с обеих сторон то будет еще "нежнее", мощность PEP при допустимой линейности IMD3 -30 дб относительно тона: 3,5 мгц - 6 ватт 28 мгц - 4 ватта на 50 измерения не сохранились, но нечто среднее между 29 и 144, что логично ) 144 мгц - 3 ватта В CW понавалить то и поболее можно. В любом случае иц энаф для раскачки LDMOS в любом диапазоне КСВ по входу менее 2 во всем диапазоне Добавлено через 15 минут(ы): забыл, ток покоя 0,5 Ампера, вторую гармонику не смотрел -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1879] Автор : EU1SW Дата : 21.12.2021 20:33 сорян за ошибку, трансформатор по факту 1:2, двумя проводами 1:3 в полосе до 150 мгц не "взлетел", видимо линия не получилось, хотя энтузиасты могут пробовать поэтому и мощность достаточно не высокая Прошу модератора исправить предыдущий пост, приведенные параметры действительны для 1:2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1880] Автор : ua3uhp Дата : 21.12.2021 21:08 Спасибо, а зачем переплачивать за модули? Самое дорогое тут это ПЛИС, остальное горсть деталей, которые у каждого в столе есть. Так я не против! оно все равно почти так и получается ! так что все нормально, просто запаять альтеру довольно тяжело особенно если плата самодольная!а так отличное компактное решение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1881] Автор : ub1amz Дата : 21.12.2021 21:39 А для этих 3d моделей есть что-то более детальное, чем сами картинки? Да и на известном китайском производителе плат желательно вписываться в бюджетные 100x100 промакетировал навесом и промерял банальный широкополосный однотакт на RD15 Оно прямо с фильтра после DAC'a? без прослойки в виде чего-то типа RD01? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1882] Автор : EU1SW Дата : 22.12.2021 10:34 нет ну мощностные параметры измерялись конечно с прослойкой в виде rd01, иначе ж не получить необходимого усиления -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1883] Автор : EU1SW Дата : 01.01.2022 15:50 оставил две суббплаты - ПЛИС и LAN HNY! Роберт, и коллеги, с разрешения, и в сотрудничестве с очень уважаемым человеком, лет ми интродьюс ) не окончательный дизайн, но! формат мазеборд mini ITX Корпусируется в баребон корпус ПК болт-он на плате - дуал канал, коммутация, обводы, PureSignal input from external PA, DB-15 (band code, SWR bridge input) 2хLTC2208 DAC904 22к ПЛИС БГА 0-150 МГц (любительские диапазоны, except 70 MHz, но есть возможность подключения внешнего BPF ) Широкополосный высоколинейный однотакт 1,5-150 МГц RD15hvf - 5 W (3W 144 MHz) IMD3 не хуже -30 дБ на тон Команда разработчиков жаждет комментариев ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1884] Автор : RA1AGB Дата : 01.01.2022 16:13 Сергей, добрый день. С наступившим 2022-м. А коммутация ДПФ на PIN диодах, не "съест" ли такой коммутатор ДПФ, ДД LTC2208? Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1885] Автор : EU1SW Дата : 01.01.2022 16:18 Очень нравится компрессор и фазокрутилка в последних версиях PowerSDR и Thetis Павел, взаимно! но у нас коммутация не на PiN диодах Ну и само собой дуплекс и трансвертеры ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1886] Автор : RA1AGB Дата : 01.01.2022 16:38 Я о том, что не релейный коммутатор ДПФ не ухудшит ДД LTC2208? Ваша идея поместить все в формат mini-ITX очень здравая. :super:Это решает все проблемы с оформлением, корпусом и т.д. Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1887] Автор : EU1SW Дата : 01.01.2022 16:59 Я так вижу дальнейшее, что вместо шурупчиков крепления вворачиваем стойки, и доп оборудование навешивается как мезонинная плата, PA, трансвертеры, etc + ветродуй на продув, если PA в любой плоский баребон все войдет а можно узнать, на чем конечно ! ) AS169-73LF AS169-73, AS169-73LF: PHEMT GaAs IC SPDT Switch 300 kHz–2.5 GHz IP3 52 dBm typical Добавлено через 12 минут(ы): Но! прошу заметить, что предусмотрена возможность обвода БПФ, управление выведено, и любые кастомные фильтры могут быть подключены без необходимости лишних движений, разъемы предусмотрены -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1888] Автор : Livas60 Дата : 02.01.2022 11:02 Сергей, здравствуйте. Интересный вариант реализации. Если позволите, несколько вопросов. Плата сколько слоев имеет? Не вижу микросхем АЦП. Они с обратной стороны платы? Без принудительной продувки это не ухудшит условий охлаждения? LAN на каком чипе реализована? Какой кодек использован? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1889] Автор : UT4UBK Дата : 02.01.2022 12:12 Сергей, добрый день. А АЧХ фильтров с такими ключами не снимали? У них isolation 25dB по даташиту. Второй вопрос - внутри микросхем нет преобразователя для питания ключей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1890] Автор : EU1SW Дата : 02.01.2022 13:19 Не вижу микросхем АЦП. Они с обратной стороны платы? Без принудительной продувки это не ухудшит условий охлаждения? LAN на каком чипе реализована? Какой кодек использован? под радиатором LAN8720 WM8731 isolation 25dB по даташиту это для частот выше 1 ГГц хотя в принципе я ничего большего от них не жду для серьезных дел ДПФ на SMD дросселях - ну такое себе ) а если понадобится серьезно подойти к вопросу, то делается серьезная отдельная плата в отдельной коробке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1891] Автор : Livas60 Дата : 02.01.2022 13:22 Плата двухслойная? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1892] Автор : UN7RX Дата : 02.01.2022 13:23 2хLTC2208 Сергей, ну это уже другой уровень железа и параметров, не думаю что очень далеко уйдет от 6645 по приему, но сам уровень исполнения и возможности из совсем другой технической страницы. :smile: Отличная идея, в принципе у меня один типовой для данной АЦП вопрос - охлаждение. :smile: Но ее цена и доступность для очень многих будут решать, ПЛИС 22К (та же самая?) вообще восторг - они у абсолютного большинство завсегдатаев темы есть и это очень важно, софт как я понимаю базовый от этой темы? Я к тому что он апробирован и в остаточной степени "вылизан". Может перенесем в новую тему, тут же по сути новый вариант, конструктив совсем иной. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1893] Автор : EU1SW Дата : 03.01.2022 11:13 зачем вы это все здесь устраиваете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1894] Автор : UN7RX Дата : 03.01.2022 12:17 У меня тот же вопрос. Какого черта вы развели в авторской теме?:evil: Заведите отдельную тему и хоть заспорьтесь, здесь за подобный флуд буду просто банить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1895] Автор : linoobs Дата : 17.01.2022 14:24 Ни у кого не остался установщик Quartus 15.0 Web, Интеловцы с недавних пор не дают скачивать старше 13 версии, только полседнюю версию и все, а последняя видимо по другому компилирует, под 10к плис 107% или 695 labs из 645, вобщем не влазит в 10к. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1896] Автор : ra3tes Дата : 17.01.2022 17:52 Ни у кого не остался установщик Quartus 15.0 Web, Интеловцы с недавних пор не дают скачивать старше 13 версии, только полседнюю версию и все, а последняя видимо по другому компилирует, под 10к плис 107% или 695 labs из 645, вобщем не влазит в 10к. Держите... QUARTUS ll v.15 64 bit (https://cloud.mail.ru/public/ZguN/wUP2HPK1r) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1897] Автор : ua3uhp Дата : 26.02.2022 20:08 Всем привет! а подскажите? можно ли заменить ep4ce22e22c8n ,на ep4ce10e22c8n , ep4ce15, в чем разница? у меня на платке ep4ce22e22c8n!:oops: может у кого есть лишняя ep4ce22e22c8n пишите в личку всем спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1898] Автор : UN7RX Дата : 26.02.2022 23:07 ua3uhp, вам что, в даташиты лень посмотреть? :evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1899] Автор : ua3uhp Дата : 27.02.2022 07:58 Да не сразу нашлось ! посмотрел !дата шиты на ангельском не сразу въедешь! ну так все таки 10e в этой конструкции прокатит или нет? или это так было трудно написать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1900] Автор : UA4WAQ Дата : 27.02.2022 14:13 Всем привет! а подскажите? можно ли заменить ep4ce22e22c8n ,на ep4ce10e22c8n , ep4ce15, в чем разница? у меня на платке ep4ce22e22c8n!:oops: может у кого есть лишняя ep4ce22e22c8n пишите в личку всем спасибо а зачем менять то ? ep4ce22e22c8n - 22к логических элементов ep4ce10e22c8n - 10к логических элементов ep4ce15 - 15к логических элементов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1901] Автор : ua3uhp Дата : 28.02.2022 20:17 Спасибо за ответ! просто ce22 у меня по моей неопрятности сдохла, надо менять ,а цены по нынешним временам дороговато! сe10 по дешевле! и пожалуйста не ругайтесь вы по пустякам! всем спасибо! и 73! после такого скачка доллара вопрос откладывается! боюсь забуду и как зашивать то микруху!:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1902] Автор : linoobs Дата : 13.07.2022 18:24 обновлю тему, добил наконец версию "все на одной плате". Результат хороший. Прием без УВЧ не уступает буржуям. Вых. мощность 20++ ватт включаю 50мгц. Совместима с тремя разными ПЛИС. Кодек + УНЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1903] Автор : UN7RX Дата : 13.07.2022 18:40 Хорошо выглядит, одна из редких законченных конструкций. Хватает радиатора для 6645? У меня стоит довольно мощный игольчатый радиатор с большой площадью (левый верхний угол) и то, минут через 15-20 работы палец чувствует очень высокую температуру. http://www.cqham.ru/forum/asset.php?fid=341869&uid=1808&d=1558970221 Я когда то тоже хотел все на одной плате сделать, но передумал. Постоянно имею дело с профессиональным оборудованием разных вендоров, так вот там "бутерброд" очень частое дело, позволяет резко экономить место. Понятно, что это не всегда актуально, но тем не менее. Кстати, в серии данной ПЛИС есть в корпусе с 256 выводами, там портов резко прибавляется. Самому паять FBGA не очень хочется, но вот такая платка решает проблему: 376483 Зато можно комфортно поставить два ADC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1904] Автор : linoobs Дата : 13.07.2022 18:49 Спасибо. Вполне хватает радиатора. При такте в 61мгц слегка теплый, без радиатора конечно прогревается, но и платы вцелом хватает отвести тепло, деградации в работе не замечено, даже при прогреве корпуса при работе цифрой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1905] Автор : R4DM Дата : 13.07.2022 19:22 Динамик там как то не к месту смотрится. Это все же стационарный трансивер. Но это чисто мое мнение. А так чудесный аппарат получился, компактный. Подождем когда в продаже появится . Полагаю что спрос будет при разумных ценах . Хотя цена комплектующих совсем не радует ( взял про запас RD16 по 800 руб! ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1906] Автор : ra3tes Дата : 14.07.2022 04:30 linoobs, НА первом фото, правый нижний угол, 4 МС это что ? 376493 Конечно, это уже не очень из модулей с Али (кроме LAN). Может так и к лучшему. В своей недоделке, до сих пор, пытаюсь разделить цифру и аналог.... Получается не очень. :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1907] Автор : RA4UIR Дата : 14.07.2022 10:36 Я совсем радиатор не использую на АЦП, уже больше 2х лет. Пирометром измерял, прогревается чип до 58 градусов в работе. Да, палец уже не терпит. Максимальная температура по даташиту +85 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1908] Автор : RV3DLX Дата : 14.07.2022 10:58 Я совсем радиатор не использую на АЦП, уже больше 2х лет Радиатор, это так, для самоуспокоения. По большому счету, он не нужен, да и фирма изготовитель не дает рекомендаций по установке радиатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1909] Автор : EU1SW Дата : 14.07.2022 12:36 есть еще один неочевидный нюанс последствия применения радиатора, это наводки из цифрового домена в аналоговый при разработке кристалла этот аспект учитывается и минимизируется, но добавление радиатора, как дополнительная емкость, может вносить свой вклад в увеличение шумовой полки, появление спуров, ухудшение IMD... из общих рассуждений то и заземлять его следует, и как можно ближе и короче -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1910] Автор : linoobs Дата : 14.07.2022 13:00 НА первом фото, правый нижний угол, 4 МС это что ? это ключи типа FSA3157 или совместимые. На них два резистивных АТТ -10 и -20 дБ кто сможет ужать прошивку под 61.440мгц для 10к ПЛИС, отправлю набор плат безвозмездно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1911] Автор : EU1SW Дата : 15.07.2022 12:59 раньше вы хотели, что бы я сделал это "безвоздмездно", сейчас предлагаете неопределенному кругу участников за вознаграждение ) вы уж определитесь ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1912] Автор : linoobs Дата : 15.07.2022 16:47 меня и 22к устраивает, прошу не для себя, а для всех и кто в итоге сделает мне все равно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1913] Автор : UN7RX Дата : 15.07.2022 17:09 А зачем переходить на 10к, в чем суть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1914] Автор : EU1SW Дата : 15.07.2022 17:19 да в том то и дело, что сделать желаемое не проблема, конкретика именно в том, что бы под актуальную распиновку и проверить на месте. А без наличия конкретного железа, и возможности оценить результат на реальных сигналах программить бессмысленно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1915] Автор : linoobs Дата : 15.07.2022 18:00 А зачем переходить на 10к, в чем суть? цена 10к порядка 35usd, а 22к около 100usd. да в том то и дело, что сделать желаемое не проблема, конкретика именно в том, что бы под актуальную распиновку и проверить на месте. А без наличия конкретного железа, и возможности оценить результат на реальных сигналах программить бессмысленно. как я понял, нужно урезать кол-бит данных и пересчитать коэффициенты с меньшим кол-во тапов? В Матлабе есть какой-то скрипт для этого или нужно руками править? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1916] Автор : EU1SW Дата : 15.07.2022 18:18 в заголовке есть исходник для 10к плис для опорной 96Мгц, не знаю по каким причинам вам трудно пересчитать коэффициенты поскольку проблема вами обьявлена, я готов ее решить на условии предоставления железа -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1917] Автор : UN7RX Дата : 15.07.2022 19:35 Ничего себе цены. Я на Тао 22 за $20 брал, все норм... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1918] Автор : art74 Дата : 27.12.2022 10:58 Добрый день! Подскажите такая https://aliexpress.ru/item/1005004827855274.html?spm=a2g2w.cart.0.0.72d14aa6LMZteM&sku_id=12000030637326814&_ga=2.96732959.1429638106.1672025368-1599564969.1670829240 и такая https://aliexpress.ru/item/32949281189.html?spm=a2g2w.cart.0.0.495d4aa61z1WOu&sku_id=66243315594&_ga=2.94069149.1429638106.1672025368-1599564969.1670829240 платы подойдут для проекта? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1919] Автор : art74 Дата : 28.12.2022 16:02 Я тут почитал до 50 страницы и пока только понял, что за "метлой" в теме нужно сильно следить:ржач::ржач::ржач: Если серьезно то более менее всё понятно, вопрос выше задал потому, как не знаю влияют лишние компоненты на демоплате, так как такой же уже не купить... Ацп нашел только 12 битный, а вот 6645 как у автора не попадается на али в виде модуля. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1920] Автор : UN7RX Дата : 28.12.2022 16:29 Эти платы непригодны, если конечно вы не собираетесь радикально менять исходника Сергея. Где то в теме, кто то из ребят разрабатывал ПП как единую плату, там используется 6645 как чип, а в таком виде его полно и на ибее и на али. Да и самому очень несложно такую ПП сделать. По 12бит в его же тему http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7 (http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1921] Автор : art74 Дата : 28.12.2022 17:10 Вот вроде АЦП схема тут (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1438766&viewfull=1#post1438766) можно самому собрать По плис плате тут вроде показано 10 15 или 22к: 382869 Если ориентироваться на пины то вот такая плата подходит: https://aliexpress.ru/item/1005004593967571.html?sku_id=12000029762553721&spm=a2g2w.productlist.search_results.4.31944aa6feOvqa -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1922] Автор : RV3DLX Дата : 28.12.2022 18:03 а вот 6645 как у автора Когда то я развел плату под 6645 и ее применил в этом проекте. Многие участники этого форума ее повторили, мои две платы до сих пор работают у моих друзей. Платы легко делаются дома с помощью технологии ЛУТ. Файл лежит в сообщении 1357 этого форума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1923] Автор : art74 Дата : 28.12.2022 19:14 С демо платами совсем беда на али... Если вот тут взять плис https://aliexpress.ru/item/1005004211480115.html?sku_id=12000028394740014&spm=a2g2w.productlist.search_results.1.25e74aa6P8UwZt и посадить её сюда https://aliexpress.ru/item/32423138185.html?gatewayAdapt=kor2rus&sku_id=54898278393 будет работать? От самой демо платы нужно что-то или можно так? Добавлено через 8 минут(ы): RV3DLX, ad6645asvz-105 под 96 мГц ТСХО? И там еще встал BFG591 есть ли полная схема платы? Еще вопрос по пайке TQFP144 там пятак под микросхемой, чтобы всяким нижним подогревом не пользоваться может сквозным на плате сделать отверстием и с обратной стороны подпаяться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1924] Автор : RV3DLX Дата : 28.12.2022 21:27 На плате стоит УВЧ, перед ним и после него ФНЧ. Так же на плате генератор и соответствующие стабилизаторы. Есть фото плат в сообщении 1380, по ним легко восстановить схему, но я поищу ее в своих архивах. Да схема УВЧ была на этом форуме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1925] Автор : art74 Дата : 29.12.2022 07:59 Для себя чтобы понять еще раз спрошу, если буду повторять по этой схеме (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1935499&viewfull=1#post1935499) правильно понимаю, что вся макетная плата не нужна? Достаточно взять просто плис к примеру 10к и распаять пины как указано на схеме? Набортные 50мГц не нужны? Чтобы было проще может все таки эта плата (https://aliexpress.ru/item/1005004827855274.html?spm=a2g2w.cart.0.0.78f44aa6o5eF1c&sku_id=12000030637326814&_ga=2.29568191.1429638106.1672025368-1599564969.1670829240) подойдет? Лишнее просто сдуть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1926] Автор : olegn77 Дата : 29.12.2022 11:47 Чисто моё мнение, не стоит городить огород с этой платой, паять отдельно АЦП, ЦАП, делать генератор. Тем более что там ФПГА всего лишь на 10к ячеек, будет ограниченный функционал. Не увидел на алиэкспресс схему этой платы. Думаю, лучше купить за двадцатку на том же алиэкспрессе плату Редпитайя там всё уже есть и всё работает, два АЦП на борту, останется только ДПФ внешние прикрутить, блок питания, комутацию сделать. Тут в соседней теме всё описано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1927] Автор : art74 Дата : 29.12.2022 12:16 Да видел trx duo но хочется именно паять/прошивать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1928] Автор : UA4HQS Дата : 29.12.2022 12:39 хочется именно паять/прошивать Чуть выше в теме Антон linoobs, у него в подписи ссылка на канал в телеге. Это та же конструкция, но на рассыпухе, плюс усилок с LPF. И не надо демоборды искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1929] Автор : art74 Дата : 29.12.2022 13:01 Вот бы он сюда еще положил)) Ну и так хорошо что есть посмотрим -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1930] Автор : R4DM Дата : 29.12.2022 13:38 382906Вот фото плат этого трансивера. По ошибке влезло еще фото. Не могу удалить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1931] Автор : art74 Дата : 29.12.2022 13:46 Да посмотрел, можно для информации кое что взять, а именно как прицепить к плис ацп и цап, у меня есть уже HIQSDR и еще подобная плата мне не нужна. Привлекает модульность конструкции этой темы допустим можно что-то изменить в ацп и т.д. а на готовой плате не переделаешь. Короче мне нужно трансивер всегда на боку, только на плис)). Пока думаю спаять свою плату ацп, цап вроде еще есть на али и лан тоже есть, потом купить переходник на 144 ноги плис и ее саму отдельно. Теперь мне осталось понять как назначить ноги у плис 10к, что было вот так (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1935499&viewfull=1#post1935499). И пойдут ли прошивки из этой темы ведь они делались под борд на котором есть 50мГц и др. элементы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1932] Автор : EU1SW Дата : 29.12.2022 15:05 в любом случае прошивки надо будет компилировать из исходников, там и тип кристалла надо будет изменить, и пины назначить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1933] Автор : UN7RX Дата : 29.12.2022 15:21 Все, прекращаем тащить в тему что попало! :evil: Речь исключительно о заявленной конструкции! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1934] Автор : art74 Дата : 29.12.2022 18:02 Тогда подскажите кто в теме, какая связь реальные ноги Altera Cyclone IV EP4CE10 - пины на борде? В красном квадрате на схеме номера пинов борды, а к каким ногам плис они подключены? Указано, что можно установить 10к, 15к и 22к я так понимаю допустим сменили 10к на 22к нужно в квартусе распиновку поменять? Если мастырить борд самому какие ноги EP4CE10 взять например под D0-D13, DRY и т.д.? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1935] Автор : EU1SW Дата : 29.12.2022 18:20 В красном квадрате на схеме номера пинов борды, а к каким ногам плис они подключены на схеме указаны реальные ноги для 144 пинового корпуса, на тех старых демобордах они так и обозначены на плате. А распиновки этих новых плат в BGA я не знаю, спросите у производителя -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1936] Автор : art74 Дата : 29.12.2022 18:36 Вот это я и хотел для себя понять значит могу покупать EP4CE10E22C8N в корпусе TQFP144 потом паять ее на переходник 144 ног и подключать согласно схеме свой самодельный борд? То что у меня не будет 50мГц как на старых бордах значения не имеет, так как не используется всё равно просто у плис ноги пустые будут? Разъем для прошивки я могу на эти ноги припаивать: 382917 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1937] Автор : EU1SW Дата : 29.12.2022 18:52 вы тему изучите, не лишнее будет ) да, все верно, можете покупать EP4CE10E22C8N, расставлять пины согласно схемы, компилировать и шить 50 МГц набортных нигде не используется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1938] Автор : art74 Дата : 29.12.2022 19:14 Я изучал и помню, что вы уже писали про 50 МГц вроде даже что и от них можно запустить но не надо)) Просто всё, а я боялся потому что еще не умею и с толку сбивало, то что некоторые участники таблицы с пинами делали потом в квартусе меняли тут я и решил выяснять откуда у неё ноги растут. Вернусь к началу и буду потихоньку перечитывать пока детальки едут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1939] Автор : EU1SW Дата : 29.12.2022 19:50 ну я так глянул по ссылке, очень напоминает по стилю ту плату, что познее использовали в теме "двухканального..." проблем думаю быть не должно, исходник для 10к брать с другой стороны, 96 МГц опорник как бы уже не особо актуально, проще взять 61,44 МГц, и AD6644-65 (аналог по пинам 6645, но до 65 МГц), на Али сейчас не дорого стоит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1940] Автор : art74 Дата : 30.12.2022 08:29 Подскажите что не так делаю: 382934 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1941] Автор : Genadi Zawidowski Дата : 30.12.2022 10:46 Подскажите что не так делаю: Похоже в системе не стоит настройка "использовать русский язык для не-UNICODE программ". А возможно и не поможет... Перенесите архив в место где нет русских букв в пути... И распаковывайте в каталог с аналогичными ограничениями -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1942] Автор : ozforester Дата : 30.12.2022 11:02 А в винде разве можно со слэшами путь к файлу? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1943] Автор : Genadi Zawidowski Дата : 30.12.2022 11:08 А в винде разве можно со слэшами путь к файлу? В программах на Java можно - средой исполнения обеспечивается имитция unix-style путей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1944] Автор : art74 Дата : 30.12.2022 13:47 Квартус тут скачал по ссылке, может есть у кого то библиотеки для Cyclone IV? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1945] Автор : art74 Дата : 30.12.2022 15:36 Скачал библиотеку тут: https://vneetop.wordpress.com/2016/10/19/installing-quartus-ii-15-0/ Но пока так: 382953 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1946] Автор : ra3tes Дата : 30.12.2022 16:10 Вот тут (https://cloud.mail.ru/public/ZguN/wUP2HPK1r) гляньте, по моему выкладывал вместе с поддержкой Циклон 4. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1947] Автор : art74 Дата : 30.12.2022 16:19 Так я его и поставил но это вроде только сам квартус без библиотек -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1948] Автор : art74 Дата : 30.12.2022 21:43 Для тех кто в наше время захочет на циклоне собрать себе трансивер... версии квартуса и микросхемы брать тут: https://disk.yandex.ru/d/_EhuBxmR4mrrlA 382961 382962 На какой ноге будет cwkey если оставлю без изменений? Этот вывод замыкать на общий, чтобы тон в эфир пошел? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1949] Автор : ra3tes Дата : 31.12.2022 10:20 На какой ноге будет cwkey если оставлю без изменений? Этот вывод замыкать на общий, чтобы тон в эфир пошел? ДА на землю. CWKEY, На какой ноге сделаете, при компиляции, на той и будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1950] Автор : RC3ZQ Дата : 31.12.2022 10:24 Добавлю свои 0.5копейки. Оптопары на cw-key, PTT так же не помешали бы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1951] Автор : laguvit Дата : 02.01.2023 15:29 EU1SW, Здравствуйте! Подскажите , что в проекте надо менять и где под AD6644-65 и кварц 61,44 МГц . Hermes_Lite_22k_96_M Hz.zip . ---------------------------------------------- 73! Виталий UN7LDM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1952] Автор : art74 Дата : 03.01.2023 20:52 На гитхабе трансивер из модулей https://github.com/qrp73/ali_trx -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1953] Автор : UN7RX Дата : 03.01.2023 21:57 Вы прежде чем сюда ссылки бросать, прочтите там комментарий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1954] Автор : EU1SW Дата : 04.01.2023 00:47 На гитхабе трансивер из модулей и что вы хотели этим сказать? Добавлено через 53 минут(ы): Подскажите , что в проекте надо менять и где под AD6644-65 и кварц 61,44 МГц эта информация не мануал, и не руководство к действию сможете воспользоваться - пользуйтесь на здоровье -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1955] Автор : art74 Дата : 04.01.2023 07:05 EU1SW, там очень удобно и понятно на одной странице, также способы согласования ацп. Если уже было извините но я не встречал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1956] Автор : art74 Дата : 25.02.2023 10:26 Всем доброго дня! Собрал на 10к плате, тетис и сдр консоль видят плату как гермес но не работает прием даже спектра нет. Прошивку поставил Hermes_Lite_10k_96_M Hz_full.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=287137&d=1523883340) всё подключал согласно п-планеру этого проекта, dry подал с ацп напрямую на 24 ногу не заработало, потом отпаял резистор от 50мгц кристалла и дополнительно 24 припаял к 23 ноль реакции. На 24 ноге есть 1,7в, плата ацп и гены 96 потребляет по 5в 0,3А, гена работает: 385435 тетис видит плату: 385436 пины проверил верно для указанной мной выше прошивки, ток потребления ацп и tcxo вроде как и надо 0,3А может дело в трансформаторах? Брал тут (https://aliexpress.ru/item/1005004243547215.html?af=2926_Y6Ljx9&utm_campaign=2926_Y6Ljx9&aff_platform=api-new-link-generate&utm_medium=cpa&sku_id=12000028503695126&cn=2ururqmki8ebf52vp6rdrw8wgokztb9z&dp=2ururqmki8ebf52vp6rdrw8wgokztb9z&aff_fcid=4d6742945b104b0b9c8920d50f25e2f8-1677309776874-00097-_DCUhA1T&cv=2&aff_fsk=_DCUhA1T&click_id=9mTZ1Y3vayZrk2O&sk=_DCUhA1T&aff_trace_key=4d6742945b104b0b9c8920d50f25e2f8-1677309776874-00097-_DCUhA1T&terminal_id=8e08b3776db54336a704378278fe19d2&utm_content=2&utm_source=aerkol) 385437 нет приема и всё -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1957] Автор : UA4HQS Дата : 25.02.2023 12:50 тетис видит плату: Не видит. MAC не отображается. Тетис пытается найти железо по 2 протоколу, хотя стоит автодетект. Попробуйте Anan 10e поставить в Radio Model -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1958] Автор : art74 Дата : 25.02.2023 16:48 385448 385449 385450 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1959] Автор : ua3rmb Дата : 25.02.2023 16:55 тетис и сдр консоль видят плату Ничего они у вас не видят. Нажмите на "вкл" - что происходит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1960] Автор : art74 Дата : 25.02.2023 17:01 ua3rmb, лан три раза мигнул и всё 385451 ip тетис ведь сам прописал как то? и в сдр консоль если питание с платы убрать то ругается а когда питание есть подключается как гермес лайт значит всё таки как то не так идет подключение? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1961] Автор : ua3rmb Дата : 25.02.2023 17:57 ip в Тетис и консоли совпадают? Странно у вас как-то всё. Консоль сама определяет ip, но не Тетис. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1962] Автор : EW3MM Дата : 25.02.2023 17:57 Позвольте поинтересоваться, если это позволительно в авторской теме, может кто продаст лишнюю плату "все в одном" для данного трансивера ?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1963] Автор : art74 Дата : 25.02.2023 20:26 ua3rmb, еще более странно пропаял весь лан сейчас и консоль его больше не видит но мигать стал явно веселее совпадают или нет теперь не посмотреть ожила консоль совпадают -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1964] Автор : R4DM Дата : 25.02.2023 20:41 EW3MM, Обратитесь к R7KBI Антону. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1965] Автор : ua3rmb Дата : 26.02.2023 12:16 Под консолью запускается или нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1966] Автор : art74 Дата : 26.02.2023 18:29 ua3rmb, нет но консоль видит IP и вроде мас позже сделаю скрин, а в Тетис я адрес сам вписал походу подсмотрев его в консоле, ещё сменил прошивку перетыкал и проверил все пины спектра нет, решил что это глючная плата лан попалась и начал отрубать по одному проводку от лан и консоль тут же его теряет значит соединение какое-то всё таки есть? Добавлено через 59 минут(ы): Вот консоль там сериал это наверное и есть МАС? 385502 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1967] Автор : EU1SW Дата : 26.02.2023 20:38 не теребите больше LAN структуры обслуживающие Ethernet тактируются от 50 МГц на модуле LAN, и Ethernet работает, что очевидно просто буферы со стороны RX path пусты, передавать нечего разбирайтесь с основным тактированием, питанием АЦП, питанием по плате FPGA и проч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1968] Автор : art74 Дата : 26.02.2023 21:17 EU1SW, я убрал 50 мгц на плате и ноги 23 24 соединил подал dry напряжение по постоянке там 1,7в, питание ацп 5в потребление (от него же берется 3,3в для тсхо) 0,3А не скачет 96 мгц сигнал есть синусоида на лан кристалл 50мгц тоже работает подавал сигнал на ацп на выходе на некторых выводах уровень поднимался с 0,1 до 1,6в фпга запитана от усб пк но вернемся к МАС, чтобы его увидела программа ацп ведь вообще можно отцепить но тогда нужно вернуть набортные 50мгц? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1969] Автор : EU1SW Дата : 26.02.2023 21:20 это я все прочитал в предыдущих постах, мне можно два раза не повторять ) если не работает, значит есть проблемы, возможно с амплитудой, возможно с питанием, возможно на плате АЦП, возможно на плис, мне не известно, приборы у вас -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1970] Автор : art74 Дата : 26.02.2023 21:29 Питания и амплитуды я вроде исключил, МАС не виделся и с набортными 50мгц, остались провода контакты наверное? И консоль может видеть гермес даже если RX path пусты и ничего в нее не передается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1971] Автор : EU1SW Дата : 26.02.2023 21:37 вроде исключил не вижу... на выходе тактирования АЦП есть сигнал 96 МГц логического уровня и он доходит до ПЛИС? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1972] Автор : UN7RX Дата : 26.02.2023 22:04 Сергей же не может просто по словам определить проблему, представьте сколько может быть причин. Исходите из того, что у всех кто это собирал, все заработало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1973] Автор : art74 Дата : 26.02.2023 22:08 EU1SW, я думал что это сигнал dry? И мерил 1,7в на 24 ноге UN7RX, вот вроде и пытаюсь побольше информации дать, Сергей даже обратил внимание на это что повторять не надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1974] Автор : EU1SW Дата : 27.02.2023 13:57 Роберт, добрый день! Сергей же не может просто по словам определить проблему, Но Сергей может отправить читать первую строчку первого поста В этой теме предлагается конструкция SDR трансивера из готовых модулей на основе исходных кодов OpenHPSDR & Hermes-Lite, протокол обмена совместим с Hermes, управляющая программа PowerSDR mRX PS.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1975] Автор : art74 Дата : 27.02.2023 19:20 Добрый вечер! Итак дела такие))) Такт 96 есть, напряжения в норме, припаял лан и ацп напрямую, пины по п-планеру правильно. Прием пошел но только в консоле, а псдр и тетис мас не видят. Но прием каша какая то ничего не разобрать. 385546 И работает эта поделка только когда отключаюсь от интернета... 385547 в сетях я ничего не понимаю вот скрин сделал не знаю может в них дело 385548 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1976] Автор : vadim_d Дата : 27.02.2023 21:04 Такт 96 естьА 50 МГц такт сетевого интерфейса? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1977] Автор : art74 Дата : 27.02.2023 22:07 vadim_d, да лан 50 тоже есть кристалл 50 на фпга повторюсь отключен и dry теперь идет только на 24 ногу, почему прием неразборчивый и только в консоли не могу понять Добавлено через 10 минут(ы): EU1SW, я так понял мне нужно понять протокол обмена совместим с Hermes где хоть почитать про этот протокол? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1978] Автор : art74 Дата : 27.02.2023 23:43 385566 Подсказали в телеге как сеть настроить)) Работает ваше устройство, Сергей! Помех правда тащит со всего дома но с этим разберусь))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1979] Автор : RV3DLX Дата : 28.02.2023 11:32 Помех правда тащит со всего дома Это от этого трансивера не зависит. Я советую в Сетапе поставить радио ANAN 10. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1980] Автор : art74 Дата : 28.02.2023 18:27 RV3DLX, да как вам сказать рядом одноплатник лежит на эту же антенну на него наводок нет звуковая в экране сразу под платой. Понятно, что не трансивер виноват, а окружение и способы как его с этим окружением подружить, сегодня некоторые меры уже дали результат. ANAN 10 поставил пониже стал спектр но пока сильных отличий не заметил 385605 Как правильно расположить проводок DRY его перемещение туда сюда влияет сильно, выше читал что экран не особо помогает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1981] Автор : RA4UKL Дата : 28.02.2023 20:39 Вы платы на чем-то зафиксируйте и приведите провода DRY и D0-D13 к минимальным равным длинам (страница 60-61 этой темы). Прочитайте посты UF3K о применении ферритовых защелок на цифровой шине, почему не надо этого делать. Это касаемо Вашего вопроса о DRY. Откалибруйте приемник, проверьте уровень шума в полосе 500 Гц. Эти цифры для тракта с УВЧ/без УВЧ здесь приводились неоднократно. Потом уже за наводки беритесь. Кстати, 11-12 страница этой темы. Я советовал в чате, повторюсь - не торопитесь, помимо этой темы изучите тему про SDR ПЧ. Отпадет масса вопросов. Удачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1982] Автор : art74 Дата : 28.02.2023 21:21 Зафиксировал стало намного лучше но вещалки просто ломятся на 40м надо что-то на вход 385608 Прошился в этот раз Hermes_Lite_96_RXTX_ CW_sidetone_10k.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=269999&d=1504452547) Попробовал колонки активные на выход плис через дроссели и кондеры не соединяется с программой, попробовал наушники тоже нет, выдернул разъем вернулся на звук с компа и тетис тут же увидел трансивер. Что-то с этой прошивкой? А прием на эту штуку просто песня мне очень нравится:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1983] Автор : RV3DLX Дата : 01.03.2023 07:46 А прием на эту штуку просто песня мне очень нравится А Вы говорили пренебрежительно: "поделка". Поверьте, когда Вы сделаете все хорошо, с УВЧ, аттенюаторами и прочим, будет замечательный трансивер, мало уступающий другим подобным фирменным трансиверам. Успехов! Юрий. P.S. Вот только что заметил на фото, что уже и фирменную плату АЦП по моей разводке сделали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1984] Автор : art74 Дата : 01.03.2023 08:18 RV3DLX, так в моих руках и есть поделка опыта пока не хватает))) Плата АЦП понравилась первый раз я паял QFP, хороший человек помог с платой прислал и много подсказывал что да как. Сейчас шума почти нет чуть позже дойду до измерений но на слух он очень тихий, один только момент вчера на 40м слушал 3B7M cw его сигнал понятно слабый но приемник позволяет его слушать без напряжения, а вот пайлап выше по частоте какой-то неприятный по ощущениям каждую станцию выделять приходится они друг на друга накладываются и даже не знаю как объяснить звук что ли исскуственный какой-то получается. Надо мне как то все же сделать звук с плис, вроде по отзывам звук должен быть хороший но почему то у меня так отваливается соединение. RI41POL сейчас работает на 20м принимаю без УВЧ так что нормальный приемник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1985] Автор : ra3tes Дата : 01.03.2023 09:32 Надо мне как то все же сделать звук с плис, вроде по отзывам звук должен быть хороший но почему то у меня так отваливается соединение. Думаю, Вам это ни чего не даст, в плане приема. Обработка все равно в компьютере идет, а затем "звук" возвращается обратно. "Отваливается соединение", это как ? Соединение с комп -трансивер пропадает при подключении наушников. Посмотрите в пинпланере по внимательнее куда выход звука сделан. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1986] Автор : art74 Дата : 01.03.2023 10:05 ra3tes, ну там всего два вывода по п-планеру всё верно и даже при включении платы в наушниках что-то шуршит, а кнопку вкл нажимаю на тетисе и нет соединения МАС тоже нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1987] Автор : RC3ZQ Дата : 01.03.2023 10:40 art74, А RC цепочки с пинов FPGA на наушники есть ? RA4UKL, Прочитайте посты UF3K о применении ферритовых защелок на цифровой шине, почему не надо этого делать. Это касаемо Вашего вопроса о DRY. Это в этой теме? Хотелось бы тоже почитать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1988] Автор : art74 Дата : 01.03.2023 11:11 RC3ZQ, вот так у меня: 385629 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1989] Автор : art74 Дата : 01.03.2023 17:48 Вообщем разобрался со звуком через плис надо было VAC1 отключить сохранить и вновь войти никого не хотел вводить в заблуждение всё нормально с прошивкой, а вот насчет звука разница есть и хочу сказать в лучшую сторону чем через встроенную звуковую исчез тот самый "искусственный" звук и плис совсем не шумит, я не скажу что пк прям шумел но был такой легкий фон, попробуйте кто пользуется встроенной звуковой и почувствуйте разницу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1990] Автор : RC3ZQ Дата : 01.03.2023 17:53 art74, В проекте Сергей заложил поддержку модуля аудио кодека. На борту будет и микрофон и линейный выход с минимальными задержками что для телеграфистов да и не только очень хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1991] Автор : RA4UKL Дата : 01.03.2023 20:05 RC3ZQ, да, разумеется всё тут. Посты #531 - #534 и #643 - #647. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1992] Автор : EU1SW Дата : 02.03.2023 10:15 А прием на эту штуку просто песня мне очень нравится а если запаять ФНЧ по входу АЦП, то вообще симфония может приключиться ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1993] Автор : RV3DLX Дата : 02.03.2023 11:35 а если запаять ФНЧ по входу АЦП Да, там на плате есть места под две штуки ФНЧ (один на входе, другой на выходе УВЧ), и под сам УВЧ есть. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1994] Автор : EU1SW Дата : 02.03.2023 11:37 Да, Юрий, все так, но насколько я увидел на фото, они не запаяны поэтому и шумит "поделка" ) ибо на АЦП летит все вплоть до УКВ ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1995] Автор : RV3DLX Дата : 02.03.2023 11:40 Даже если не запаивать УВЧ, то ФНЧ перед АЦП запаять нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1996] Автор : art74 Дата : 02.03.2023 11:48 Там вроде увч не имеет обхода вот и не стал пока запаивать. Есть у меня фильтры от hiqsdr думаю как то их приладить сообразить пока не могу как их переключать из программы. Еще вопрос встроенный телеграфный ключ только под 22к и какая это прошивка? Мне не лень просто не нахожу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1997] Автор : RC3ZQ Дата : 02.03.2023 14:05 art74, УВЧ включен это 0db переключатель АТТ в PSDR mrx. -20 это выключен УВЧ. Остальные комбинации с УВЧ+АТТ(одно или два звена) или АТТтоже (одно или два звена) . Так что по умолчанию всё правильно, обход не нужен. Фнч конечно же очень нужно, да и полосовики тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1998] Автор : RV3DLX Дата : 02.03.2023 14:36 Я пробовал отключаемый УВЧ. Практика показала, что тот УВЧ что был предложен на этом форуме (по моему автором этой разработки, Сергеем) не ухудшает свойств приемного тракта. Сделал 2 звена аттенюаторов. Никогда на практике не было необходимости включать ослабление 30дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1999] Автор : art74 Дата : 02.03.2023 17:04 5 порядка фнч на входе перед трансформатором пока без увч и полка шума "присела" на 10дб по программной шкале 385686 Намотал нормальные индуктивности я так понимаю там какое то подобие добротности должно быть из смд))) над радиатором не ржать:ржач: в наличии была только листовая медь 385687 40м ssb 385688 теперь он вообще бесшумный стал, сейчас закину увч послушаем -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2000] Автор : art74 Дата : 02.03.2023 21:30 УВЧ 591 добавил но по его входу пока фнч делать не стал есть только на выходе. Шум не добавился лишь добавился какой-то окрас как будто костер горит, нет это не мешает действительно УВЧ нет смысла отключать, пока запитан от АЦП 5в примерно 30 мА ест. Спектр еще вниз сместился на всех диапазонах не пойму от чего кто в теме растолкуйте пожалуйста: 385694 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2001] Автор : RV3DLX Дата : 03.03.2023 10:42 Если Вы после подключения усилителя не делали калибровку усиления, то Ваша картинка показывает, что усилитель не усиливает. а ослабляет сигналы. Если усилитель нормально работает, то после калибровки шумовая полка действительно должна уменьшиться. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2002] Автор : RA4UKL Дата : 03.03.2023 11:05 Подключение УВЧ приводит к увеличению чувствительности, что эквивалентно понижению уровня шума. Полагаю, следующий вопрос будет по S-метру, и его показаниям в зависимости от полосы. Вообще, поиск по фразе 'шумовая полка' даст много полезного. Читайте. :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2003] Автор : art74 Дата : 03.03.2023 13:46 Да не там атт получился 10дб примерно, а не УВЧ)), так как в корпусе 591 судя по отзывам где я купил сдвоенный диод попадается часто вместо транзистора причем в одной ленте, уровень сигнала падает ничего он не усиливает надо промерить все транзисторы может попадется нормальный, а тот что впаял шляпа баярского а не 591. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2004] Автор : EU1SW Дата : 03.03.2023 20:09 это была первая мысль, по прочтению усиливает ли это? Добавлено через 18 минут(ы): ладно, давайте обсуждать не работу УВЧ и как его починить, и не радиокружок отработано все в прошивках, что могло быть отработано, уже несколько лет назад, может и не все, но все что было на тот момент - в паблике, и я этой темой занимаюсь только для конкретных предложений, " это нужно всем, сделайте пожалуйста!" - извините, нет времени собираем = работает если не работает, найдите свою ошибку сами, в теме более 2000 постов, за 5 лет наверняка уже кто то был ранее вас 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2005] Автор : art74 Дата : 13.03.2023 11:29 Всем добрый день! Собрал я все платы и проверил на передачу манипуляция через сом порт звук через альтеру задержек нет. Очень доволен, что потратил время и смог разобраться с данной конструкцией, автору большое спасибо особенно за терпение! После проведения калибровки уровень полки -134дб на всех диапазонах я так понимаю всё правильно получилось (уровень при отключенной антенне и на входе транса ацп не резистор 50 ом, а фнч 5 порядка увч еще нет), генератор у меня рожон и как было описано выше этот девайс просто смд гена поменьше с умножителем. Далее вопросы к Сергею если время есть на меня))) или к тем кто уже разобрался и сможет объяснить любыми понятными словами. Я сразу говорю, что на сильно умного человека не претендую и если скажете, что это уже было читай в теме пойду читать еще раз может пропустил. 1 Полосовики снижают ДД потому, что имеют потери в полосе пропускания особенно сделанные на индуктивностях с низкой добротностью и это снижает дизеринг зашумление АЦП 6645, которому надо побольше уровня, чтобы реализовать свои параметры? После прослушивания нескольких тестов по выходным только с фнч 30мгц на входе сделал вывод, что нет сигнала который не может прочитать АЦП 6645 он просто не "забивается", но вылез один нюанс в прошедшем чемпионате SSB услышал общий вызов многих станций в телеграфном участке 15 метрового диапазона. Как решать? Полосовики наверное ничего не дадут если это гармоники, ФНЧ и октавные тоже, добавить УВЧ и включить АТТ чтобы снизить эти сигналы до нечитаемого уровня, а полезный сигнал как понимаю "прокаченный" уровнем АЦП децимирует и получится услышать? За термины не ругайте если не к месту вставил сам еще не разобрался мне 10 раз надо перечитать и столько же спросить пока пойму. 2 Генератор рожон от чего такой унылый от умножителя или от кристалла или от всего вместе? Вскрыть поставить фнч на частоту кристалла думал до умножителя бред? Заказал еще смд 96мгц, так как вроде на тестах Сергея он "победил" рожон но ведь и ежу понятно, что приехать может кристалл с генератором случайных чисел))) Можно ли как-то почистить сигнал до АЦП? С БП и LC фильтрацией напряжений у меня всё норм и даже лучше я сам так не ем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2006] Автор : RK4CI Дата : 13.03.2023 12:24 уровень полки -134дб на всех диапазонах При какой полосе пропускания, и это именно уровень шумовой полки на панораме, или показания S метра. А то у меня с АЦП LTC 2208, при полосе пропускания 500 Гц, без УВЧ, шумовая полка по показаниям S метра получилась на уровне -117 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2007] Автор : art74 Дата : 13.03.2023 12:39 RK4CI, это полка на панораме, фильтр 500Гц увч нет без антенны и правильно ли я сделал что не нагрузил транс АЦП 50ом резистором, так как подумал что фнч тоже 50ом и его полностью заменит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2008] Автор : art74 Дата : 13.03.2023 16:03 RK4CI, вот так сейчас но я думаю можно сделать лучше если очистить такт для 6645: 386232 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2009] Автор : RC3ZQ Дата : 13.03.2023 16:38 RK4CI, правильно Да. Но УВЧ нужно , и все измерения в собраном трансивере в корпусе. Вы хотите я так понимаю после К. Г Rojon полосовик поставить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2010] Автор : RV3DLX Дата : 13.03.2023 16:59 Вы хотите я так понимаю после К. Г Rojon полосовик поставить? Это совершенно бесполезно, но мне кажется он не это хотел сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2011] Автор : art74 Дата : 13.03.2023 17:12 RC3ZQ, вот я выше и написал для чего нужно? Чтобы загнать ацп в рабочий режим по диз и реализовать ДД по полной или просто чутья надо? Мне кажется его тут достаточно, вот такие например на вч всегда с нормальным уровнем нет желания что-то усилить: 386239 Корпус уже планируется проведу измерения еще раз, придут 591 соберу еще увч тоже померим. Но мне бы ответы на мои вопросы кто понимает, а? \особенно про дизеринг RV3DLX, да правильно от полосовика толку мало если только узкий ПАВ, я же хотел фнч 96мгц после кристалла до умножения там и место есть в рожоне -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2012] Автор : RA4UKL Дата : 13.03.2023 21:04 Раз (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1467997&viewfull=1#post1467997) Два (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1823868&viewfull=1#post1823868) Три (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1837953&viewfull=1#post1837953) Ставьте полосовики. После них коммутируемый УВЧ или АТТ, выбирайте. Полосовик после опоры четыре (http://www.cqham.ru/forum/showthread.php?35923-DDC-DUC-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%F1-%E8%ED%F2%E5%F0%F4%E5%E9%F1%EE%EC-Ethernet-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8&p=1482643&viewfull=1#post1482643), но практическая реализация сего будет весьма интересна, так что не парьтесь. Что у EU1SW, что у меня, и думаю еще много у кого работало с noname КГ и вполне сносно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2013] Автор : RV3DLX Дата : 13.03.2023 21:58 я же хотел фнч 96мгц после кристалла до умножения там и место есть в рожоне Я это так и понял, о чем и написал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2014] Автор : RK4CI Дата : 13.03.2023 23:33 это полка на панораме, фильтр 500ГцПрежде всего вы неправильно трактуете понятие шумовой полки. Шумовая полка это совсем не то что отображает панорама. То по какому уровню она расположена, можно изменить в настройках программы. Обычно по умолчанию там стоит 3-5 Гц. Обычно когда говорят о шумовой полке, имеется ввиду пороговая чувствительность вашего приёмника. Она так же зависит от полосы пропускания тракта, и обычно, если полоса не оговаривается дополнительно, имеется ввиду что измеряется мощность шумов в полосе 500 Гц. На вашем скрине показания S метра -123 дБм. По моему, это очень хорошая чувствительность без УВЧ. В основном, она определяется шумами самого АЦП, и выполнением входной части. Похоже, по входу АЦП у вас стоит трансформатор улучшающий согласование входа АЦП с 50 омной антенной. Динамика и чувствительность приёмника изначально заложены применённым у вас АЦП. Вы не заметили особой разницы в приёме при подключении диапазонных фильтров, так как у вас довольно низкая чувствительность тракта. И на всём КВ диапазоне просто не было достаточно мощных станций что бы перегрузить АЦП. Вообще, правильно выполненные ДПФ не могут ухудшить динамику, но из за потерь, несколько ухудшают чувствительность. И если собираетесь получить хорошие параметры по приёму в своём трансивере, то на ДПФ экономить не стоит. Тем более, на стоит применять в качестве контуров в фильтре какие то дросселя. Обычно, такие ДПФ применяют в трансиверах самого низкого уровня. Главная задача которых хоть что то принять. Видел дросселя и в СДР конструкциях. НЕ знаю что там с параметрами по динамике и потерям, но когда посмотрел цену на эти дроссельки... Мои на колечках Т 50, мне явно обошлись дешевле. Ну и нормальные ДПФ обычно вносят потери не превышающие 1 дБ. Ну и УВЧ однозначно нужен. ТО что есть у вас сейчас, вполне хватит на НЧ диапазонах. А вот на самых верхних диапазонах, этого явно маловато. 386253 Это скрин с измерением пороговой чувствительности платы Гермеса. Та же полоса 500 Гц. Пороговая чувствительность под -139 дБм Ну а насчёт зачистки сигнала опорного генератора, с этим ничего не подскажу. Шумы генератора должны влиять только при приёме самых мощных сигналов. Наличие спур может привести к появлению побочных каналов приёма. Но это так же в глаза не бросается. Но то что качество опорного генератора будет определять и качество работы всего трансивера, с этим поспорить трудно. Просто довести качество сигнала посредственного генератора, до уровня высококачественного кварцевого генератора, задача довольно проблемная. Разве что через кварцевый фильтр пропустить, если конечно есть кварцы на соответствующую частоту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2015] Автор : art74 Дата : 13.03.2023 23:50 RA4UKL, вот как раз благодаря этим постам такие вопросы и появились про то как зашевелить биты ацп уровнем шума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2016] Автор : RA4UKL Дата : 14.03.2023 01:13 Чувствительности на ВЧ у голого АЦП недостаточно, поэтому ставят УВЧ. Беда в том, что открытый вход+УВЧ не очень дружат с тем, что летит в АЦП до частоты среза ФНЧ, особенно по вечерам. Поэтому ставят ДПФ, а последующее усиление уже подбирают в зависимости от полосы пропускания. Чтобы "шевеление" не пропадало. Поэтому: Ставьте полосовики. После них коммутируемый УВЧ или АТТ, выбирайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2017] Автор : RV3DLX Дата : 14.03.2023 08:06 Да, полосовые фильтры не будут лишними, но где то выше в этой теме, Сергей (автор этой конструкции) предлагал более простое решение, которое избавляет в значительной степени от появления побочных сигналов при приеме. Это некая комбинация ФНЧ и ФВЧ. Я делал такое, работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2018] Автор : EU1SW Дата : 14.03.2023 14:08 Да, параллельно включенные ФНЧ с аттенюатором 10 дБ, и ФВЧ, частота среза обоих в районе 10 МГц, моделировал UF3K, спасибо ему огромное :пиво: Ослабляет сигналы ниже 10 МГц на 10-12 дБ. С моим СитиВиндом 80 метров работало замечательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2019] Автор : UF3K Дата : 14.03.2023 15:14 Чтобы загнать ацп в рабочий режим по диз и реализовать ДД по полной или просто чутья надо? Мне кажется его тут достаточно, вот такие например на вч всегда с нормальным уровнем нет желания что-то усилить Проще всего посмотреть насколько упадет шумовая полка при отключении антенны. Если на 10 дБ, то все отлично. Но судя по цифрам в -115 дБ как-то шумновато. Или не откалиброван S-meter. Вообще говоря, чтобы на ВЧ-диапазонах было все красиво (не было палок от вещалок и т.п.), разумная конфигурация тракта: BPF -> ATT 10 dB -> ATT 20 dB -> LNA 20 dB -> LPF -> ADC Аттенюаторами управлять с ПЛИС, УВЧ не отключаемый, как раз и младшие биты АЦП пошевелит, и чувствительности добавит. И да, прежде всего замените генератор 96 МГц, Rojon имеют совсем печальные параметры по шуму в ближней зоне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2020] Автор : EU1SW Дата : 14.03.2023 15:16 Но судя по цифрам в -115 дБ как-то шумновато Володь, УВЧ нет ) коллега идет своим путем, задает вопросы, ответы на которые уже нашлись триста лет тому назад ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2021] Автор : M0TLN Дата : 30.03.2023 19:49 Извините что вмешиваюсь, но последние 3 страницы вообще не по теме. Вам бы новую "выбор взаимного расположения аттенюатора и BPF в SDR прямой оцифровки" и там тусоваться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2022] Автор : UN7RX Дата : 30.03.2023 20:24 последние 3 страницы вообще не по теме. Согласен. Утопили тему - мастера просто.:evil: Во избежание обид и истерик за наказание напоминаю - тема исключительно по конструкции Сергея. А не про "около да рядом"! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2023] Автор : EW2DZ Дата : 11.05.2023 15:09 Всем привет! Может у кого-нибудь платы остались - куплю. Хотябы только ту, на которой ПЛИС находится. Плата УМ и ФНЧ не нужна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2024] Автор : EU1SW Дата : 22.06.2023 08:36 Алексей, если еще не решили вопрос, черканите в личку, найдем что нибудь ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Downloaded from Форум CQHAM.RU (http://www.cqham.ru/forum) at 19.03.2024 12:16.