* * * Форум CQHAM.RU Тема * * * -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Тема : СДР трансивер на базе Red Pitaya Started at 27.06.2016 23:01 by Kia2700d Visit at http://www.cqham.ru/forum/showthread.php?t=33480 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1] Автор : Kia2700d Дата : 27.06.2016 23:01 Заголовок : СДР трансивер на базе Red Pitaya новинка с Фридрихсхафена: СДР трансивер Хамлаб, на базе Red Pitaya (http://redpitaya.com/), совместимый с HPSDR/Pure Signal, HDSDR, SDR#, и т.д http://store.redpitaya.com/hamlab.html 10Вт, цена 600 евро HAMLAB (http://www.qrpblog.com/2016/06/red-pitaya-hamlab-hf6m-10w-sdr.html) двухканальный АЦП 14 бит/125 МГц, LTC2145 237184237185237186 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2] Автор : RZ1ZR Дата : 28.06.2016 14:20 СДР трансивер Хамлаб, на базе Red Pitaya (http://redpitaya.com/) что то не нашел, какая максимальная полоса обзора ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3] Автор : Kia2700d Дата : 28.06.2016 15:40 что то не нашел, какая максимальная полоса обзора ? Анализатор спектра отображает 63 МГц. В режиме HDSDR полоса приема кажется до 1.2 МГц. Hpsdr 384к. Скиммер сервер - 6 каналов по 192 кГц. Трансивер WSPR на 8 диапазонов Павел Демин написал вагон софта для этого фрукта: http://pavel-demin.github.io/red-pitaya-notes/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4] Автор : RC7H Дата : 03.08.2016 15:57 Привет Всем!!! Я сначала думал поменять свой трансивер на др версию те анан10 без букв,но буквально вчера отказался от этого трансивера,которого кстати уже и нет готового на апаче лабс,только клоны итд,а так на заказ. И сразу заказал этот трансивер,как получу ,отпишусь в этой теме обязательно. C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5] Автор : rz3qs Дата : 03.08.2016 19:00 Пока идет RP начинайте делать такую платку. PS. Неточность с моей стороны, извиняюсь, в трансивере ничего делать не надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 6] Автор : RZ1ZR Дата : 03.08.2016 19:48 Пока идет RP а где заказывали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 7] Автор : rz3qs Дата : 03.08.2016 20:04 а где заказывали ? Этот вопрос лучше задать RC7H, я трансивер не заказывал, мой уровень ниже, только платка RP, но это уже другая тема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 8] Автор : RZ1ZR Дата : 03.08.2016 20:07 Этот вопрос лучше задать RC7H, я трансивер не заказывал, мой уровень ниже, только платка RP, но это уже другая тема. я про платку и спросил ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 9] Автор : rz3qs Дата : 03.08.2016 20:20 я про платку и спросил Здесь. http://store.redpitaya.com/red-pitaya-board.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 10] Автор : uh6abj Дата : 03.08.2016 21:09 А с какой программой он работает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 11] Автор : rz3qs Дата : 03.08.2016 21:30 А с какой программой он работает? Из любительского радио эти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 12] Автор : Kia2700d Дата : 03.08.2016 22:33 У платы вроде высокоомные входы RX1/2 1МОм. Кто знает как правильно согласовать с приемной антенной ? Нагрузить на резистор? Наверное чайниковый вопрос :/ С ТХ все ок, 50 Ом на выходе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 13] Автор : rz3qs Дата : 03.08.2016 22:52 У платы вроде высокоомные входы RX1/2 1МОм. Для осциллографа это правильно, вопрос на простом уровне решен, но... здесь тема про трансивер законченный, тема Вами созданная, а с отдельной платой можно играться, но, тут желательно разделить, платку с пачку сигарет и целый трансивер, пусть пока 10 Вт, т.е. может здесь есть тема про просто плата, но в плане SDR трансивера, а не обучения студентов, или создать заново. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 14] Автор : Kia2700d Дата : 03.08.2016 23:06 Мне кажется в этой теме любые посты связанные с красной питаей не являются оффтопом. По аналогии с веткой Гермес, в которой готовые Ананы и даже их украинские аналоги обсуждаются на равне с самой платой. Во всяком случае я как ТС не возражаю :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 15] Автор : RZ1ZR Дата : 04.08.2016 00:06 а что, с усилителем от eb104 получится простой 300 ватт трансивер ! 239644 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 16] Автор : RW6HCH Дата : 04.08.2016 08:24 У платы вроде высокоомные входы RX1/2 1МОм. Кто знает как правильно согласовать с приемной антенной ? Нагрузить на резистор? Наверное чайниковый вопрос :/ С ТХ все ок, 50 Ом на выходе Тут есть и по этому вопросу https://yadi.sk/d/aqRD9cthtsMPt/RP%20RUSSIAN -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 17] Автор : RC7H Дата : 04.08.2016 11:08 Привет Всем!!! Насчет трансивера берем кому нужно в рублях или прямо у производителя,отгрузка с октября!!! Цены в рублях вроде с учетом доставки оттуда,плюс поддержка,вроде так. http://www.dxnewradio.com/product_info.php?products_id=661 http://store.redpitaya.com/hamlab.html С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 18] Автор : RC7H Дата : 04.08.2016 13:24 Да и еще добавлю банк точно сейчас при пересчете снимает дополнительно ко всем сьемам 1%,плюс еще и считает не по тому курсу,который на яндексе,а по продажному курсу СБРФ. Те просто Вам продает валюту.... Незнаю точно как с мастеркард ,валютных счетов,а вот с маэстро у меня в рублях так!!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 19] Автор : alex@4z5lv Дата : 04.08.2016 13:31 Из любительского радио эти. Хочу разочаровать относительно той информации , которая дана на картинке проименованной "SDR transceiver": 1. SDR# - больше не поддерживает ExtIO плагины, а также не поддерживает HPSDR 2. SDR# - не имеет поддержки передачи, только прием 3. HDSDR хоть и имеет (чисто номинально) поддержку TX, но особенности и описание TX API - держались автором под секретом, мне неизвестен сегодня никто, кто бы владел инфорамцией и мог пролить свет на данную функциональность HDSDR, если оне вообще существует. Т.е. информация приведенная на картинках - частично ложная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 20] Автор : RC7H Дата : 04.08.2016 13:39 Для меня достаточным является поддержка: Compatible with Power SDR, HDSPR, Gqrx, GNU Radio, GNU Radio Companion and Pothos. Именно PowerSDRmrx. Может это и ниже например программы от производителя SunSdr2,но оч нужные режимы PureSignal и Фазовое подавление шумов для меня все перевешивает. Кстати поставил SDR шарп ,скопировал с приемника ExtIO.dll,все прекрасно заработало с приемником от andrus. Если ,что то и небудет поддерживать ,то можем настоять ,раз написано ,что поддерживает,то должно!!! Да и круче ,чем PSDR mrx и не надо ничего,есть еще я так понял SDRconsole,использую на прием,все здорово,а программа HDSPR,она вообще отстой,давно не обновлялась. Так,что дело времени итд,но цена даже трансивера ,оч низкая по сравнению с другими,так что каждому выбирать свое!!! Я после многих метаний,после SunSDR2 выбираю эту конструкцию,просто и по цене и по возможнастям,невижу равных!!! Кстати в SDR шарп есть поддержка передачи,лазил внутри проги она там есть,но по сравнению PSDRmrx,это пока игрушки,вот SDRconsole,тем более версия 3 ,это совсем уж серьезно!!! Насчет линукса к сожалению немогу ничего пока сказать... С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 21] Автор : alex@4z5lv Дата : 04.08.2016 13:48 Для меня достаточным является ... Павел, вообще-то я не о ваших предпочтениях, а о том, что информация о продукте (да и вообще) должна быть достоверной, если она недостоверна в одной части, где гарантии, что во всем остальном - порядок? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 22] Автор : RC7H Дата : 04.08.2016 14:56 Я в этом с Вами согласен,не полностью но в общем,такие проги ,как HDsdr вообще нестоит заявлять,просто это позавчерашний день,у меня приемник и с ней работает но что отстой это точно,sdr шарп игрушка не более,ну нетянет она на серьезную прогу,остается две под винду (у меня сейчас на прием sdrconsole v2),а то что это рекламирует производитель,мне не привыкать,в свое время например при заказе SunSdr2 (одним из первых),мне тоже сказали ,что панель настроек от Геркулес ,будет поддерживаться,ну и где ихние обещания... А тут уже конкретно заявлено,что PowerSDRmrx точно поддерживается,вот это то и сыграло решающую роль в моем выборе,а значит мой геркулес(панель) точно поддерживается. А что 14бит ,то честно скажу,слушал у Бориса 6hch 14бит,на слух так и несмог найти разницу. А получу надеюсь одним из первых,тогда отпишусь что и как!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 23] Автор : alex@4z5lv Дата : 04.08.2016 15:27 ...,как HDsdr вообще нестоит заявлять,просто это позавчерашний день ... но что отстой это точно,sdr шарп игрушка не более.. Абсолютно не согласен с двумя этими параграфами и HDSDR и SDR# обе достойные программы. Многим более современным не хватает тех регулировок и возможностей которые реализованы в HDSDR. Ну а ставить в качестве достоинства SDR поддержку в PowerSDR_mrx, по моему смешно. Но о вкусах не спорят. Просто у владельцев некоторых видов SDR - никаких, кроме PowerSDR_mrx вариантов нет ... любовь по принуждению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 24] Автор : Serg Дата : 04.08.2016 15:55 Ну а ставить в качестве достоинства SDR поддержку в PowerSDR_mrx, по моему смешно Александр, ничего смешного, если это не приемник, а трансивер. Эта программа пока лучшая (при том бесплатная и развиваемая) для HPSDR-совместимой аппаратуры, для использования так сказать в радиоспорте (контестинг, ДХинг и вообще любое активное проведение ЩСО в эфире). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 25] Автор : Kia2700d Дата : 04.08.2016 16:18 интересный "баг" найденный RZ3QS: https://github.com/pavel-demin/red-pitaya-notes/issues/318 (т.е. аппаратный телеграф пока не реализован). надеюсь до октрября что-нибудь придумают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 26] Автор : RC7H Дата : 04.08.2016 16:20 Я нехочу ни в коем случае спорить,может конечно косо реализована у меня библиотека под HDSD,но последняя версия программы выпущена в 2013 году и Вы хотите сказать ,что она оч актуальна,а последняя версия SDR шарп она просто невидит всех виртуальных портов в win(а мне нужна синхронизация по cat между приемником и передатчиком),хотя по приему оч достойно работает. Единственно достойно работает sdrconsole v2,оч хорошо работают DSP фильтры,ару,правильно работает s-metr,к ней нет притензий,четко запирается на передачу,работает с внешними панелями(в том числе и с Геркулес) Поставил версию v3,но она пока бета и там нериализованы многие функции,а так все ок и оч здорово!!! Вот Вы говорите ,у многих PSDRmrx от безисходности,я больше скажу работал на Flex5000a вот там это точно подходит к Вашим словам. А назовите один из самых нужных параметров сегодня,который там нериализован. Для меня например оч важен параметр imd3(предискажения,этот параметр неважен лишь для станций 3 и 4 категорий,либо в сельской местности) и не менее важна возможность фазового оперативного подавления помех(многоэтажка ,город),я использую в качестве противофазки магнитную антенну,9-й этаж ,город)внешний фазовый подавитель,если б не он ,то я серьезно говорю несмог бы работать на 40-80м однозначно. Кстати хороший профессиональный стоит 500-600евро. Ну конечно небудем спорить,в чем то Вы правы ,на своем месте я,короче приобритем,будем посмотреть... C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 27] Автор : alex@4z5lv Дата : 04.08.2016 17:41 .. Эта программа пока лучшая (при том бесплатная и развиваемая) для HPSDR-совместимой аппаратуры... Именно это я и написал, естественно когда единственная , то и лучшая автоматом - монополия, кому не нравится походи по базару - другую найди :ржач: ...короче приобритем,будем посмотреть... Ну я вас не отговаривю, вообще-то. Просто указал, на ложную информацию в картинках. А что касется устаревания HDSDR хотелось бы узнать, что нового в теории обработки сигнала появилось, и что изменилось с 2013 и что из этого know-how внедрили в PowerSDR? Pure Signal - не предлагать, так как речь о HDSDR, т.е. приемнике. Для примера апример SDR-Radio (sdr-console) делает эксперименты с CUDA... А многообещающая (и с интересным интерфейсом) программа CuSDR тоже умела работать с CUDA , но померла не родившись... Это я все к тому, что когда рассуждаете о старом и новом, желательно сравнить, что конкретно нового появилось в доступном любительском ПО, бо цифровая обработка, она и в африке цифровая Кстати, я думаю, что Питая представляет несомненный интерес, для тех кто знаком с программированием и Linux и хочет занятся софтом для SDR, Linux и т.п. - есть где разверныуться... А для просто "чайника" может быть печалька... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 28] Автор : Kia2700d Дата : 04.08.2016 18:07 и что изменилось с 2013 и что из этого know-how внедрили в PowerSDR на прием: - новые адаптивные алгоритмы подавления импульсных помех и других видов шума. результат впечатляет http://openhpsdr.org/videos/Friedrichshaven2016/NR0V.mp4 - minimum phase фильтры вместо традиционных FIR, уменьшена задержка на порядок при тех же параметрах фильтров. пожертвовали линейностью фазы, которая как оказалась не нужна в 99% случаев. оказывается, что на КВ при отражении через ионосферу фаза меняется непредсказуемым образом, поэтому сохранение линейности в фильтрах лишено всякого смысла. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 29] Автор : RA4FIX Дата : 04.08.2016 18:28 фаза меняется непредсказуемым образом, поэтому сохранение линейности в фильтрах лишено всякого смысла.Этож теперь у фсех будут сигналы как у "америкосов через полярную "шапку"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 30] Автор : Леонид3 Дата : 04.08.2016 18:41 Тут есть и по этому вопросу Стесняюсь спросить: а вы сами делали, испытывали выложенный вами трансформатор 1:64 на феррите марки 43? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 31] Автор : alex@4z5lv Дата : 04.08.2016 18:56 Этож теперь у фсех будут сигналы как у "америкосов через полярную "шапку"? Мне кажется Kia2700d,что-то из услышанного пересказал с большими искажениями. Не раскрыта тема какая фаза меняется непредсказуемым образом и как это влияет на соотношение фаз в полосе приема... Нужна ли линейная фаза в фильтрах применяемых в PowerSDR, лично я понятия не имею, а также не понимаю связано ли уменьшение задержки с линейностью/нелинейностью фильтров или с тем, что наконец-то отказались от глючных библиотек цифровой обработки сигнала типа DttSP.. А может просто и тупо уменьшили количество tap фильтрах... Короче, дело темное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 32] Автор : RW6HCH Дата : 04.08.2016 19:10 для Леонида3 не делал, я сослался на инфу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 33] Автор : Kia2700d Дата : 04.08.2016 19:28 А может просто и тупо уменьшили количество tap фильтрах... я же вроде написал что параметры фильтров не поменялись, кроме линейности фазы в полосе приема. Это значит что к-во "tap" осталось прежнее. а можно остановившуюся в развитии HDSDR обсуждать в каком-нибудь другом месте? тут про ред питаю.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 34] Автор : Леонид3 Дата : 04.08.2016 20:14 RW6HCH, я несколько раз пытался и максимум 1:16 (50:800 Ом) получал, да и то на диапазон 1.7--10-12 МГц, причём всё по теории, а не так как приведено на рисунке, а этот трансформатор совсем не работоспособен ни на каких частотах, внизу мала индуктивность, а вверху не пропустит феррит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 35] Автор : alex@4z5lv Дата : 04.08.2016 20:30 я же вроде написал что параметры фильтров не поменялись, кроме линейности фазы в полосе приема. Это значит что к-во "tap" осталось прежнее... Интересно, если количество "tap" не поменялось, за счет чего НА ПОРЯДОК уменьшилась задержка? Может все-таки дело не в линейности или нелинейности фазы, а в чем-то другом, например переписана библиотека цифровой обработки, которая в PowerSDR (была?) откровенно глючная... А то наводят тень на плетень. Кстати тему о HDSDR поднял не я, а авторы-производители Пита и тот кто опубликовал тут картинку с ложной информацией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 36] Автор : rz3qs Дата : 04.08.2016 20:45 Кстати тему о HDSDR поднял не я, а авторы-производители Пита и тот кто опубликовал тут картинку с ложной информацией За что купил, за то и продал, в последних обновлениях эти проги светятся, лично мне нужно PowerSDR mRX. причём всё по теории Все эти трансы родились от плохой чувствительность платы в режиме трансивера, т.е. попытки стыковать 1МОм и 50 Ом. Трансы 1:9 работают нормально до 50 МГц, а у LTC6403 стоящей перед АЦП как раз в зоне 450 Ом вход, но в RP для осцилла стоит AD8066 на входе в режиме повторителя с высокоомным делителем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 37] Автор : Kia2700d Дата : 04.08.2016 20:51 Интересно, если количество "tap" не поменялось, за счет чего НА ПОРЯДОК уменьшилась задержка? вы наверное пропустили обсуждение прорыва в powerSDR mrx в соседней ветке АЧХ можно сделать одинаковую у обоих типов фильтров. В принципе любой FIR фильтр с линейной ФЧХ можно превратить в low latency (правильнее minimum phase FIR) - с помощью преобразования Гильберта, ибо ФЧХ такого фильтра получается через ПГ от логарифма АЧХ. сравнение задержек в этих фильтрах http://www.cqham.ru/forum/showthread.php?12532-%D2%F0%E0%ED%F1%E8%E2%E5%F0-HERMES&p=1266988&viewfull=1#post1266988 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 38] Автор : alex@4z5lv Дата : 04.08.2016 21:00 За что купил, за то и продал, в последних обновлениях эти проги светятся, лично мне нужно PowerSDR mRX... У уверен, что на прием HDSDR поддерживается, но только на прием (это та часть которая является правдой), но никак не в режиме "SDR transcеиver" как заявлено на картинке. Добавлено через 8 минут(ы): ... сравнение задержек в этих фильтрах http://www.cqham.ru/forum/showthread.php?12532-%D2%F0%E0%ED%F1%E8%E2%E5%F0-HERMES&p=1266988&viewfull=1#post1266988 Посмотрел, темя за счет чего уменьшилась задержка не раскрыта, если количество коэффициентов в обоих фильтрах одинаково - то количество MAC (умножить, сложить, запомнить) операций не уменьшается. Т.е. уменьшение задержки возможна если часть коэффициентов равна нулю, что дает общее уменьшение "tap" , следовательно операций MAC или таки кто-то просто оптимизировал алгоритм FIR... о чем я и написал ранее... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 39] Автор : Kia2700d Дата : 04.08.2016 21:08 Посмотрел, темя за счет чего уменьшилась задержка не раскрыта сравните 'group delay' двух фильтров в матлабе. в minimum phase требуется меньшее к-во предыдущих семплов для вычисления текущего значения на выходе фильтра. за счет чего так получается я не вникал :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 40] Автор : Serg Дата : 04.08.2016 21:24 А что касется устаревания HDSDR хотелось бы узнать, что нового в теории обработки сигнала появилось, и что изменилось с 2013 и что из этого know-how внедрили в PowerSDR? Не вдаваясь в теории, в повере есть такая приятная плюшка - два приемника в полосе обработки или в разных "подприемниках" при железе типа гермес. В ХДСДР такого нет, а хотелось бы хотя бы второй приемный VFO в полосе обработки. В СДР-консолях Симоновских есть, но там удобство интерфейса "на любителя". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 41] Автор : R6YY Дата : 04.08.2016 21:56 Позволю себе высказать своё видение на предмет дискуссии. PSDRmrx лучшая на сегодня для практической работы в эфире. Конкуренты есть, но они либо недоделки, либо неудобны в пользовании. Далее, я пока не нашёл ответа, а есть ли у RP встроенный ЦАП или же звук будет в компе обрабатываться со всеми вытекающими. Если так, то приходится констатировать, что RP искусственно притянута к НАМ-радио, вернее к стану любительских приёмопередатчиков и больше в этом смысле напоминает известный китайский свисток предназначавшийся для приёма ТВ. Грядёт новый протокол в проекте OpenHPSDR. Как с ним "подружится" RP? Ну и о экономических выгодах. На рынке сегодня достаточно бюджетных вариантов DDC заточенных под любительское радио, на горизонте видны и новинки. Поэтому я бы не стал связываться с прибором изначально ориентированным на иные задачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 42] Автор : alex@4z5lv Дата : 04.08.2016 22:20 ... Грядёт новый протокол в проекте OpenHPSDR... К сведению, в новой версии SDR-Radio (SDR-Console) v.3 - реализован именно новый протокол OpenHPSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 43] Автор : R6YY Дата : 04.08.2016 22:21 Я обладаю этими сведениями. И что дальше? Аппаратура ещё не готова. К этому, как ранее верно заметил Serg, "СДР-консоль... есть, но там удобство интерфейса "на любителя". Плюс непомерно раздутый код. Инсталляция программы занимает почти 200Мб. Не известно , как этот монстр распоряжается памятью. Короче, весьма специфический продукт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 44] Автор : alex@4z5lv Дата : 04.08.2016 22:31 ... в minimum phase требуется меньшее к-во предыдущих семплов для вычисления текущего значения на выходе фильтра... Это как раз то о чем я написал ранее, меньше семплов при одинаковом количестве коэффициентов, такая ситуация возможна в случае, когда часть коэффициентов FIR равна нулю... (Может речь идет о использовании Half-Band filter ???) Остался вопрос так ли это в конкретном случае с PowerSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 45] Автор : Kia2700d Дата : 04.08.2016 22:43 а есть ли у RP встроенный ЦАП или же звук будет в компе обрабатываться со всеми вытекающими. в режиме HPSDR звук можно выводить на USB звуковую карту подключенную к плате https://github.com/pavel-demin/red-pitaya-notes/issues/269#issuecomment-225655736 on3vna (https://github.com/on3vna) commented on Jun 13 (https://github.com/pavel-demin/red-pitaya-notes/issues/269#issuecomment-225655736) Just installed the new eco image. Inserted the usb sound card device Teratec Aureon Dual USB and works like a charme. Thanks a lot. 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 46] Автор : RZ1ZR Дата : 04.08.2016 23:31 Позволю себе высказать своё видение на предмет дискуссии. PSDRmrx лучшая на сегодня для практической работы в эфире. Конкуренты есть, но они либо недоделки, либо неудобны в пользовании. позвольте и мне высказать свое мнение, около трех лет пользовал SunSDR2, и вроде все устраивало, но, хватило буквально несколько дней, покрутив PSDRmrx и всего лишь ANAN-10E, понял, насколько большая разница в программах, PSDRmrx вне конкуренции, звучание просто супер ! ушам комфорт непередаваем, удобство в управление на высоте, а режим "Collapse" просто радует глаз, ничего лишнего ! и пару слов про "SDR Console v3" звук эфира нравится даже больше чем в PSDRmrx, водопад лучший из всех которые видел, если ее доведут до уровня PSDRmrx, и с передачей, думаю будет лучшей программой для SDR, повторюсь, это чисто мое субъективное мнение. если RP будет также звучать в данных программах, то это хороший вариант для трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 47] Автор : Kia2700d Дата : 05.08.2016 02:15 такая ситуация возможна в случае, когда часть коэффициентов FIR равна нулю... нет. вот два фильтра с одинаковой АЧХ, одним порядком, и ненулевыми коэф. при этом у одного задержка ~40 семплов а у второго 150 в полосе. приатачил файл для матлаба. фантастика! :confused: 239727239728239729 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 48] Автор : RW6HCH Дата : 05.08.2016 08:17 а есть ли у RP встроенный ЦАП? на схеме платы RP есть какие-то четыре in и четыре out, похожи на звуковые, производителю этот вопрос задали-пока тишина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 49] Автор : UT4LW Дата : 05.08.2016 08:42 насколько большая разница в программах, звучание просто супер Хочется своими ушами услышать эту большую разницу. Может сделаете нам пару-тройку сравнительных аудио записей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 50] Автор : RZ1ZR Дата : 05.08.2016 09:40 Хочется своими ушами услышать эту большую разницу. Может сделаете нам пару-тройку сравнительных аудио записей? в данный момент нет возможности, от первого избавился, второй брал на время, но поверьте, разница есть, особенно заметна с SDR Console. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 51] Автор : UT4LW Дата : 05.08.2016 10:05 но поверьте, разница есть Верю. Так же верю, что этому явлению есть какое-то объяснение, например, за более мягкое звучание мы платим прямоугольностью фильтров, или длительностью АРУ, т.е., теряем другие возможности и т.д. На практике есть и другие примеры, когда избавлялись от "второго" в пользу "первого" как раз из-за звучания, панорамы, водопада и вообще интерфейса.:smile: Поэтому только сравнительные файлы и их анализ может дать объяснения таких различий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 52] Автор : RV3DLX Дата : 05.08.2016 10:05 Одно время, в течении месяца, у меня на столе стояли рядом SunSDR2 и трансивер на базе Гермеса, честно говоря разницы в звучании я не заметил (возможно уши такие:-P), но программа PowSDR гораздо удобнее в пользовании. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 53] Автор : RZ1ZR Дата : 05.08.2016 10:33 что этому явлению есть какое-то объяснение, например, за более мягкое звучание мы платим прямоугольностью фильтров, или длительностью АРУ, т.е., теряем другие возможности и т.д. возможно, в нюансы не вникал, повторюсь, это чисто мое субъективное мнение.. добавлю, сравнивал на хорошей акустической системе и качественные наушники. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 54] Автор : RC7H Дата : 05.08.2016 11:13 Привет Всем!!! Видимо все таки плата в трансивере отличается в плане того,что микрофон заведен именно на плату,так ответили на вопрос о подключении микрофона. C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 55] Автор : alex@4z5lv Дата : 05.08.2016 12:33 Kia2700d (http://www.cqham.ru/forum/member.php?14934-Kia2700d) 1. Matlab-a не имею, поэтому как открыть данный файл с расширением .fda и что там полезного можно найти - понятия не имею. Для прояснения ситуации хватило бы массива с коэффициентами FIR для первого и второго случая а есть ли у RP встроенный ЦАП? на схеме платы RP есть какие-то четыре in и четыре out, похожи на звуковые, производителю этот вопрос задали-пока тишина. Читайте внимательно тему в которой пишете и обрящете, ранее тут был дан однозначный ответ ( из принципа не буду давать ссылки )... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 56] Автор : Kia2700d Дата : 05.08.2016 15:24 Для прояснения ситуации хватило бы массива с коэффициентами FIR для первого и второго случая прикрепил -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 57] Автор : RC7H Дата : 05.08.2016 17:16 Кстати если кому интересно то об качестве обработки те работы dsp я уже писал на форуме об sunsdr2,кстати производители sunsdr после публикаций оч многое изменили по этому поводу, стало значительно все лучше выглядить в этом плане... Я неутверждаю ,что из за меня ,просто многие там высказались и думаю благодаря мнению многих на это обратили внимание и многое поправили в dsp обработке. Как на прием ,так и на передачу. С уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 58] Автор : alex@4z5lv Дата : 05.08.2016 17:36 прикрепил Спасибо, еще вопрос - эти коэффициенты фильтров имеют отношение к PowerSDR или просто для примеру? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 59] Автор : Kia2700d Дата : 05.08.2016 17:59 Спасибо, еще вопрос - эти коэффициенты фильтров имеют отношение к PowerSDR или просто для примеру? Это пример для того, чтобы понять разницу между двумя видами фильтров применяемых в поверсдр mrx. Конкретные коэффициенты можно получить из исходников если это так важно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 60] Автор : UR3IQO Дата : 05.08.2016 18:14 вот два фильтра с одинаковой АЧХ, одним порядком, и ненулевыми коэф. при этом у одного задержка ~40 семплов а у второго 150 в полосе. приатачил файл для матлаба. фантастика! Фантастики никакой нет - нагляднее это все видно по импульсной х-ке. "Оплачивается" фантастика нелинейной фазовой х-кой, в телеграфе будет бОльший звон. Добавлено через 11 минут(ы): Посмотрел, темя за счет чего уменьшилась задержка не раскрыта, если количество коэффициентов в обоих фильтрах одинаково - то количество MAC (умножить, сложить, запомнить) операций не уменьшается. Т.е. уменьшение задержки возможна если часть коэффициентов равна нулю, что дает общее уменьшение "tap" , следовательно операций MAC или таки кто-то просто оптимизировал алгоритм FIR... о чем я и написал ранее... Все там было пережевано. Кроме добавления minphase фильтров важный момент, который позволил реализовать выигрыш от minphase фильтров, это изменения в алгоритме свертки (она делается у них в частотной области через БПФ и раньше размер буфера был привязан к длине фильтра) - почитайте release notes к версии программы где появились эти изменения и все станет понятно. Да, кол-во операций MAC к задержке не имеет отношения - в предельном случае все зависит исключительно от х-ки фильтра, в более реальных случаях дополнительную задержку вносит буферизация на разных стадиях обработки сигнала, иногда она весьма существенная - тут уже все зависит от особенностей железа и алгоритмов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 61] Автор : alex@4z5lv Дата : 05.08.2016 19:07 Это пример для того, чтобы понять разницу между двумя видами фильтров применяемых в поверсдр mrx. Тогда это некорректно и не льет свет на мой вопрос... Конкретные коэффициенты можно получить из исходников если это так важно. Мне это не важно, но интересно. Добавлено через 19 минут(ы): ...Все там было пережевано. Не понятно, где там и что пережевано, я реагирую на конкретный пост в этой теме, конкретного пользователя. ...Кроме добавления minphase фильтров . Вот про некое "кроме" я как раз и намекал... ...Да, кол-во операций MAC к задержке не имеет отношения - в предельном случае все зависит исключительно от х-ки фильтра... Как я понимаю, задержка опередяется количеством выборок сигнала, участвующих в формировании выходного сигнала, и распределением коэффициентов фильтра по данным семплам. Наглядно с точки зрения физики явления: - самый "быстрый" FIR имеет все коэффициенты равные нулю, за исключением того что умножается на текущий семпл сигнала ( самый "свежий"). - самый "медленный" FIR имеет все коэффициенты равные нулю, за исключением того что умножается на самый "древний" семпл сигнала. Всё остальное это выбор компромисса наилучшим образом удовлетворяющего нескольким противоречивым условиям (прямоугольность, неравномерность полосы пропускания, подавление вне полосы пропускания, время прохождения и т.д. и т.п.). А кол-во операций MAC , как раз зависит от х-ки фильтра . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 62] Автор : UR3IQO Дата : 05.08.2016 21:48 А кол-во операций MAC , как раз зависит от х-ки фильтра . Оно зависит не только от х-ки фильтра, но и от метода выполнения свертки, потому это цифра, которая ни о чем не говорит (с таким же успехом можно подсчитывать кол-во машинных инструкций - вроде бы и зависит, но толку от цифры особого нет :)). Да, если свертка делается в частотной области, то MACи могут не понадобиться вообще, причем вне зависимости от длины фильтра :), если конечно не рассматривать обычное умножения, как частный случай операции МАС ;) Вот про некое "кроме" я как раз и намекал... Это уже относится к особенностям реализации фильтрации в PowerSDR. У меня в аппарате, например, нет нужды в каких-либо дополнительных изменениях, чтобы реализовать меньшую задержку фильтров с нелинейной фазовой х-кой. Добавлено через 8 минут(ы): А кол-во операций MAC , как раз зависит от х-ки фильтра . Оно зависит не только от х-ки фильтра, но и от метода выполнения свертки, потому это цифра, которая ни о чем не говорит (с таким же успехом можно подсчитывать кол-во машинных инструкций - вроде бы и зависит, но толку от цифры особого нет :)). Да, если свертка делается в частотной области, то MACи могут не понадобиться вообще, причем вне зависимости от длины фильтра :), если конечно не рассматривать обычное умножения, как частный случай операции МАС ;) Вот про некое "кроме" я как раз и намекал... Это уже относится к особенностям реализации фильтрации в PowerSDR. У меня в аппарате, например, нет нужды в каких-либо дополнительных изменениях, чтобы реализовать меньшую задержку фильтров с нелинейной фазовой х-кой. Вот вырезка из release note (пост в группе от 10.06.2016), здесь все объяснено: > SIGNIFICANTLY LOWER LATENCY > > Receive latency is the time between when RF reaches your antenna and the > corresponding audio is produced in your speaker or headphones. > Similarly, transmit latency is, for example, the time between audio reaching > your microphone and RF being on its way to your antenna. For many SDRs, > especially those with sharp "brick wall" filters, the latency can be much > larger than you might expect. Depending upon the radio design and various > settings, SDR latencies can significantly exceed 100mS. Long latencies can > create problems for the operator in contest operation, high-speed break-in > CW, and even SSB rapid-turnaround VOX operation. > > This release incorporates some technologies that allow us to achieve low > latencies in the same category as leading conventional radios. > Furthermore, we can do this with extremely sharp filters. > > First of all, a couple basics: > > * Sometime ago, we moved CW Transmit from software to the FPGA in the radio > hardware. This means that CW transmit latency was already very low, really > based upon your delay settings which are chosen to avoid any hot-switching > of relays. > > * It has always been the case that the Buffer Size setting on the > Setup=>Audio/Primary tab effects latency. The lower the size, the lower the > latency. However, the lower the size, the more CPU cycles are required. > Depending upon the speed of your computer, you may be limited in how low you > can go. Fortunately, this is not likely to have such a large impact on your > latency. For a very rough estimate of the latency due to this buffer, > divide the buffer size by the sample rate. For example, a buffer of size > 256, at a sample rate of 192K, contributes only about 256/192000 = 1.33mS. > > As of this release, there are some new features and corresponding controls > to allow you to achieve much lower latency: > > * Up until this release, "Filter Size" and "DSP Buffer Size" have been the > same and there has only been one setting, called "DSP Buffer Size." > Filter Size determines how sharp your filters are; higher filter size leads > to sharper filters. However, higher DSP Buffer Size leads to more latency > because we must collect enough samples to fill the buffer before the buffer > can be processed. As of this release, DSP Buffer Size and Filter Size are > separate and can be set by mode on the Setup=>DSP/Options tab. So, using a > very low DSP Buffer size minimizes latency and using a high Filter Size > leads to sharper filters. The trade-off here is that using lower DSP buffer > sizes requires somewhat more CPU cycles and using a high Filter Size does as > well. With a reasonably fast computer, you will likely be able to run at a > DSP Buffer Size of 64, the minimum, except, perhaps, for the FM mode. With > filter sizes of 1024 or 2048, the sharpness of our filters rival the best > radios. However, larger sizes, up to 16384, are available if you need them. > > * You now have a choice of Filter Type, with two types available: > Linear Phase and Low Latency. In the past, our filters have always been > Linear Phase. Linear Phase filters have the property that all frequencies > are delayed by the same amount of time as the signal is processed through > the filter. This means that the time-domain waveform of a signal that is > totally within the passband will look the same at the input of the filter > and the output of the filter. The Low Latency filter does not strictly > comply with this same type of operation. With the Low Latency filter, > signals at frequencies very near the lower and upper edges of the passband > may experience more delay than signals at other frequencies. Comparing the > two types of filters, beta testers have reported little, if any, difference > in sound quality, no problems with several digital modes that have been > tested, and no significant negative impacts at all from using the Low > Latency filters. However, both filter types are provided for your > comparison and your choice. Of course, the Low Latency filters provide > lower latency. In fact, the latency of Linear Phase filters increases > linearly with Filter Size while the latency of the Low Latency filters is > very low and nearly independent of Filter Size. > > Benchmark Comparisons: > > * For CW/SSB receive, using minimum Buffer Sizes and Low Latency filters, > our beta testers have measured receive latencies in the 15mS to 20mS range. > Using minimum Buffer Sizes and Linear Phase filters, the latencies are 25mS > to 30mS for a Filter Size of 1024 and 35mS to 40mS for a Filter Size of > 2048. Using features such as noise blankers, EQ, and noise reduction will > add some amount to that, depending upon the > feature(s) and settings. These numbers compare with ~65mS and ~120mS using > DSP Buffer sizes of 1024 and 2048, respectively, in prior software releases. > -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 63] Автор : Kia2700d Дата : 05.08.2016 21:48 Для измерений использовалась одна версия поверсдр, менялись только коэффициенты фильтров. Никакие "кроме" на которые так упорно намекает 4з5лж в эксперименте не участвовали. Мне кажется спор плавно переходит в форму "а ты кто такой?" :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 64] Автор : alex@4z5lv Дата : 05.08.2016 22:05 latency of the Low Latency filters ... nearly independent of Filter Size Вот это вот утверждение указывает на явную проблему у ребят, в том как они рассчитывают или реализуют Low Latency filters ... Думаю правда выйдет наружу со временем. P.S. Можно предположить, что если цитата выше верна, то в реализации авторов - параметры фильтра также мало (также незначительно как и Latency) улучшаются с увеличением "Filter Size", не бывает бесплатных завтраков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 65] Автор : Kia2700d Дата : 05.08.2016 22:20 Вот это вот утверждение указывает на явную проблему у ребят, в том как они рассчитывают или реализуют Low Latency filters ... Думаю правда выйдет наружу со временем. Потому что доминирует задержка в различного рода буферах а не "group delay в фильтре даже пои большом порядке. В линейном fir все наоборот. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 66] Автор : UR3IQO Дата : 05.08.2016 23:11 Вот это вот утверждение указывает на явную проблему у ребят, в том как они рассчитывают или реализуют Low Latency filters ... Нет там никакой проблемы - скачайте тот же Octave (раз у Вас нет матлаба) и сами поэкспериментируйте - полчаса на эксперименты и все дела. Я у себя примерно то же отметил, что с такими фильтрами задержка от длины не сильно зависит. Вот наглядный пример - импульсные х-ки трех фильтров 255, 511 и 2047 тапов: 239792 А вот АЧХ: 239793 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 67] Автор : RC7H Дата : 06.08.2016 11:31 Вот ссылка на форум (зарубежный) http://forum.cq-nrw.de/viewforum.php?f=5 Кто могет почитайте!!! Кто интересное вычитает,пишите здесь!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 68] Автор : RC5D Дата : 30.08.2016 09:34 есть какие новости ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 69] Автор : RC7H Дата : 30.08.2016 11:29 Я заказал трансивер,жду до октября!!! Протестирую ,выложу полный обзор. На зарубежном ,в связи с корявым переводом пока так изредка бываю. Вот по платке http://catethysis.ru/red-pitaya_arrived/ Старый обзор ,но интересный, причем на могучем С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 70] Автор : rz3qs Дата : 30.08.2016 12:07 есть какие новости ? За HAMLAB не скажу, а отдельно платка потихоньку превращается в трансивер. https://youtu.be/ZbHADDsn2Ss https://youtu.be/Nn1ZWlup_Q8 https://youtu.be/tH9c2VdMU1I https://youtu.be/pV0HLL5RL58 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 71] Автор : RC7H Дата : 30.08.2016 12:43 Ну судя по видео ,в неплохой и универсальный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 72] Автор : WladN Дата : 30.08.2016 13:20 За HAMLAB не скажу, а отдельно платка потихоньку превращается в трансивер. Да,классно для такой малюхи. А режим Diversity работает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 73] Автор : rz3qs Дата : 30.08.2016 14:37 А режим Diversity работает? Кто успел прочитать, то это я про PureSignal написал, перемкнуло, а Diversity работает, если помеха идет с одной стороны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 74] Автор : WladN Дата : 30.08.2016 15:03 Ну здорово!!! Вы уж выкладывайте здесь инфо,что и как у Вас продвигается. Очень интересно. Ведь как то и процессор с линуксом встроенный можно будет использовать. Наверное сейчас не задействован. Дааа... Там еще низкочастотные АЦП и ЦАП.Прогресс не остановить. Обалдеть! Главное цена не заоблачная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 75] Автор : rz3qs Дата : 30.08.2016 15:12 Ведь как то и процессор с линуксом встроенный можно будет использовать. Наверное сейчас не задействован. Дааа... Там еще низкочастотные АЦП и ЦАП. Процессор задействован. Программа управления на микроSD флеш, около 40 сек. грузится, дальше запуск PowerSDR и в эфире. Интернет при этом не нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 76] Автор : WladN Дата : 30.08.2016 15:28 Так что,Повера на комп даже ставить не нужно? Наверное загнул,надо чуть почитать. Это программа управления наверное проги с аппаратом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 77] Автор : rn6xc Дата : 30.08.2016 15:31 Кто успел прочитать, то это я про PureSignal написал, перемкнуло, а Diversity работает, если помеха идет с одной стороны. А что не так с предыскажениями? Что-то пропустил??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 78] Автор : rz3qs Дата : 30.08.2016 15:34 У меня пока PureSignal не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 79] Автор : Kia2700d Дата : 30.08.2016 16:49 У меня пока PureSignal не работает. вроде написано что ОС с передатчика нужно подать на второй канал АЦП http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/#amplifier-linearization и амплитуда д.б. от 1 до 2 В пик-пик -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 80] Автор : UA8U Дата : 30.08.2016 18:12 Приличный аппарат, достойное исполнение, только не понятно за счёт чего такая, небольшая, стоимость. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 81] Автор : RC7H Дата : 30.08.2016 18:35 Незнаю смотрел на буржуйском форуме(для меня лично больная тема,вернее их две,предикажения и фазовое подавление)вроде все ок,ну там кто писал я думаю даже не совсем понимает о чем речь,незнаю мне так показалось,вот получу протестирую и сделаю выводы,прикрывать недостатки небуду!!! Насчет стоимости,я думаю,предзаказ был еще дешевле,а будет спрос,будет рости однозначно. Наверное автор несобирается сразу навариваться,есть расчет на другое. Остается только поаплодировать!!! Насчет Diversity,там как раз все ок,попутали с подавлением помех,там были замечания и то это вопрос ,тут все сильно зависит и от конкретных антенн. Если есть 2-а независимых приемника,остальное дело техники(ну доработают если ,что),а так немаловажны и антенны,вернее противофазная. От этого 99 % зависит!!! С одной стороны там неможет давить,хотя если противофазка невидит этих же помех их неподавиш. С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 82] Автор : UA8U Дата : 30.08.2016 18:40 Наверное автор несобирается сразу навариваться,есть расчет на другое. Да, идёт "раскрутка" изделия в ущерб наличия "икры (чёрной или красной) на масле" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 83] Автор : RC7H Дата : 30.08.2016 20:21 Корпус например ничем неблещет,примерно как 1000-к,ну и 14-бит,небудеш же Ты предлагать конструкцию даже по цене Анан-10э,фирма то неизвестная. Да 7300 уже есть,на кону более новый вариант,так что задирать цену нет резона. Вот и предлагают всем пока по божеской цене,а как оценят ,тогда и можно развивать все далее... Оч неплохая политика... С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 84] Автор : Kia2700d Дата : 30.08.2016 21:17 Приличный аппарат, достойное исполнение, только не понятно за счёт чего такая, небольшая, стоимость. питая была задумана для широкого круга энтузиастов, а не только для радиолюбителей с позывными. Получается экономия за счет масштаба производства, т.к. выпускается тысячами. на одном только кикстартере заказали ~800шт плат в 2014г. https://www.kickstarter.com/projects/652945597/red-pitaya-open-instruments-for-everyone -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 85] Автор : UT0UM Дата : 30.08.2016 21:28 питая была задумана для широкого круга энтузиастов прикрутить бы к ней такую штуку (http://www.st.com/content/st_com/en/products/evaluation-tools/product-evaluation-tools/mcu-eval-tools/stm32-mcu-eval-tools/stm32-mcu-discovery-kits/32f746gdiscovery.html) - цены бы не было проекту! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 86] Автор : R2DHG Дата : 30.08.2016 22:34 Там и так на борту мощностей хватает - что то мощное двуядерное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 87] Автор : UT0UM Дата : 30.08.2016 22:43 Там и так на борту мощностей хватает - что то мощное двуядерное. это понятно но без экрана моноблок никак не получится прикрутить бы к ней такую штуку (http://www.st.com/content/st_com/en/products/evaluation-tools/product-evaluation-tools/mcu-eval-tools/stm32-mcu-eval-tools/stm32-mcu-discovery-kits/32f746gdiscovery.html) - цены бы не было проекту! вот немец прикрутил (http://saure.org/cq-nrw/2016/07/17/red-pitaya-stand-alone-discoredtrx-von-wolfgang-dh1akf/) но почему то не вывел панораму на экран -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 88] Автор : UA6BCB Дата : 31.08.2016 00:55 вот получу протестирую и сделаю выводы,прикрывать недостатки небуду!!! Так уже... PA0AER протестировал.Сравнивал с Флексом 6500.Пишет,что параметры значительно похуже,чем у Флекса.Чувствительность , интермода,подавление зеркалок при передаче. Зайдите к нему на сайт,почитайте.Переводчик Хромовский неплохо справляется. Вообще,этот девайс позиционируется,как трансивер для начинающих осваивать SDR технику.Неплохой аппарат, да + ещё целая измерительная лаборатория.Своей цены стоит, но не более. С уважением,Владимир. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 89] Автор : rz3qs Дата : 31.08.2016 01:03 Своей цены стоит, но не более. Лично мне более пока и не надо. Измерительную лабораторию немного убрал (паяльником) в пользу трансивера. Разобрался с PureSignal. https://youtu.be/hvGcE5NsM8E -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 90] Автор : UA6BCB Дата : 31.08.2016 01:24 Евгений,скажите,а комп мощный применяете? В ролике у Вас звучит всё нормально.Голландец пишет,что у него на компьютере класса ниже , чем I7 рвало звук.У Флекса нет. С уважением,Владимир. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 91] Автор : rz3qs Дата : 31.08.2016 01:41 а комп мощный применяете? Не особо мощный, брал лет 5 назад. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 92] Автор : WladN Дата : 31.08.2016 07:00 Евгений,как вы интермоду измеряли. Чутье у Вас получилось -137дбм. Не калиброван,или УВЧ включен выносной. Меня интересует динамика по забитию.При каком уровне переполнение начинается АЦП. Хотелось бы полную картинку параметров по приему представлять. У 14 битной ADS6145 в Миноре намерил 125дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 93] Автор : rz3qs Дата : 31.08.2016 09:38 Меня интересует динамика по забитию. До 125 дБ пока далеко. https://youtu.be/UlJfGSW3Kd0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 94] Автор : DerBear Дата : 31.08.2016 09:57 Всего 80дБ ? Не густо..., хотя всё по математики. 14 бит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 95] Автор : WladN Дата : 31.08.2016 10:17 Ну как же 80,когда 110, но тоже не супер. Хотя работать можно нормально и с полноразмерными антеннами,только аттенюатор по входу нужен обязательно. Евгений,спасибо за кино! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 96] Автор : DerBear Дата : 31.08.2016 10:22 Точно, меряем разность не от -107, а от ШД -137 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 97] Автор : rz3qs Дата : 31.08.2016 10:38 только аттенюатор по входу нужен обязательно Управление АТТ и Preamp идет из PWRSDR,тут все нормально. Если немного потыкать паяльником, то динамику можно поднять, но пока из практики 110 дБ мне хватает, при правильном подходе к местному шуму. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 98] Автор : WladN Дата : 31.08.2016 10:59 Евгений,а с влюченым увч вы ни его динамику намерили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 99] Автор : rz3qs Дата : 31.08.2016 11:15 с влюченым увч вы ни его динамику намерили C УВЧ все в норме, вариант давно проверен, BFG135, в районе 12 дБ усиления. На схеме, та которая в доступе, не показан огород до входа АЦП, там думаю есть резерв, монтаж крайне мелкий, неохота ковырять, необходимый минимум я сделал, а дальше будет видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 100] Автор : rz3qs Дата : 31.08.2016 21:58 Испытал в минитесте по средам. В целом доволен. https://youtu.be/lJD54oXqTp8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 101] Автор : DVE Дата : 01.09.2016 23:53 Sorry за ламерские вопросы. Кто знает, подскажите, а плату 1.1 можно использовать для приема "как есть", или надо как-то антенный вход согласовывать? Смотрю цену на "голую" board 1.1, ?199.00 вполне заманчиво, учитывая 50МБит и 14бит. С УКВ-конвертером кстати никто не использовал? И если кто заказывал, откуда идет посылка, из Китая или EU/Штаты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 102] Автор : rz3qs Дата : 02.09.2016 00:58 откуда идет посылка, из Китая или EU/Штаты? Из Словении. Кино про PureSignal. https://youtu.be/eECiwHu0vOY Отвечаю на вопрос про переделку входа под 50 Ом, пока сделал самое простое, дальше будет видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 103] Автор : RC7H Дата : 02.09.2016 08:56 Вот это то ,что прошу на соседней ветке уже 2-й год,многие пишут да зачем это кому то нужно. При выходе 1квт однозначно ,нужно. Хотелось бы кино подобное об фазовом подавлении шума. Я так понял Вы используете переключаемый аттенюатор на вх второго канала,что за марка? Еще вопрос,микрофон ч-з компьютер или на плату непосредственно? Еще один возник вопрос ,на 160м плата работает?,а то вижу на сайте произв. про трансивер написано 80-10м(может просто ум там неработает на 160 или ошибка) С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 104] Автор : RW6HCH Дата : 02.09.2016 09:44 для самодельщиков https://translate.googleusercontent.com/translate_c?depth=1&hl=ru&prev=search&rurl=translate.google.ru&sl=de&u=http://forum.cq-nrw.de/viewtopic.php%3Ff%3D17%26t%3D57&usg=ALkJrhjDrbv-NCmoKOrlPniuRJNuGWMFkg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 105] Автор : rz3qs Дата : 02.09.2016 09:46 Вы используете переключаемый аттенюатор на вх второго канала,что за марка? Самодельный, он нужен на первом этапе, потом заменяем на постоянный с известным затуханием. Резисторы маломощные в нем, основное ослабление происходит в ответвителе. микрофон ч-з компьютер или на плату непосредственно? На плате нет микрофона, в комп, через звуковуху, и прием через нее. вопрос ,на 160м плата работает? Не только на 160, но и на 137 кГц тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 106] Автор : DVE Дата : 02.09.2016 11:26 А как Board 1.1 по приему в плане чувствительности, с другими SDR не сравнивали? Смущает отсутствие аттенюатора и УВЧ на входе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 107] Автор : RC7H Дата : 02.09.2016 13:01 Насчет микрофона интересно,как же он будет выполнен в трансивере,по фото на передней панели. Ответвитель расчитывали на 1квт?,если да то интересует схема!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 108] Автор : RW6HCH Дата : 02.09.2016 13:27 Насчет микрофона интересно,как же он будет выполнен в трансивере,по фото на передней панели. В микроUSB разьем вставят китайскую звучку ввиде свистка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 109] Автор : rz3qs Дата : 02.09.2016 20:31 Сегодня прикупил у Юрия R3KBL такую платку. Думаю получится хорошая связка с Red Pitaya. Кому будет интересно, то процесс подключения выложу. Вся инфо по плате здесь http://sdrham.ru/preselektor-hiqsdr/. Пока собираю комплектацию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 110] Автор : RC7H Дата : 03.09.2016 09:03 недумаю,что так насчет китайской звук...,но будем посмотреть!!!;-) Напишу постараюсь провентилировать этот вопрос!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 111] Автор : RW6HCH Дата : 03.09.2016 10:27 Я думаю, что там другая и не нужна -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 112] Автор : Kia2700d Дата : 09.09.2016 00:09 только что получил уведомление, что Павел Демин добавил инфу о формировании телеграфа в прошивке. У него получились посылки с красивейшими фронтами и спектром, благодаря оконной функции Блэкман-Харрис. чувствуется грамотный подход к делу, и это радует. http://pavel-demin.github.io/red-pitaya-notes/img/cw-signal.png 242503 http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/#cw-functionality -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 113] Автор : RC7H Дата : 09.09.2016 12:15 Вот еще блоксхема трансивера от hamlab http://wiki.redpitaya.com/index.php?title=HAMLAB С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 114] Автор : rz3qs Дата : 11.09.2016 10:03 В программе mRX с RP начал работать измеритель мощности и КСВ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 115] Автор : rz3qs Дата : 13.09.2016 21:26 Читая тему SS2 решил глянуть, а что имеет RP по IMD3 от входного уровня. Вот получилась такая картина. Чисто мой вывод, жить можно, и вполне неплохо, плюс еще есть резерв, пока не готов к убийству АЦП в изысканиях, смотрю на чашу весов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 116] Автор : DVE Дата : 16.09.2016 02:09 Не могу не похвастаться :) Запустил сейчас WSPR на Red Pitaya Board на 7МГц. Абсолютный (для меня) рекорд: 1400км на комнатную "магнитную рамку" и мощности 10мВт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 117] Автор : Kia2700d Дата : 16.09.2016 07:36 Запустил сейчас WSPR на Red Pitaya Board на 7МГц. Поздр с рекордом. Это тот wspr, что одновременно на 8-ми диапазонах работает? http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-wspr/ Тогда почему только на 7 МГц ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 118] Автор : DVE Дата : 16.09.2016 08:59 Спасибо. Да, wspr этот (только я запускал по-другому, без отдельного образа SD-карты). 8 диапазонов - это на прием, на передачу только 1. Ну и удобно конечно, что все работает совершенно автономно, без компа. Правда есть нюанс, если кто захочет повторить - со штатным блоком питания Red Pitaya Board на передачу не работает совсем (сигналы я сравнивал тут http://www.radioscanner.ru/forum/topic49134-3.html#msg1272869). В итоге, запитал плату просто от USB. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 119] Автор : UT4LW Дата : 16.09.2016 09:37 rz3qs, Евгений, я попрошу Вас произвести измерения шумов Ваших приемников (DDC), как в этом сообщении (http://www.cqham.ru/forum/showthread.php?31517-%D2%F0%E0%ED%F1%E8%E2%E5%F0-SunSDR2-%F2%E5%EC%E0-%B94&p=1302277&viewfull=1#post1302277) и добавить их в таблицу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 120] Автор : rz3qs Дата : 17.09.2016 12:51 Для общего развития. http://www.qsl.net/yo4tnv/docs/SDR/An%20Introduction%20To%20HF%20Software%20Defined%20Radio%20-%20Andrew%20Barron.pdf https://www.tapr.org/pdf/DCC2015-whole-thing.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 121] Автор : Kia2700d Дата : 17.09.2016 17:11 Павел продолжает интенсивно добавлять функции в прошивку. например поддержку кодеков WM8731 и TLV320AIC23B (https://github.com/pavel-demin/red-pitaya-notes/pull/348) непонятно как эти кодеки подключать. наверное где-то идет обсуждение, но я не знаю где. м.б. это подготовка к выпуску трансивера HAMLAB.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 122] Автор : Serg Дата : 17.09.2016 17:23 непонятно как эти кодеки подключать А они там не встроены? Где-то было же перечисление имеющихся на плате низкоскоростных ЦАП-АЦП... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 123] Автор : DerBear Дата : 17.09.2016 17:24 Павел Дёмин засветился на форуме радиосканнера. Надо его сюда позвать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 124] Автор : rz3qs Дата : 17.09.2016 17:32 имеющихся на плате низкоскоростных ЦАП-АЦП... Два канала, Analog input 0-1, измеряют прямую и обратную мощность, соответственно и КСВ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 125] Автор : Kia2700d Дата : 17.09.2016 17:33 А они там не встроены? Где-то было же перечисление имеющихся на плате низкоскоростных ЦАП-АЦП... низкоскоростные цапы это наверное на ножках FPGA (AOF0..AOF3). а кодеков нет на плате схема 243121 Надо его сюда позвать... а Павел разве пишет по-русски? Я так понял что он занимается физикой где-то в Бельгии. интересно откуда корни растут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 126] Автор : rz3qs Дата : 17.09.2016 20:21 Поигрался с Diversity. Работает и если повезет, помеха и сигнал станции идут с разных направлений, то эффект впечатляет. На записи оказали помощь неизвестные "музыканты". https://youtu.be/2adv-ZoiieA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 127] Автор : DVE Дата : 18.09.2016 03:53 Может кому интересно. Запустил wspr-маяк на Red Pitaya. Антенна комнатная, Magnetic Loop, подключена просто на выход Red Pitaya, мощность соответственно 10мВт. Маяк отработал сутки на частоте 7040МГц: в результате 46 спотов, из них 12 уникальных. Максимальная дальность - 1400км с SNR -22. Wspr-маяк по проекту Павла http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-wspr/ с небольшими косметическими доработками (запуск на скрипте python вместо cron, и я отказался от отдельного образа SD-карты т.к. это неудобно). График дальности от времени суток (UTC): -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 128] Автор : rz3qs Дата : 18.09.2016 04:41 Глядя на эти картинки и взяв калькулятор в руки получил прибавку динамического диапазона по блокированию RP 8 дБ. Это я к чему, может кто попытается подключить трансформатор к АЦП напрямую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 129] Автор : Kia2700d Дата : 18.09.2016 04:54 ага, теперь вижу что за кодек добавили: http://www.mikroe.com/add-on-boards/audio-voice/audio-codec-proto/ инфа из этого сообщения: http://www.radioscanner.ru/forum/topic49134-3.html#msg1272975 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 130] Автор : RC7H Дата : 18.09.2016 11:12 Еще пару ссылок Последняя версия SD HPSDR автономной https://www.dropbox.com/sh/5fy49wae6xwxa8a/AAD3bGp3ptaQ-VE-adG1SijKa/sdr/ecosystem-0.95-1-6deb253-sdr-transceiver-hpsdr.zip?dl=1 http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 131] Автор : rz3qs Дата : 20.09.2016 06:31 Еще одно действо паяльником. Результат в кино. https://youtu.be/2CLvxzI3r6Q https://youtu.be/wPPyIlq3DP4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 132] Автор : WladN Дата : 20.09.2016 07:42 Евгений,что за микрухи стоят в УВЧ и АЦП 2х14 бит. Хотелось бы на параметры глянуть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 133] Автор : UR3IQO Дата : 20.09.2016 08:26 что за микрухи стоят в УВЧ и АЦП 2х14 бит Картинки http://imgur.com/a/AuYWf АЦП LTC2145, драйвер LTC6403 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 134] Автор : R5AU Дата : 20.09.2016 10:24 Еще одно действо паяльником... Евгений, а что разорвали и что закоротили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 135] Автор : rz3qs Дата : 20.09.2016 10:27 что разорвали и что закоротили? SENSE (Pin 63): Reference Programming Pin. Connecting SENSE to VDD selects the internal reference and a ±1V input range. Connecting SENSE to ground selects the internal reference and a ±0.5V input range. An external reference between 0.625V and 1.3V applied to SENSE selects an input range of ±0.8 • VSENSE. Было Pin63 ADC GND, стало VDD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 136] Автор : R5AU Дата : 20.09.2016 10:36 Если диапазон "сверху" стал больше , то что изменилось "снизу" ? Или это начальная установка для измерительной оснастки ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 137] Автор : rz3qs Дата : 20.09.2016 10:46 что изменилось "снизу" ? Потерял около 0.5 dB MDS. Или это начальная установка для измерительной оснастки ? Про это не знаю, мне в трансивере только нужен измеритель VNA, постоянку по входу я закоротил на землю, осцилл не нужен. Да, еще из входной цепи убран повторитель AD8066, стоял перед LTC6403. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 138] Автор : RC7H Дата : 20.09.2016 11:42 Про это не знаю, мне в трансивере только нужен измеритель VNA, постоянку по входу я закоротил на землю, осцилл не нужен. Да, еще из входной цепи убран повторитель AD8066, стоял перед LTC6403. Это перепайкой чисто резистора?или он просто один выпаиваеш и ставиш с нулевым сопротивлением в качестве перемычки? Или еще что то нужно перепаивать? Покажите на плате ,если что то какие действия нужно выполнить? Просто не за горами уже и трансивер ,хочу понять,что нужно сделать для более качественной работы на нем. Измерительный комплекс неинтересует совсем,для этого есть стационарные приборы!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 139] Автор : rz3qs Дата : 20.09.2016 11:49 Покажите на плате ,если что то какие действия нужно выполнить? Вот придет трансивер, вытащите плату и будут понятны мои фото. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 140] Автор : RC7H Дата : 20.09.2016 11:57 Ясно ,тогда будут вопросы позже,надеюсь неоткажите. C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 141] Автор : UT4LW Дата : 20.09.2016 12:03 перед LTC6403 Надо его обходить как-то. Или выпаять его, а вместо него распаять согласующие цепи. Тогда можно добавить к BDR еще 5-6 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 142] Автор : RC7H Дата : 20.09.2016 13:25 Юрий я постараюсь одновременно с трансивером ,что бы платка подошла,на платке и буду побовать и менять ее на трансиверную и сравнивать!!! На зиму будет,чем заняться!!! Тем более ,что схемы есть,ну и после получения трансивера попробую с тезкой связаться и с Ним консультироваться,судя по форумам он неплохо отвечает!!! Ну а програмист судя по всему просто от бога!!! Жаль ,что у нас там(на соседней ветке) проэкт закрытый,нехватает там таких ребят и оч здорово нехватает. С Уважением Павел!!! Добавлено через 16 минут(ы): Немного добавлю...Мы конечно более практики ,чем програмисты,жаль тема интересная ,но поздно оч плотно заняться програмированием. Завидую белой завистью молодым или точнее более завязаным на програмировании!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 143] Автор : RN3KK Дата : 20.09.2016 15:27 Завидую белой завистью молодым или точнее более завязаным на програмировании!!! Завидовать особо нечему. Утомительная работа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 144] Автор : RC7H Дата : 21.09.2016 13:40 Да я ведь завидую от того ,что у них на это есть время осваивать,у нас его просто уже нет.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 145] Автор : ra3gn Дата : 21.09.2016 14:34 Если есть результат от работы, то она не является утомительной. С уважением. Владимир -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 146] Автор : hOne Дата : 21.09.2016 22:46 Давно хотел познакомится с DDC. Долго присматривался и благодаря этой теме решил купить Red Pitaya! Замечал эту плату и раньше, но так как ее основная функция не КВ прием, сомневался в качестве последнего. По своему уникальное изделие за счет совмещения FPGA и ARM - можно делать автономный аппарат без привязки к компьютеру. Можно к примеру, записывать, мониторить эфир круглосуточно и опять же, без компьютера. Приемник, передатчик, измерительные приборы - да это просто находка за такую цену! В общем загорелся:-P, буду покупать ближе в новому году) И да, ждите пополнения в ваши ряды программиста:-P Первым делом сделаю поддержку сего девайса в UnoSDR, а далее видно будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 147] Автор : Kia2700d Дата : 21.09.2016 23:07 H0ne Если немножко перелопатить фронтенд, по методу rz3qs, то получится отличный TRX для работы на КВ. Некоторые из перечисленных плюшек RP уже имеет, например автономный трансивер. где-то даже контроллер был для нее на Дискавери DISCO (не Завидовского) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 148] Автор : romanetz Дата : 22.09.2016 04:26 Эта плата может передавать в комп (для websdr) всю полосу с АЦП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 149] Автор : Kia2700d Дата : 22.09.2016 05:02 Эта плата может передавать в комп (для websdr) всю полосу с АЦП? нельзя всю. скорость накопления данных с АЦП зашкаливает. 125MSPSx14bit = 1750 мбит + overhead. И это только один канал. а более быстрого интерфейса чем гигабитная сеть на плате нет. и потом, PA3FWM все равно не делится кодом для GPU. вроде -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 150] Автор : Serg Дата : 22.09.2016 10:07 Эта плата может передавать в комп (для websdr) всю полосу с АЦП? Может передавать 6 кусков эфира по 192кГц в протоколе Hermes, дальше запускаете на win-компе skimmer-server, cwsl_tee и утилиту которая отдает в линукс и веб-сдр эти куски по tcpip. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 151] Автор : romanetz Дата : 22.09.2016 10:47 Я принял инфу к сведению, но все же вопрос прежний, оцифровка всей доступной полосы, ограниченной пропускной способностью сети. Понятно, что нужно либо в плиске децимировать, либо тактовую у ацп понизить. Хочется на широкополосный вебсдр технологию отработать. Пока что я вебсдр с виртуальной звуковой картой заставлял работать в полосе 31 МГц, надо с реальным сигналом проверить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 152] Автор : Kia2700d Дата : 22.09.2016 13:56 Вам нужна плата "Минерва" из проекта HPSDR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 153] Автор : rz3qs Дата : 25.09.2016 17:08 Еще одну платку RP "замодифицировал". Результат на двух платах 1:1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 154] Автор : Kia2700d Дата : 25.09.2016 19:27 Евгений, в этот раз очень аккуратно получилось! вход через транс подключен к AD8066? A что поменялось на нижней стороне? если можно напишите пошаговую инструкцию для чайников :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 155] Автор : UR3IQO Дата : 25.09.2016 20:32 вход через транс подключен к AD8066? Там же ж видно, что ADшки выпаяны (снизу платы), а вход через транс прямо на драйвер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 156] Автор : rz3qs Дата : 26.09.2016 11:19 напишите пошаговую инструкцию Думаю все понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 157] Автор : Kia2700d Дата : 30.09.2016 05:48 намотал автотрансформатор на таком бинокле, эмалированным проводом. ровная характеристика по всей полосе, начиная от 1 МГц. а ниже не работает транс (или не меряет анализатор?). вот так получилось нагруженным на резистор 420 ом: 244115 наверное можно впаивать в питательную плату -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 158] Автор : rz3qs Дата : 30.09.2016 08:29 Сегодня провел QSO SSB с EU2AV, у меня пока QRP, слышно слабовато, но слышно, Юрий записал немного. Чем примечательно это рядовое QSO, прием и соответственно микрофон на передачу шел через кодек WM8731. https://youtu.be/fGrQ1A25zF4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 159] Автор : R5AU Дата : 30.09.2016 09:12 Чем примечательно это рядовое QSO, прием и соответственно микрофон на передачу шел через кодек WM8731. Евгений, а в чём примечательность этого кодека ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 160] Автор : rz3qs Дата : 30.09.2016 09:36 а в чём примечательность этого кодека Про "примечательность" не знаю, характеристики обычные, нормальные для нашего дела, плюс продаются готовые платки, накинул проводки между кодеком и RP, все. Я правда добавил УНЧ на TDA1517, на колонки очень хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 161] Автор : R5AU Дата : 30.09.2016 09:42 Евгений понятно, спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 162] Автор : DerBear Дата : 30.09.2016 10:13 rz3qs,кодек напрямую цепляется к плате? Есть схема подключения, и как она прописывается в ОСи? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 163] Автор : R5AU Дата : 30.09.2016 10:24 RP схема здесь (http://wiki.redpitaya.com/index.php?title=Red_Pitaya_Board_HW_Schematics) 244126 WM8731 от "цирроз лоджик" здесь (https://www.cirrus.com/cn/pubs/proDatasheet/WM8731_v4.9.pdf) 244127 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 164] Автор : rz3qs Дата : 30.09.2016 10:59 rz3qs,кодек напрямую цепляется к плате? Да. Есть схема подключения, и как она прописывается в ОСи? Схема конечно есть, как прописывается не знаю, не мой уровень. Я лишь записал готовый файл на микроSD, вставил в RP и включил питание, все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 165] Автор : pavel-demin Дата : 30.09.2016 11:25 Есть схема подключения, и как она прописывается в ОСи? В ОСи никак не прописывается. Интерфейс сделан в ПЛИС. Добавил схему: http://pavel-demin.github.io/red-pitaya-notes/img/sdr-transceiver-hpsdr-e1-pins.png и несколько комментариев и ссылок на поддерживаемые кодеки: http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/#i2s-connections (http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/#i2s-connections) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 166] Автор : DerBear Дата : 30.09.2016 11:51 Здравствуйте Павел. Продублирую свой вопрос на этом форуме. Питайя, пожалуй единственное бюджетное решение с 2-я АЦП на борту. Я понимаю важность предыскажений, но её актуальность для большинства пользователей/конструкторов (реальных и потенциальных) думаю не является определяющей. Предполагаю, что для многих вопрос подавления местных помех стоит на первом месте, нежели предыскажения по передачи. Более-менее вменяемый передатчик и так есть у каждого на столе в трансивере. А вот приёмника с активным шумо-подавлением нет ни у кого. Приёмники DDC типа уже не являются чем-то редким и недоступным, но все они одно канальные и подавления шумов ни у кого ещё нет в законченном виде, тем более за такие небольшие деньги. Реализована ли эта функция или планируется она в ближайшем будущем? Для себя, сейчас считаю единственным и важным мотиватором на покупку этой платы - это возможность фазового подавления шумов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 167] Автор : pavel-demin Дата : 30.09.2016 12:25 Здравствуйте Павел. ... подавления шумов ни у кого ещё нет в законченном виде, тем более за такие небольшие деньги. Реализована ли эта функция или планируется она в ближайшем будущем? Для себя, сейчас считаю единственным и важным мотиватором на покупку этой платы - это возможность фазового подавления шумов. Здравствуйте, Александр. Насколько я знаю, функция фазового подавления шумов реализована в PowerSDR mRX PS, и эта функция работает с Red Pitaya. Евгений недавно выкладывал отличное видео, показывающее эту функцию в действии: http://www.cqham.ru/forum/showthread.php?33480&p=1302880#post1302880 Вот прямая ссылка на видео: https://www.youtube.com/watch?v=2adv-ZoiieA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 168] Автор : ra3gn Дата : 30.09.2016 13:28 to rz3qs Евгений, интересно, что западные радиолюбители купили плату и с паяльником полезли дорабатывать? Или есть вариант, который не требует доработки. С уважением. Владимир. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 169] Автор : Kia2700d Дата : 30.09.2016 13:36 Более-менее вменяемый передатчик и так есть у каждого на столе в трансивере. А вот приёмника с активным шумо-подавлением нет ни у кого. Одно другому не мешает :). Передатчиков с продуктами ИМД на уровне -60 дБ тоже пока совсем мало. У TS-120 в начале 80х было -30 дБ , и с тех пор ничего не менялось до появления PureSignal. Даже немног стыдно за кенвуд/есу/айком :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 170] Автор : DerBear Дата : 30.09.2016 21:25 Напомните пожалуйста, под какое железо с 2-я каналами приёма HPSDR писалось? На плате гермеса вроде как 1 ADC... Получается, что плата питайи - единственное решение с фазовым подавлением? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 171] Автор : Serg Дата : 30.09.2016 21:32 На плате гермеса вроде как 1 ADC... Анжелия вроде и ее киевские клоны DUCSI, которые не понятно родились или умерли... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 172] Автор : R2DHG Дата : 30.09.2016 21:37 ANAN-100D ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 173] Автор : Kia2700d Дата : 30.09.2016 22:37 под какое железо с 2-я каналами приёма HPSDR писалось под анджелию (https://apache-labs.com/al-products/1031/Angelia-Assembled--Tested.html) ($1495 !!!!!) и трансивер anan-200D. еще есть двухканальный afedri, но там только приемник и тоже по цене не подходит.. Добавлено через 48 минут(ы): переделал один канал по методу RZ3QS. ну и ювелирная работа... немного подплавил пластмассовый конектор :( вот такой импеданс/ксв по входу получился 244183244184 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 174] Автор : rn6xc Дата : 30.09.2016 22:58 RK6AJE, Angelia Добавлено через 11 минут(ы): под анджелию ($1495 !!!!!) Если собирать самому, то обходится вдвое дешевле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 175] Автор : rz3qs Дата : 30.09.2016 23:31 вот такой импеданс/ксв по входу получился Или есть ошибки измерителя, или еще чего, но КСВ по входу 1.5-50 МГц у меня 1.3-1.4. PS. Видно ошибку с перемычкой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 176] Автор : Kia2700d Дата : 01.10.2016 03:46 спасибо, с перемычкой упустил момент :oops: "измеритель" проверил. но ксв все равно немного растет с частотой. от 1.22 до 2.23. импеданс между 61 и 41 ом. судя по этому графику может быть так и должно быть? http://wiki.redpitaya.com/index.php?title=File:Input_impedance_of_fast_analog_inputs.png -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 177] Автор : rz3qs Дата : 02.10.2016 12:01 Может кому пригодится. Активация приложений в RP когда интернет идет через USB модем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 178] Автор : DerBear Дата : 03.10.2016 16:25 Уболтали чертяки! :ржач: Заказал и я плату. Будем пробовать. Основное направление применения - это подавление местных помех фазовым методом. В качестве передатчика есть обычный трансивер. Интересует межканальная изоляция портов и конфигурация антенн. Что бы подавить эффективно местные помехи на сколько надо разносить антенны? Сейчас используется "удочковый" вертикал на 20м (планирую ещё удочки на 30м и 40м диапазоны). Для подавления местной помехи (7-9 баллов шумовая дорожка) надо вспомогательную маленькую антенну или вторую полноразмерную вертикальную антенну? Вопрос к rz3qs, для чего вы делаете автотрансформатор 1:9 на входе LTC6403? По даташиту включения микросхемы, вроде 50 Ом и так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 179] Автор : Kia2700d Дата : 03.10.2016 16:41 Интересует межканальная изоляция портов у меня получилось примерно 44 дб на 19 мгц из вики: Input channel isolation: typical performance 65 dB @ 10 kHz, 50 dB @ 100 kHz, 55 dB @ 1 M, 55 dB @ 10 MHz, 52 dB @ 20 MHz, 48 dB @ 30 MHz, 44 dB @ 40 MHz, 40 dB @ 50 MHz. (C) Что бы подавить эффективно местные помехи на сколько надо разносить антенны? наверное можно начать с магнитной рамки в комнате или на балконе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 180] Автор : RZ1ZR Дата : 03.10.2016 16:55 тоже заказал :-P и также вопрос к rz3qs по трансформатору, как сильно скручивали провод, и что за провод применяется, но фото смотрю в шелковой изоляции ? про диаметр понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 181] Автор : DerBear Дата : 03.10.2016 17:15 Как думаете, для улучшения подавления соседнего канала, будет ли смысл в дополнительном полном экранировании каждого канала вместе с трансформатором от микросхемы АЦП вплоть до SMA разъёма? Предполагаю, что скручивание провода не очень сильно влияет на коэф. передачи. А так, обычно 3-5 витков на сантиметр. Провод 0.15-0.25. Есть мысли попробовать сделать полную гальваническую развязку каналов с дифф включением входа с применением трансформаторов от "минициркулей". тест -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 182] Автор : RC7H Дата : 03.10.2016 17:51 По моим оценкам(они не академические),самый лучший вариант, когда (противофазка) резонансная!!! Но очень неплохой вариант даже широкополосая магнитка(я имею ввиду магнитные рамки их вращаем на помеху). Если же кинете просто провод неизвестной длинны ,то увы очень будете разочарованы!!! Успехов в освоении,с Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 183] Автор : DerBear Дата : 03.10.2016 18:05 У меня уже стоит GP 1/4 вертикал. Я могу поставить в 5...25 метрах ещё один такой же или поставить маленькую вертикальную антенну типа зонд или, даже, Mini-Wipe. И, подозреваю, опыта работы с фазовыми подавителями помех практически ни у кого нет? Самому придётся нащупывать методом проб и ошибок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 184] Автор : UT4LW Дата : 03.10.2016 18:15 Я много занимался аналоговыми фазовыми подавителями. Однозначного ответа тут не будет. Если у Вас вертикал на 14 МГц, например, то поставьте метрах в 6-7 диполёк 2х2м в качестве второй антенны и расположите его так, чтобы он минимально принимал излучение GP. Можно просто кусок провода попробовать... Тут только экспериментировать. Но главное не забывайте - дополнительная антенна должна подключаться на вход второго АЦП через защитный блок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 185] Автор : RZ1ZR Дата : 03.10.2016 19:22 подскажите пожалуйста реле на 12 вольт для коммутации rx/tx и ФНЧ ватт до 50и Добавлено через 57 минут(ы): вопрос решен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 186] Автор : RC7H Дата : 04.10.2016 14:39 Тут Юрий правильно в общем высказался ,все зависит от Ваших условий. Для моих и кстати не только,я уже высказался. Просто есть несколько случаев советов по mfj-1026(использовал с 2009 почти до 2015)(писали ,что почти нет результатов). Кстати потом они появились. C 2015 другой от Крымчанина(есть тема здесь моя,поищите),хотел сначала DXE купить,но цена как оч неплохой трансивер,отказался от этой затеи. Получу трансивер ,подключу,сделаю выводы(об том ,как работает фазовое подавление,как долго его крутить). Естественно в моих условиях,тут есть с чем сравнить!!! Ну насчет реле тут задавали вопрос ,косвенно могу ответить,просто у меня в ум(expert-1kfa)при работе автотюнером(постоянная перестройка) не более 200вт реле на 16а240в 12в питание,в фиксированном 1000вт. Опять же тема не этого форума,ну правда,проще купить готовую платку на например eb104,чем сделать,а тем более настроить(это мое мнение). Да кстати ,конечно это не для всех пишу,тут ненужно забывать ,что попытка на любой фнч(хоть 50вт ,хоть 100вт)сунуть длинный оч неплохой и кстати работающий с автотюнером(железный трансивер) провод,непрокатит,погорит все!!! Фнч это не тюнер!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 187] Автор : DerBear Дата : 05.10.2016 17:48 Мужики, для тех кто планирует заказать платку на офф магазине, рассказываю про одну нездоровую херню. Я заказал плату с Экспресс-доставкой TNT (Единственный вариант Экспресс-доставки на сайте). Это 200 евро за плату + 36 евро за курьерскую почту. (Пайпал снял 17340р) Сижу потираю руки, жду плату к концу недели, пишу в сапорт "где трек?". Отвечают, что ТНТ в Россию индивидуально не доставляют грузы. (Врут поди? Есть у нас Питере офис ТНТ) А отправили они плату регулярной почтой Словении. (Что за...???) Вопросы: Куда улетели 36 евро? Куда приедет посылка, если я указал НЕ домашний адрес и это будет доставка почтой России по итогу? (На рабочий адрес у нас приезжают курьеры обычно и звонят, что бы забрали груз) Начинать шуметь им в сапорт, требовать 36 евро взад или подождать? С обычной доставкой тоже не всё гладко. Товарищ, который заказал плату практически параллельно со мной, надеюсь, отпишет свой опыт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 188] Автор : Kia2700d Дата : 05.10.2016 19:04 Когда все уляжется можно вежливо попросить вернуть разницу между экспресс и обычной доставкой. В ветке Гермес описан печальный случай после которого апачи перестали отсылать что-либо в Россию. Кто-то уж очень сильно надавил на "саппорт" :( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 189] Автор : R6YY Дата : 05.10.2016 20:39 ...А отправили они плату регулярной почтой Словении... Александр! Вы не спросили, почему в форме заказа отсутствует обычная доставка, а есть только ТНТ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 190] Автор : DerBear Дата : 05.10.2016 21:52 Сергей, в форме заказа вроде бы была доставка обычной почтой, но я её не нашел, была установлена по умолчанию экспресс-доставка. Понять что ТНТ - это экспресс курьер, можно только по довольно большой цене ТОЛЬКО В КОНЦЕ оформления. О возможности обычной доставки и всех прелестях я узнал только вчера от RZ1ZR. Но и там, как оказалось, не всё гладко. В других интернет-магазинах расписывают всё максимально подробно, что бы не было разночтений. А тут и сайт и формы страниц заказа оформлен до безобразия отвратительно и скудно! В общем, кто будет заказывать платку - будьте предельно внимательны и аккуратны! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 191] Автор : rz3qs Дата : 05.10.2016 22:20 В общем, кто будет заказывать платку Делал все как обычно, в моей деревне нет ТНТ, т.е. про доставку курьером и не думал. Треки приходили через SMS на телефон. Словения -Москва 3 дня, Москва-Воля 10-12 дней. Все цело и работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 192] Автор : RZ1ZR Дата : 06.10.2016 07:06 Делал все как обычно, в моей деревне нет ТНТ, т.е. про доставку курьером и не думал. Треки приходили через SMS на телефон. Словения -Москва 3 дня, Москва-Воля 10-12 дней. Все цело и работает. за доставку сколько заплатили ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 193] Автор : rz3qs Дата : 06.10.2016 09:01 ?36.1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 194] Автор : RZ1ZR Дата : 06.10.2016 10:52 ?36.1 44$ с меня взяли --- вот такую структуру планирую сделать на базе RP 244616 и попутно вопрос, Pure Signal используется с rx1 или rx2 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 195] Автор : Serg Дата : 06.10.2016 11:18 rz3qs, вы не пробовали испытать, на сколько там фазовое подавление действенное, если скажем на оба входа через перемычку или сплиттер подать кварцованный тон, а потом сигнал с ГШ, было бы интересно глянуть на возможности подавления узкого и шумоподобного сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 196] Автор : Kia2700d Дата : 07.10.2016 03:06 можно такой тест сделать. есть генератор шума на стабилитроне, и кварц.. но было же видео о том как работает подавление в живом эфире. ОМ, имеет ли смысл заниматься таким О..М? :) кстати питаи закончились на официальном сайте и на маузере ноль в наличии (недавно было несколько десятков) http://www.mouser.com/ProductDetail/Red-Pitaya/001 остались киты с блоком питания за доп. $ http://www.mouser.com/ProductDetail/Red-Pitaya/002 и с щупами получается железо надо ковать не отходя от кассы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 197] Автор : RZ1ZR Дата : 07.10.2016 05:32 получается железо надо ковать не отходя от кассы можно еще тут взять дешевле и доставка копеечная https://www.elektor.com/red-pitaya-instrument -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 198] Автор : DVE Дата : 07.10.2016 09:25 остались киты с блоком питания за доп. $ http://www.mouser.com/ProductDetail/Red-Pitaya/002 Кстати штатный блок питания для радио не пригоден вообще - при работе на передачу лес палок вместо спектра с этим БП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 199] Автор : RC7H Дата : 07.10.2016 11:37 Вот еще на платку ,по ценам несравнивал!!! http://www.dxnewradio.com/product_info.php?products_id=602 В магазине у них покупал sark110,дошло быстро!!! По моему в цену уже включена доставка по России,или доставка из за рубежа,просто давно это было ,точно непомню... Спрашивайте у них,русский точно понимают и нормально отвечают!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 200] Автор : DerBear Дата : 07.10.2016 11:43 25 и 18 тыс... совсем сравнимые цены... Я на 7 тыс куплю ещё деталей на усилитель и преселектор лучше. :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 201] Автор : RC7H Дата : 07.10.2016 13:26 Да я нисколько не против,я просто дал ссылку ,когда я брал прибор это года 3 тому,особой разницы не увидел. Я думаю написать и спросить никто не отменял. Так что каждому самому решать. Ну а производитель (ну я так надеюсь),максимально уже (заточен) на выполнение своих обязательств по поставке к концу октября трансиверов!!! Так что думаю на его сайте пока оч долго небудет плат в продаже.... Ну и прогнозировать некоторое увеличение цен ,я думаю труда не составит. Они ,судя по интересу,только будут расти. Ну например ,я брал одним из первых sunsdr2 по моему за 26K,а сколько сейчас? Так ,что берите сейчас,потом не позволит (жизнь). С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 202] Автор : DerBear Дата : 07.10.2016 13:30 Есть предположение, что когда все V1.1 распродадут, то начнут клепать v1.2, и она, возможно, будет лучше и дешевле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 203] Автор : RC7H Дата : 07.10.2016 14:21 К сожалению,ну судя по опыту,не станет!!! Просто самому может непридется допиливать. Вот увидите ,будет только дорожать!!! Добавлено через 34 минут(ы): Немного добавлю ,тогда zs-1 можно было заказать за 14тр Отсюда и выводы!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 204] Автор : ra3gn Дата : 07.10.2016 17:59 Я думаю, это не кусок хлеба и всем не нужен. Конечно это стоит дорого и будет стоить дешевле. Инфляция -это ожидание "колапла". С уважением. Владимир -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 205] Автор : RZ1ZR Дата : 08.10.2016 19:26 а нет ли у кого именно принципиальной схемы данного узла ? 244778 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 206] Автор : DerBear Дата : 08.10.2016 19:48 Драйвер LTC6403 в стандартном скорее всего включении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 207] Автор : RZ1ZR Дата : 08.10.2016 20:09 Драйвер LTC6403 в стандартном скорее всего включении. не достаточно, нужна именно схема, по печатке понять не могу ))) а драйвер вроде AD8066. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 208] Автор : DerBear Дата : 08.10.2016 20:18 Даташит: http://cds.linear.com/docs/en/datasheet/64031fa.pdf ТЫЦ (https://www.arrow.com/en/reference-designs/dc1147a-e-demo-board-for-the-ltc6403-1-16-bit-differential-adc-driver/ea4f609e01a57ab317e471658d3e22aa) Немецкий форум (http://www.qrpforum.de/index.php?page=Thread&threadID=10089&pageNo=3) Ещё видео по фазовому подавлению. (https://www.youtube.com/watch?v=EhmRWZEYPZc&feature=youtu.be) Концепт! (http://www.qrpforum.de/index.php?page=Thread&threadID=10089&pageNo=13) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 209] Автор : RZ1ZR Дата : 08.10.2016 20:48 Даташит: Александр, спасибо! более-менее понятно ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 210] Автор : DerBear Дата : 08.10.2016 20:52 Усилитель (http://www.dc4ku.darc.de/KW-MOSFET-PA.pdf) Потроха (https://2.bp.blogspot.com/-F16njJDKABQ/V2-T4V_Z-EI/AAAAAAAACuk/vvpw3gJ0FaQp7Vvqsafm__YMITIuccImACLcB/s1600/Red_Pitaya_Hamlab_inside.jpg) трансивера Hamlab на нашей плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 211] Автор : rz3qs Дата : 09.10.2016 13:19 В цифре не работаю, но стало интересно как ведет себя RP. Результат хороший. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 212] Автор : DerBear Дата : 13.10.2016 14:21 Коллеги, распишите как сделать SD с трансивером или приёмником? Скачал ZIP с сайта Павла. Распаковал. Там нет ни iso ни img. Как образ закатать на флешку? Загрузочный раздел там или что есть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 213] Автор : rz3qs Дата : 13.10.2016 14:36 Скачал ZIP с сайта Павла. Распаковал. На микроSD и вставил ее в RP. Включил питание на RP, секунд через 40 (начинает моргать раз в секунду крайний светодиод) можно запускать PWRSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 214] Автор : DerBear Дата : 13.10.2016 14:41 Скопировать на SD сам zip или содержимое архива? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 215] Автор : rz3qs Дата : 13.10.2016 14:42 Скопировать на SD сам zip или содержимое архива? Распаковать zip на SD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 216] Автор : Genadi Zawidowski Дата : 13.10.2016 15:06 Ispolzovat zip kak obraz? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 217] Автор : rz3qs Дата : 13.10.2016 15:16 Ispolzovat zip kak obraz? А что в этом плохого, тупо распаковал, вставил и включил, думать и делать лишние движения совсем не надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 218] Автор : Genadi Zawidowski Дата : 13.10.2016 15:21 NTFS, fat, fat32, exfat, any Linux fs types? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 219] Автор : Serg Дата : 13.10.2016 15:25 Может я отстал, а что уже такие образы есть, которым ненужно ни по секторное копирование, ни там какая-то загрузочная запись? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 220] Автор : rz3qs Дата : 13.10.2016 15:48 NTFS, fat, fat32, exfat, any Linux fs types? Это уже не мой уровень, если вопрос ко мне, я просто, согласно описанию, отформатировал новую флешку в FAT32 и остальное написал ранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 221] Автор : Genadi Zawidowski Дата : 13.10.2016 16:18 Могу предположить, встроенный загрузчик уже знает файловую систему FAT32. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 222] Автор : rz3qs Дата : 14.10.2016 00:15 Просто мысли вслух, уже неделю пикаю с мощностью 500 Вт(в мини по средам 5Вт), пока зависания RP не было,работает железно, самая ближняя антенна GP 23 метра и стоит в 2-х метрах от RP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 223] Автор : UA3RW Дата : 14.10.2016 08:15 Где сейчас можно приобрести плату? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 224] Автор : rz3qs Дата : 14.10.2016 09:09 http://store.redpitaya.com/red-pitaya-board.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 225] Автор : DerBear Дата : 14.10.2016 09:42 Доброе утро коллеги. Наконец моя многострадальная посылка попала ко мне в руки! Почта россии как всегда, ожидаемо, "на высоте". :crazy: Успел попробовать плату в режиме HPSDR-приёмника и HPSDR-трансивера, но пока без антенн. Выяснил, что в режиме 6 приёмников плата работает только с программой cuSDR и только на один вход. На PSDR - 2 приёмника тоже на 1 вход. В режиме HPSDR-трансивера в программе PSDR работают 2 независимых приёмника. В cuSDR плата с прошивкой HPSDR-трансивера не запускается. Не увидел в работе Wi-Fi, хотя, в конфиге, вроде прописана AP Redpitaya. Хочу попробовать HPSDR с телефона по Wi-Fi. 1) Как запустить плату по Wi-Fi? 2) Запускается ли плата с прошивкой HPSDR-трансивера в cuSDR в принципе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 226] Автор : DVE Дата : 14.10.2016 10:58 Как запустить плату по Wi-Fi? Как в любом Linux, надо прописать конфиг в текстовом файле, ищите по слову wpa_supplicant. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 227] Автор : DerBear Дата : 14.10.2016 12:07 Wi-Fi должен работать через внешний USB-донгл. Есть предпочтения какой или неважно? В наличии есть парочка Tenda. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 228] Автор : DVE Дата : 14.10.2016 14:25 Как повезет, у меня дома 2 разных, с одним работает, с другим нет. Списка "официально поддерживаемых" я не нашел, попробуйте поискать на forum.redpitaya.com. Командами lsusb или ifconfig можно понять, определился донгл или нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 229] Автор : Kia2700d Дата : 14.10.2016 16:17 Выяснил, что в режиме 6 приёмников плата работает только с программой cuSDR и только на один вход. по умолчанию да, но можно переназначить любой приемник на любой вход вот в этой строчке https://github.com/pavel-demin/red-pitaya-notes/blob/master/projects/sdr_receiver_hpsdr/bazaar/start.sh#L6 вариант с 6ю приемниками в основном сделан для программы CW и RTTY скиммер сервера. а cuSDR "конфетка для глаз", поиграться полдня и забыть http://store.redpitaya.com/red-pitaya-board.html написано pre-order, т.е. пока нет в наличии ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 230] Автор : DerBear Дата : 14.10.2016 20:10 Благодаря коллеге DVE выяснил, что нативно поддерживается вроде как Wi-Fi на чипе RTL8192cu\8188cu. "* Edimax EW-7811GLn, EW-7811Un, EW-7811UWn, GWU-H811GLn * Airlink101 AWLL5088 * Sitecom WL-365 * GETNET GN-521U The USB stick uses the Realtek RTL8188CUS chipset, which is supported by the kernel module rtl8192cu." Т.к. похожего пока нет в наличии, думаю сделать линк по воздуху через обычный роутер. Подскажите, как правильно пробросить линк в такой конфигурации? Плата RP подключается к роутеру по LAN. Роутер - как точка доступа. IPrp:192.168.1.100 =>LAN IPроутера какой? => WAN IPwi-fi - как точка доступа. А вот и FAQ на Офф. сайте: http://redpitaya.com/faq-page/#Hardware|23535 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 231] Автор : DerBear Дата : 14.10.2016 22:52 Звука от cuSDR реально как нибудь добиться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 232] Автор : Kia2700d Дата : 14.10.2016 23:08 попробуйте сплясать :lol: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 233] Автор : DerBear Дата : 14.10.2016 23:38 Докладываю! Опробовал сейчас фазоподавление на Си-Би. Работает великолепно! Получилось задавить в 0 помехи - немыслемое для меня раньше теперь реально! 2 человека ставят несущую, ни одного в исходном состоянии не слышно. Вращая фазу, я могу выделить то одного, то другого. Я получил именно то что хотел и на что рассчитывал! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 234] Автор : RZ1ZR Дата : 15.10.2016 09:44 пока жду плату, определился с конструкцией трансивера (http://rz1zr.ru/rp.html) критика приветствуется ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 235] Автор : UR3IQO Дата : 15.10.2016 10:14 критика приветствуется Пятиконтурные ДПФы это явно перебор. ИМХО, трехзвенных фильтров вполне хватит. ФНЧ передатчика на 160 и 80м должны быть раздельные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 236] Автор : RZ1ZR Дата : 15.10.2016 10:20 Пятиконтурные ДПФы это явно перебор. ИМХО, трехзвенных фильтров вполне хватит. в своем qth сравнивал трех и пяти, преимущества за последним и заметное. ФНЧ передатчика на 160 и 80м должны быть раздельные. здесь согласен, но так как фильтры готовые и 160 не пользую, пока остановлюсь на таком варианте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 237] Автор : uu4jca Дата : 15.10.2016 10:29 Усилитель понятно откуда. но так как фильтры готовые и 160 не пользую,Ткните носом откуда.Да и корпус симпатяга не отказался от такого.С Уважением,Сервер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 238] Автор : RU6AI Дата : 18.10.2016 11:36 http://store.redpitaya.com/red-pitaya-board.html Оплатил друг картой Маэстро..Оплата прошла..На сайте отображается где нибудь история покупок ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 239] Автор : UA3RW Дата : 18.10.2016 12:12 Кто нибудь задумывался как встроить red-pitaya в трансивер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 240] Автор : DerBear Дата : 18.10.2016 12:33 На сайте отображается где нибудь история покупок ? Отображается, только криво. На сайте почти всё сделано криво. Кто нибудь задумывался как встроить red-pitaya в трансивер? Зачем встраивать? Надо не встраивать, а делать полноценный свой трансивер. С фазоподавлением и пурай. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 241] Автор : DerBear Дата : 18.10.2016 15:49 Приехала микросхемка кодека. Только я так и не понял, как подключать её к плате RP. Схему буду делать из вот этого (http://download.mikroe.com/documents/add-on-boards/other/audio-and-voice/audio-codec-proto/audio-codec-proto-manual-v100.pdf) описания. По схеме у нас по 7 линиям идёт управление микросхемой. В даташите на микросхемы все 8 линий. Но, по ОПИСАНИЮ (http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/#i2s-connections) вижу только 4 лини. Мог бы кто более подробно расписать/нарисовать что и куда подключать надо для ввода/вывода звука? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 242] Автор : rz3qs Дата : 18.10.2016 16:29 что и куда подключать Вот. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 243] Автор : RZ1ZR Дата : 19.10.2016 21:16 пришла плата v.1.2.14 интересно какие различия с v.1.1 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 244] Автор : RZ1ZR Дата : 22.10.2016 18:10 поделюсь мнением, запустил плату, изначально трансформаторы хотел включить на прямую к ацп, не получилось, входа запаял без проблем, а вот середину трансформатора на смещение не получилось, с верху очень мелко, снизу можно, но не захотел тянуть провода через всю плату, да и схемы нет, на ощупь сложно, сделал по схеме rz3qs, параметры не измерял, но первое впечатление от приема не плохое, не разочарован ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 245] Автор : rz3qs Дата : 22.10.2016 19:36 Можно припаяться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 246] Автор : RZ1ZR Дата : 23.10.2016 10:56 Можно припаяться. именно в эти места и пытался, и радиатор снимал, не получилось, да и побоялся, возраст уже не тот :-( хотя.., возможно чуть позже попытаюсь еще раз ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 247] Автор : WladN Дата : 26.10.2016 10:47 Приехала микросхемка кодека. Интересно-заметна ли разница по задержке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 248] Автор : rz3qs Дата : 26.10.2016 11:44 заметна ли разница по задержке. Стала как у всех, т.е. проблемы со звуковыми картами можно забыть, особенно в CW (самоконтроль). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 249] Автор : RZ1ZR Дата : 27.10.2016 14:06 может кто на QUISK запустил RP, поделитесь настройками пожалуйста ! адрес прописал, но программа трансивера не видит :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 250] Автор : RZ1ZR Дата : 27.10.2016 20:13 может кто на QUISK запустил RP, поделитесь настройками пожалуйста ! адрес прописал, но программа трансивера не видит :-( трансивер увидел, нет звука :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 251] Автор : pavel-demin Дата : 28.10.2016 17:45 трансивер увидел, нет звука :-( Под какой ОС Вы запускаете Quisk? Windows или Linux? Например под Windows надо закомментировать name_of_sound_play = 'hw:1' microphone_name = 'hw:1' и раскомментировать name_of_sound_play = 'Primary' microphone_name = 'Primary' -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 252] Автор : RZ1ZR Дата : 28.10.2016 19:18 Под какой ОС Вы запускаете Quisk? Windows или Linux? Например под Windows надо закомментировать Win10, так и сделал в файле quisk_conf.py, или нужно и в других ? выбрал трансивер Hermes, хотя в настройках есть и Red Pitaya, но ее файлы конфигурации не нашел. Добавлено через 42 минут(ы): кстати, через виртуальные порты звук идет, похоже русские буквы в описании звуковых устройств не понимает.. убрал русский шрифт, все работает! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 253] Автор : R6YY Дата : 29.10.2016 10:19 Steve KA6S разработал DDC трансивер на основе плат Red Pitaya, Raspberry Pi 3, узлов фильтров и усилителя от российской EB104.ru. Контроль осуществляется программным продуктом PiHPSDR от John Melton G0ORX. Схема устройства, PCB выставлены здесь https://github.com/ka6s/rp_adapter Посмотреть видеоролик на ФБ https://www.facebook.com/dontwantemailhere/videos/vb.1345107848/10210568670396717/?type=2&theater -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 254] Автор : UA6BNJ Дата : 30.10.2016 12:23 Минимальная цена на Red Pitaya 14 бит стала 259 евро и пересылка дороже стала 49 евро, появилась версия 10 бит http://redpitaya.com/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 255] Автор : RU6AI Дата : 30.10.2016 15:44 http://store.redpitaya.com/red-pitaya-board.html здесь по прежнему 199... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 256] Автор : Serg Дата : 30.10.2016 16:01 RU6AI, Red Pitaya Board ?199.00 Out of stock (т.е. нет в наличии) Похоже теперь по 199 будет 10-битная, в общем как всегда, спрос пошел цену не опускают, а задирают... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 257] Автор : Kia2700d Дата : 30.10.2016 19:04 появилась версия 10 бит нда вчера были раки по пять, но большие, а сегодня... тоже по пять. но маленькие, но сегодня.. :shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 258] Автор : rz3qs Дата : 01.11.2016 10:06 Запустил платку на PCA9555. Работает. К описанию DC2PD добавлю, что можно управлять антенным коммутатором на 8 антенн, с выборкой приемной и передающей раздельно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 259] Автор : DerBear Дата : 01.11.2016 10:26 DDC трансивер на основе плат Red Pitaya, Raspberry Pi 3. Контроль осуществляется программным продуктом PiHPSDR Оппаньки, такая железка есть у меня! Не совсем понятно, что там за экран. Кто уже пробовал запускать? Upd: Про дисплей нашел: Оfficial Raspberry Pi 7 inch touch screen ТЫЦ (http://g0orx.blogspot.ru/2016/04/raspberry-pi-update.html) и ещё ТЫЦ (https://www.raspberrypi.org/blog/the-eagerly-awaited-raspberry-pi-display/) КУПИТЬ (https://www.terraelectronica.ru/catalog_info.php?CODE=1934991) А вот ЭТОТ (http://onpad.ru/shop/cubie/raspberrypi/displays/1753.html), наверное, будет интереснее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 260] Автор : Aivarss Дата : 01.11.2016 12:34 RK6AJE, можно и 10.1" подключить, сам John так сказал мне 8-) Смотрим тут: http://chalk-elec.com/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 261] Автор : hOne Дата : 04.11.2016 10:20 Мда, накрылась моя покупка медным тазом ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 262] Автор : RZ1ZR Дата : 04.11.2016 14:00 может кто подскажет почему не активно меню "Primary Sound Card Setup" в программе PowerSDR ? 246836 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 263] Автор : RU6AI Дата : 04.11.2016 20:55 Наверное ,потому что кодека на борту нет..Включаем VAC- и... ps. Вчера платка пришла v1.2.14. По старой цене..Все ОК. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 264] Автор : Kia2700d Дата : 04.11.2016 21:02 Мда, накрылась моя покупка медным тазом ... на 60 евро подорожала плата и уже медный таз? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 265] Автор : UT0UM Дата : 04.11.2016 21:03 на 60 евро подорожала конечно медный таз, с таким курсом :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 266] Автор : Genadi Zawidowski Дата : 04.11.2016 21:12 Приехала микросхемка кодека. Как там процесс создания автономного аппарата? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 267] Автор : RZ1ZR Дата : 04.11.2016 21:41 Наверное ,потому что кодека на борту нет..Включаем VAC- и... с VAC то понятно, думал что и там звуковая должна быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 268] Автор : LZ1AO Дата : 04.11.2016 21:46 https://shop.trenz-electronic.de/en/Red-Pitaya/ Redpitaya на старой цене, 5штук остались. Погуглит с Redpitaya board in stock не навредит, дистрибюторов RedPitaya несколько. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 269] Автор : Kia2700d Дата : 04.11.2016 22:29 маузер засветил новую плату тоже: http://www.mouser.com/ProductDetail/Red-Pitaya/28/?qs=sGAEpiMZZMv6qFrf7EDoPnlo4ttut8LQ6f6b9kcSGX2muy7iwkJgTg%3d%3d $310 (старая была $238) когда они появится в наличии возможно что цена упадет немного -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 270] Автор : RC7H Дата : 05.11.2016 11:04 Трансивер тоже потихоньку готовят к выпуску!!! #6565 (http://forum.redpitaya.com/viewtopic.php?p=6565#p6565) по redpitaya (http://forum.redpitaya.com/memberlist.php?mode=viewprofile&u=2) Ср Ноя 02, 2016 10:20 вечера Рада, что вам понравилось! Мы планируем запустить специальную страницу только для HAMLAB и смежных радиолюбителей только контент. HAMLAB на данный момент только входит в фазу производства, и мы надеемся, что мы вскоре сможем начать грузить его. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 271] Автор : rz3qs Дата : 05.11.2016 16:21 Трансивер тоже потихоньку готовят к выпуску!!! А я потихоньку пикаю в тесте. Все замечательно, кроме одного, никак в PWRSDR не сделают нормальную работу кнопки CTUN, основной приемник по спотам с ней не идет, но зато второй работает как надо, панорама стоит под ним на месте. Прикольно принимать раздельно по ушам одновременно две станции, пока учусь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 272] Автор : RZ1ZR Дата : 06.11.2016 11:05 может кто имеет опыт, как подключить Red Pitaya к "SDR Console v3" ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 273] Автор : UA3RW Дата : 09.11.2016 16:09 http://store.redpitaya.com/red-pitaya-board.html здесь по прежнему 199... Это 238.8$+58.92$ =297.72$ И отправка ТНТ для России не отключается. Или я не нашел, как это сделать.Два дня разбираюсь. https://shop.trenz-electronic.de/en/Red-Pitaya/ Redpitaya на старой цене, 5штук остались. Погуглит с Redpitaya board in stock не навредит, дистрибюторов RedPitaya несколько. А тут совсем непонятно. Стоит 225.65$ , а выставляют счет как 199+59 за пересылку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 274] Автор : RU6AI Дата : 09.11.2016 16:35 Мне обошлась с доставкой 282$ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 275] Автор : UA3RW Дата : 09.11.2016 16:38 RU6AI дайте ссылку где покупали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 276] Автор : RU6AI Дата : 09.11.2016 19:04 Так здесь и покупал http://store.redpitaya.com/red-pitaya-board.html... 18.10 оплатил ,недавно пришла. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 277] Автор : DerBear Дата : 09.11.2016 19:17 Оставляйте доставку ТНТ - всё равно у них другой нет. Я с манагером переписывался. 199+59 - самый дешовый вариант. У них в Словении не Китай, почти как у нас - отправить что либо за границу - денег не соберёшь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 278] Автор : UA3RW Дата : 09.11.2016 19:54 https://shop.trenz-electronic.de/en/Red-Pitaya/ Так вот тут не понятно. На картинке 225$ , а в корзине 199+59 . Что то тут не так. 199 это же должно быть в евро. И правильно должно быть 225+59. Как бы не нарваться. Так и думал что здесь что-то не так. Вот что получилось после заполнения заявки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 279] Автор : RA4FIX Дата : 09.11.2016 19:54 На днях отправлял посылку 3кГ в Голландию. Почта России взяла 1500р. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 280] Автор : DVE Дата : 10.11.2016 12:00 Так вот тут не понятно. На картинке 225$ , а в корзине 199+59 . Что то тут не так. Если покупатель извне EC, то налог не берется, так что вроде так и должно быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 281] Автор : UA3RW Дата : 10.11.2016 15:25 Да нет там какой то сбой на сайте или хитрость.После окончательного оформления насчитали 312$. См. пост 279 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 282] Автор : DVE Дата : 10.11.2016 15:36 По-моему хитрость тут одна: учитывать или не учитывать VAT. Вы как покупатель не из ЕС имеете право на вычет 19%. Но 312$ тоже как-то не сходится. Подробнее тут: http://frenzyshopper.ru/vat-rufund/ Впрочем я не бухгалтер, может ошибаюсь :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 283] Автор : RA9CMG Дата : 10.11.2016 17:03 Да нет там какой то сбой на сайте У меня выдает "No shipping type" и не дает закончить оформление :facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 284] Автор : Kia2700d Дата : 10.11.2016 18:44 напоминаю что тема техническая. :) логистику можно обсуждать где-нибудь в Форуме: Продавцы, покупатели... (http://www.cqham.ru/forum/forumdisplay.php?17-%CF%F0%EE%E4%E0%E2%F6%FB-%EF%EE%EA%F3%EF%E0%F2%E5%EB%E8) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 285] Автор : rz3qs Дата : 28.11.2016 12:56 Немного разбавлю тему. Попикал в CQWW. Сделал выводы, что нужно установить ДПФ и АТТ для RX2 в трансивер (сейчас подвешены внешние). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 286] Автор : UA3RW Дата : 28.11.2016 14:02 Евгений! Я понял ,что для второго приемника необходим еще один ДПФ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 287] Автор : DerBear Дата : 28.11.2016 14:12 Для полноценной работы платки нужно 2 полных набора ПДФ с независимым управлением. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 288] Автор : RZ1ZR Дата : 28.11.2016 14:13 вот где бы их купить уже готовые, именно диапазонные, а не с полным перекрытием ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 289] Автор : rz3qs Дата : 28.11.2016 14:27 для второго приемника необходим еще один ДПФ? Да, именно диапазонные. Все это нужно для работы на двух диапазонах, на одном передача, на другом в это время прием, но к этому еще нужно добавить фильтра на передачу, чтоб дойти до работы на одну антенну, скажем на ВЧ, это уже другая тема, здесь не всем нужно такое дело. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 290] Автор : UA3RW Дата : 28.11.2016 14:43 Для полноценной работы платки нужно 2 полных набора ПДФ с независимым управлением. А позволяет независимое управление фильтрами RedPitaya? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 291] Автор : DerBear Дата : 28.11.2016 14:46 А вот этого я не знаю. Надо смотреть схему платы "Алекс", независимое управление поддерживается или нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 292] Автор : rz3qs Дата : 28.11.2016 15:23 А позволяет независимое управление фильтрами RedPitaya? Пока нет, но надежда есть, как полосовыми,так и АТТ второго приемника. Кодека у RP тоже не было, а сейчас работает, и хорошо работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 293] Автор : WladN Дата : 28.11.2016 18:06 и хорошо работает. Задержка большая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 294] Автор : rz3qs Дата : 28.11.2016 18:54 Задержка большая? Как в обычном трансивере с DSP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 295] Автор : RZ1ZR Дата : 29.11.2016 11:54 тут такой вопрос возник, а как грамотно и проще, запитать RP пятью вольтами от 13-15 вольт ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 296] Автор : DerBear Дата : 29.11.2016 12:08 Через линейный стабилизатор, вестимо... КРЕН5А, например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 297] Автор : uu4jca Дата : 29.11.2016 12:11 Вопрос. SDR обещали в ноябре.Есть информация о продажах или еще прилизывают? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 298] Автор : RZ1ZR Дата : 29.11.2016 13:46 Через линейный стабилизатор, вестимо... КРЕН5А, например. да как бы она по току и мощности впритык получается, аналоги по мощнее есть ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 299] Автор : RA1AGB Дата : 29.11.2016 13:50 аналоги по мощнее есть ? LD1085 http://www.st.com/en/power-management/ld1085.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 300] Автор : RZ1ZR Дата : 29.11.2016 14:06 LD1085 понимаю так что корпус у нее с общим проводом не соединен ? греться она будет не слабо, может что из импульсных можно поставить ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 301] Автор : UA3RW Дата : 29.11.2016 19:11 Получил сегодня версию 1.0. Не знаю где она с этой версией залежалась.При получении пришлось понервничать.Прислали в мешке размером с картофельный.Да еще из 9 букв в фамилии исказили 5. Не хотели отдавать. Уже видны отличия от V1.1. Нет второго разъема мини USB и микросхемы рядом с ней.Нет микросхемы где стоит надпись (под мужичком).Две микросхемы около разъемов OUT1 и OUT2 по 8 ног (в версии 1.1 шестиногие). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 302] Автор : RZ1ZR Дата : 29.11.2016 19:17 Прислали в мешке размером с картофельный. от мешка тоже был сильно удивлен, изначально подумал что ошиблись, посылка явно не моя :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 303] Автор : UA3RW Дата : 29.11.2016 19:36 Вот фото версии 1.0 (Извините за плохое качество ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 304] Автор : Genadi Zawidowski Дата : 29.11.2016 20:23 греться она будет не слабо, может что из импульсных можно поставить ? В питании радиоустройств мне очень понравилась LMZ35003RKG, но без печатной платы под неё не получится. Импульсник с экранированной катушкой, работает на частоте около 600 кГц, при выходном +5 входное до +50. Если где-то есть модули на ней, может неплохо подойти. МОжно поискать импульсники от MEANWEL, вполне прилично сделаны и помех не заметно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 305] Автор : UR3IQO Дата : 29.11.2016 20:35 В питании радиоустройств мне очень понравилась LMZ35003RKG, но без печатной платы под неё не получится. Импульсник с экранированной катушкой, работает на частоте около 600 кГц, при выходном +5 входное до +50. Если где-то есть модули на ней, может неплохо подойти. МОжно поискать импульсники от MEANWEL, вполне прилично сделаны и помех не заметно. Я у себя в трансивере поставил беспонтовую LM2596, дроссель на кольце, муратовские фильтры по питанию и "православная" разводка земли решают проблемы с помехами :smile:. Сейчас подумал бы про ST1S10 - на ней можно все компактнее сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 306] Автор : RZ1ZR Дата : 29.11.2016 20:58 да хотелось бы что нибудь готовое, на Али много импульсников, но вот что выбрать ? вот такой присмотрел (https://ru.aliexpress.com/item/5A-High-Current-DC-DC-Boost-Buck-Automatically-Step-Up-Down-Power-Converter-New/32697266719.html?spm=2114.30010708.3.2.6hOoqJ&ws_ab_test=searchweb0_0,searchweb201602_2_10065_10068_10084_10083_10080_10082_10081_10060_10061_10062_10056_10055_10037_10054_10059_10032_10099_10078_10079_10077_10093_426_10073_10097_10100_10096_10070_10052_10050_424_10051,searchweb201603_1&btsid=0b94ae66-97d8-4624-88f1-96d0df40deee) 248887 но что там внутри, не понятно.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 307] Автор : rz3qs Дата : 30.11.2016 03:01 Соединил, включил, все работает. Red Pitaya, DC-DC 12-5 LM2596, codec WM8731, low-frequency amplifier TDA7297. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 308] Автор : Kia2700d Дата : 30.11.2016 23:34 да хотелось бы что нибудь готовое, А одна из миллионов разновидностей УСБ зарядок для автомобиля не подойдет? Встречаются импульсные на 2А. Только выход желательно облагородить, шоб не шумел сильно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 309] Автор : Genadi Zawidowski Дата : 01.12.2016 01:24 Обходите стороной сетевой адаптер с мини-USB разъемом (сильные помехи): LJS-186B (выход - 5 вольт, 2 ампера). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 310] Автор : Kia2700d Дата : 01.12.2016 06:56 Обходите стороной сетевой адаптер вроде просили не "сетевой" а преобразователь постоянного тока :). например: http://www.ebay.com/itm/6-24V-12V-24V-to-5V-3A-CAR-USB-Charger-Module-DC-Buck-step-down-Converter-DIY/131902406255?_trksid=p2047675.c100005.m1851&_trkparms=aid%3D222007%26algo%3DSIC.MBE%26ao%3D2%26asc%3D38530%26meid%3D43eb7acbe29e48138ef5c04bc8964e40%26pid%3D100005%26rk%3D1%26rkt%3D6%26sd%3D132000746648 http://www.ebay.com/itm/DC-DC-Step-Down-Buck-Power-Supply-Module-Converter-9-36V-To-5V-With-USB-Port-/232160190290?hash=item360dd35f52:g:KmcAAOSw2xRYPk7d полезные слова для поиска: "buck converter" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 311] Автор : RZ1ZR Дата : 01.12.2016 07:22 полезные слова для поиска: "buck converter" разных преобразователей много, вот и хотелось понять, что они из себя представляют, шум, температура нагрева, ну и т.д. заказал на LM2596. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 312] Автор : Kia2700d Дата : 01.12.2016 07:45 шум в гермесе стоят специальные фильтры NFM41PC204F1H3L и 623-2743021447LF на входе и выходе 5в преобразователя . 248994 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 313] Автор : DerBear Дата : 01.12.2016 09:27 По наитию со сканнера и соседних веток. Очень хорошие фильтры по питанию. http://www.chipdip.ru/product/blm21ag102sn1/ http://www.chipdip.ru/product/bnx016-01/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 314] Автор : UR3IQO Дата : 02.12.2016 22:27 у меня мурратовские bnx022-01 используются -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 315] Автор : UA3RW Дата : 05.12.2016 08:00 Сегодня прикупил у Юрия R3KBL такую платку. Думаю получится хорошая связка с Red Pitaya. Кому будет интересно, то процесс подключения выложу. Вся инфо по плате здесь http://sdrham.ru/preselektor-hiqsdr/. Пока собираю комплектацию. Евгений! Как дела с подключением.Интересно куда эту плату подключить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 316] Автор : RN3KK Дата : 05.12.2016 14:52 Да и еще добавлю банк точно сейчас при пересчете снимает дополнительно ко всем сьемам 1%,плюс еще и считает не по тому курсу,который на яндексе,а по продажному курсу СБРФ. Не заметил подвохов.Оплатил прямо с карты. Курс оказался даже меньше чем в сбербанке. Доп комисий не взяли. Банк Тинькоф. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 317] Автор : RC7H Дата : 06.12.2016 12:23 Тиньков банк сейчас активно развивается,тоже на него посматриваю,там пока ничего ненужного нет!!! Но и сбербанк тоже например при пересылке денег от меня в Москву с карты сбера на их же карту,раньше ничего неснимал,а сейчас четко 1%. Писал жалобу насчет этого,ответили это мол решение аукционеров,ничего поделать неможем... Жадность однако!!! Извините!!!!за off top!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 318] Автор : Larkin Дата : 06.12.2016 14:45 Но и сбербанк тоже например при пересылке денег от меня в Москву с карты сбера на их же карту,раньше ничего неснимал,а сейчас четко 1%. ...... этого,ответили это мол решение аукционеров,ничего поделать неможем... Жадность однако!!! Извините!!!!за off top!!!! ~ в конце августа заметил эту хрень, звонил им, ответили тоже самое. так что сбер только для рублей по россии , всех подсадили на сбер. для покупок в ? $ пользую кукурузу конвертация по цб, но через 2-3 дня. курс может быть, как ниже, так и выше тоже оффтоп, модераторы можете удалить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 319] Автор : UT0UM Дата : 06.12.2016 15:30 Доп комисий не взяли. Банк Тинькоф. Но и сбербанк тоже ? $ пользую кукурузу конвертация там везде Red Pitaya? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 320] Автор : RN3KK Дата : 06.12.2016 16:04 там везде Red Pitaya? Не понял вопроса,но оплачивал я ее. Ну и все что RZ3QS упомянул, заказал. Посмотрим что из этого выйдет. Глядел на СанСдр2 снова, думал второй заход сделать. Но там опять неразбериха с софтом, забил.. Не хочется быть бесплатным тестировщиком ПО. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 321] Автор : UT4LW Дата : 06.12.2016 16:08 там опять неразбериха с софтом Какая неразбериха? Просто интересно. Можете ответить в его теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 322] Автор : RZ1ZR Дата : 06.12.2016 16:43 Глядел на СанСдр2 снова, думал второй заход сделать. правильное решение! 2 АЦП! а как работает NR в PowerSDR! в СанСдр2 это будет через много лет, если вообще будет:-P ну и цена, ни в какое сравнение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 323] Автор : DerBear Дата : 06.12.2016 17:02 Для SunSDR уже есть решение удалённого доступа. Есть ли такое решение на RP/Hermes/OpenHPSDR? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 324] Автор : RZ1ZR Дата : 06.12.2016 17:09 Для SunSDR уже есть решение удалённого доступа. Есть ли такое решение на RP/Hermes/OpenHPSDR? если не ошибаюсь, как говорил UT4LW, с помощью его программы можно любой СДР использовать удаленно:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 325] Автор : UA8U Дата : 06.12.2016 17:12 Скажите, кто ни будь изготавливает уже полностью готовое изделие, т.е. трансивер собранный "под ключ", готовый к эксплуатации на базе Red Pitaya СО СЛЕДУЮЩИМИ КОМПОНЕНТАМИ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 326] Автор : UT4LW Дата : 06.12.2016 17:21 с помощью его программы можно любой СДР использовать удаленно Можно. И не только SDR. Но RemoteExpertSDR - это несомненно более прогрессивная и правильная технология. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 327] Автор : DerBear Дата : 06.12.2016 17:23 RZ1ZR, Забыли в список включить ARUINO САТ-валкодер (https://www.tapr.org/pdf/DCC2015-ArduinoCAT-Controller4HPSDR-G0ORX-1.pdf) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 328] Автор : RZ1ZR Дата : 06.12.2016 17:29 RZ1ZR, Забыли в список включить ARUINO САТ-валкодер (https://www.tapr.org/pdf/DCC2015-ArduinoCAT-Controller4HPSDR-G0ORX-1.pdf) да уже отвык от валкодера, но можно и сделать на досуге:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 329] Автор : DerBear Дата : 10.12.2016 23:43 Зацените проект. (http://g0orx.blogspot.ru/) 249747 249748 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 330] Автор : rz3qs Дата : 11.12.2016 03:24 Зацените проект. Чем больше разных вариантов, тем лучше всем, выбор идеи, железа и мыслей. А по теме могу добавить, что RP сейчас может работать в режиме QSK (любителям этого дела рекомендую сразу ставить скоростные реле RX/TX). Также идет управление BPF RX2, ATT RX2, Preamp RX2, плюс управление антенным коммутатором на 7 антенн. Для этого нужно 2 PCA9555, платку с этой микрухой выкладывал ранее. Чтобы было все понятно, постараюсь нарисовать блок схему, пока только черновик, но работает. Еще раз огромное спасибо Павлу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 331] Автор : RZ1ZR Дата : 11.12.2016 11:10 Чтобы было все понятно, постараюсь нарисовать блок схему, пока только черновик, но работает. очень интересно, ждемс... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 332] Автор : DerBear Дата : 11.12.2016 11:30 Всё ещё висит вопрос, управление полосовиками единое на оба приёмника или можно каждым каналом приёма управлять отдельно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 333] Автор : Serg Дата : 11.12.2016 13:33 А где сейчас купить нормальную питаю 14бит? В сторе ихнем вроде не было в наличии уже. Кто-то недавно где-то в другом месте брал, но более старой ревизии, там проблем нет с железом для сдр? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 334] Автор : UA6BNJ Дата : 11.12.2016 15:40 Новая 14 битная (есть на сайте) и есть старая, мне пришла такая, различий со старой версией не вижу, софт идет так же нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 335] Автор : Serg Дата : 11.12.2016 16:09 Новая 14 битная (есть на сайте) На каком? http://redpitaya.com/ STEMlab 125-14 - Starter kit is currently out of stock and has been added to cart as preorder! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 336] Автор : RN3KK Дата : 11.12.2016 19:43 Заказывайте, на следующий день отгрузили.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 337] Автор : rz3qs Дата : 12.12.2016 02:14 Нарисовал блок схему своего трансивера, еще не все, но уже картина думаю понятна. Конечно, не всем такой вариант и нужен, но ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 338] Автор : RZ1ZR Дата : 13.12.2016 15:10 Нарисовал блок схему своего трансивера вариант попроще.. 250051 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 339] Автор : DerBear Дата : 13.12.2016 15:16 Антенный коммутатор только на 2 антенны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 340] Автор : Serg Дата : 13.12.2016 15:18 вариант попроще.. Почему бы приемный сигнал не пропустить и через ФНЧ ТХ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 341] Автор : RZ1ZR Дата : 13.12.2016 15:23 Антенный коммутатор только на 2 антенны? не вижу разумным в трансивере делать больше, это задача внешнего антенного коммутатора. Почему бы приемный сигнал не пропустить и через ФНЧ ТХ? в принципе не помешает, но мне конструктивнее так удобнее. Почему бы приемный сигнал не пропустить и через ФНЧ ТХ? пересмотрел концепцию внутреннего монтажа, так и сделаю :-P 250052 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 342] Автор : rz3qs Дата : 13.12.2016 15:39 вариант попроще.. Все будет работать. У нас просто разные конечные цели, у меня стоит задача работать в дуплексе на разных диапазонах, а при удачном разносе антенн, то и на одном. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 343] Автор : RZ1ZR Дата : 13.12.2016 15:49 Все будет работать. У нас просто разные конечные цели, у меня стоит задача работать в дуплексе на разных диапазонах, а при удачном разносе антенн, то и на одном. эт точно, такой цели не ставлю, возможно пока..:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 344] Автор : rz3qs Дата : 14.12.2016 08:01 такой цели не ставлю, возможно пока.. Лучше делать сразу с запасом, потом править в железе будет сложнее. Сейчас получается максимальный функционал RP в PwrSDR с выбором ANAN100D. Соответственно нужны PCA9555. to RN3KK, тебе без них никак, а с ними будешь два диапазона на УКВ, с трансверторами конечно, контролировать. Печать в DipTrace. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 345] Автор : UA8U Дата : 14.12.2016 09:37 Нарисовал блок схему своего трансивера, еще не все, но уже картина думаю понятна. Конечно, не всем такой вариант и нужен, но ... Миниатюры Евгений, сколько времени и средств уйдёт на реализацию этого проекта "с нуля,под ключ"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 346] Автор : rz3qs Дата : 14.12.2016 10:07 сколько времени и средств Не знаю, в мой деревни ничего нет, а делать "под ключ" на коленке не очень просто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 347] Автор : UA8U Дата : 14.12.2016 10:35 Ну хотя бы примерно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 348] Автор : LZ1AO Дата : 14.12.2016 16:39 RZ1R, Если будете подключать НЧ фильтр передатчика при приеме, потеряете гибкость при использовании. Особенно если хотите работать кроссбендом. По моему начальний вариант - лучше. 73, Сергей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 349] Автор : RZ1ZR Дата : 14.12.2016 17:03 Если будете подключать НЧ фильтр передатчика при приеме, потеряете гибкость при использовании. Особенно если хотите работать кроссбендом. По моему начальний вариант - лучше. спасибо за мнение, еще раз продумаю данный момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 350] Автор : Serg Дата : 14.12.2016 19:45 LZ1AO, RZ1ZR, Там вроде в повер-сдр можно настроить, как включать фильтра, поэтому проблем не должно быть, к примеру, когда работает несколько приемников с одного входа, то должен включиться фнч наиболее высокого выбранного диапазона, по крайней мере с hermes так происходило. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 351] Автор : LZ1AO Дата : 14.12.2016 21:00 Serg, Ну, и что? Зачем переключать две двойки реле фильтра передатчика при каждом переходе прием/передача и обратно? Не зря производители трансиверов не используют ... RZ1ZR, если не будете использовать ето ниже 160 метровом диапазоне, ВЧФ с частотой среза 1.5MHz не навредить. Конфигурация у меня такая - ВЧФ стоит прямо на антенний вход, П образний , две индуктивности к земли высоковольтовый конденсатор посередине. Проблемы с статическими разрядами - гораздо меньше. 73, Сергей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 352] Автор : Serg Дата : 14.12.2016 21:08 LZ1AO, наоборот используют. Посмотрите все средние трансиверы, там сигнал проходит ФНЧ ТХ, а потом на плате приемника у совсем дешевых стоят только приемные ФВЧ, у чуть лучше классом - октавные или полу-откавные приемные ДПФ, но ФНЧ ТХ все равно используется. Мне не ясно, зачем не использовать ФНЧ, которые для приемников с ADC могут быть только полезными, чтобы лучше подавлять высшие зоны Найквиста, в которых могут работать мощные служебные или ФМ-вещательные станции. К примеру цитата из серв. мануала фт-897д: Receiver Signal Circuity RF stages Signals between 0.1 and 56 MHz received at the antenna terminal pass through a low-pass filter, selected according to the receiving frequency... P.S. И вспоминается недавний кураж с новым IC7300 из соседней ветки, где айком сэкономил на ФНЧ для 50МГц, а сделали общий и для 70МГц, в итоге приемник на частотах выше 30 МГц поет во всю вещалками с "русского фм диапазона". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 353] Автор : LZ1AO Дата : 14.12.2016 22:03 Serg, Не намерен участвовать в подобную дисскусию здесь, в етой ветке. Оставьте RZ1CR решать сам что делать. Мы с Вами сказали свое мнение, по моему ето уже достаточно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 354] Автор : DerBear Дата : 20.12.2016 20:42 Господа коллеги, напоминаю вам об возможной подставе наших узкоглазых сцуко-друзей! 2 недели было убито на запуск звуковой платы для RP. Как она должна заработать и по каким критериям судить о работоспособности, кроме генерации кварца информации не имел. 3 платы успел вытравить, пока не собрал самый-самый минимум. Оказалось - прислали поддельную 320AIC23. Вот так собственно выглядит подделка: 250683 Лазерная гравировка, есть генерация на выводах кварца, но нихрена не работает. А вот так выглядит работающая микросхема: 250684 Маркировка выполнена краской. Написано только AIC23B. Хорошо - микросхемы не дорогие, потому, на критичные узлы заказываю обычно по 2-3 детали у разных продаванов. На первых порах, понять что аудиоплата инициализировалась можно по щелчку из динамика во время моргнувшего желтого светодиода на плате RP (тоже инициализация платы) через 20-30сек после подачи питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 355] Автор : DerBear Дата : 21.12.2016 11:47 RZ1ZR, вот вам ещё одна интересная ссылка по теме в коллекцию на сайт. ТЫЦ (http://saure.org/cq-nrw/category/amateurfunk/sdr-radio/page/3/) Тут пару человек спрашивали в личку про плату, типа как она в общении и проч. Накатал целое сочинение на тему. Думаю, разместить тут будет полезно. RP как аппарат вроде ничего. Главное достоинство - это 2 аппаратных приёмных тракта, а значит, есть цифровое фазовое подавление помех или возможность крутить оперативно ДН, ну и улучшение IMD на передачу, если таковое требуется. 14-битное АЦП - это совсем не проблема при наличии фильтров и гибкого аттенюатора. Вон, IC7300 - тоже 14бит имеет и хит сезона... хотя, некоторые плюются. :-) Я пока успел на Си-Би опробовать фазовое подавление - работает великолепно! По мне так, это панацея от всех основных проблем шумного города, но никто ещё не раскусил эту тему как следует. Планирую на праздниках плотно заняться данным вопросом, т.к. на 20-30-40м диапазонах на полноразмерных штыревых антеннах на крыше - шум 9 баллов. И это я ещё пытаюсь что то спотить на JT/WSPR... Главный минус, он же плюс - это то, что плата сама по себе является только заготовкой. Что бы её полноценно запустить - надо сваять звуковую плату, плату управления полосовиками и ФНЧ, сами полосовики и ФНЧ, АТТ/Преампу, коммутатор RX/TX, коммутатор антенн, усилитель на передачу, и, корпус надо на слесарить до кучи. В общем, лакомство для любителя-конструктора ещё то! А вот для лентяя или просто любителя радио - не вариант. Ещё пару минусов (лично по мне) - это максимальная ширина панорамы - 96/192/348кГц и применяется софт на базе PowerSDR. Т.е. нет широкого бендскопа и оперативной перестройки по панораме, нет нескольких виртуальных приёмников. Т.е. для требовательного/разбалованного СДР-технологиями за последние несколько лет пользователя, софт типа PowerSDR морально себя уже изжил. Когда индусы допишут свой "красивый розовый танк" типа CuSDR для ананов и гермесов до вменяемого юзАбельного состояния - не известно. Недавно вышла тема применения платы с распберри-пи3. Я уже опробовал - работает! Думаю, перспективное направление для создания автономного трансивера с тач-дисплеем. Надеюсь, дисплей к НГ праздникам успеет приехать - будет чем по развлекаться на НГ выходных. Ссылка: http://g0orx.blogspot.ru/ Но, опять же, это всё основа для самостоятельной конструкторской работы. Надо рисовать схемы, делать платы, паять, проверять. А это всё деньги и время-время-время... В общем, если вы шарите в радиотехнике и цифровой технике, имеет приборы для настройки, руки растут из правильного места, а главное - они прямые, то не раздумывая собирайте денежку и покупайте плату RP. :super: Если же вы больше "любитель радио", т.е. любитель покрутить готовое - то забейте и ищите что нибудь другое. :ржач: Всем бобра и с НГ праздниками! :пиво: :buj: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 356] Автор : Balaganoff Дата : 21.12.2016 12:43 RK6AJE, как я понимаю все то, что вы описали выше в версии HAMLAB уже реализовано. Правда судя по фото все как-то "самопально" за $840 :-/ 250730 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 357] Автор : DerBear Дата : 21.12.2016 12:46 Это конструктор на плате ALEX + самопал в рукопашную. 840 баксов... Кто не может сам - может и выход. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 358] Автор : UA3RW Дата : 21.12.2016 13:44 Картинки http://imgur.com/a/AuYWf АЦП LTC2145, драйвер LTC6403 Не во всех версиях так. Вот версия 1.0. В ней драйвер и АЦП совсем другие.И не известно что стоит.Входная часть соответствует и микросхема AD8066. Вот только АЦП интересно 14 бит ?250734 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 359] Автор : Balaganoff Дата : 21.12.2016 13:53 Это конструктор на плате ALEX Только ИМХО таких плат должно быть две, чтобы красиво работали оба входа RedPitaya. А судя по фото там похоже одна. Out to RX ведь один у этой платы. 250735 А где-то есть блок схема этого HAMLAB ? Вот нашел 250739 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 360] Автор : DerBear Дата : 21.12.2016 14:34 Какие микросхемы можно применить для BCD декодера диапазонов для ДПФ и ФНЧ? Желательно в SOIC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 361] Автор : UR3IQO Дата : 21.12.2016 14:40 Сразу с ключами или просто декодер, а к нему драйвер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 362] Автор : UA3RW Дата : 21.12.2016 14:50 А вас не устроит 74HCT238 и ULN2003 . Все работает.Плата и схема здесь http://sdrham.ru/preselektor-hiqsdr/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 363] Автор : DerBear Дата : 21.12.2016 14:52 Оу! Уже всё "украдено" до нас! :crazy: Олег, а вместе с драйвером бывает и насколько доставабельно? Так же вопрос... так и не разобрался, плата ALEX работает только с одним приёмником? Как сделать управление для преселектора RX2? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 364] Автор : UR3IQO Дата : 21.12.2016 15:22 а вместе с драйвером бывает Можно посмотреть, что у TI есть в серии Power Logic, дешифраторов правда не помню. Я часто использую из этой серии сдвиговые регистры с драйверами TPIC6B595, может найдете что-то подходящее. Аналогичная серия была помнится и у STM, причем подешевле. А если раздельно, то Сергей уже подсказал. Иногда удобнее использовать ULN2803, она на 8 выходов (2003 на 7). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 365] Автор : RZ1ZR Дата : 21.12.2016 15:23 почти все комплектующие пришли, неспешно начинаю сборку.. 250742 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 366] Автор : rz3qs Дата : 21.12.2016 15:55 Как сделать управление для преселектора RX2? PCA9555, 74HC4028, M54564FP, если обмотка реле на земле, развожу печать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 367] Автор : UA3RW Дата : 21.12.2016 16:01 Евгений! Так Вы отказались от платы преселектора от R3KBL? Или преселектор от R3KBL для RX1 останется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 368] Автор : rz3qs Дата : 21.12.2016 16:14 преселектор от R3KBL для RX1 останется? Да, в одном трансивере, в другом все затачивается под SO1.5R и стоят отдельные ДПФы RX1, RX2 со своим управлением, также декодер для ФНЧ УМа, т.е. берутся BCD коды RX1,RX2,TX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 369] Автор : DerBear Дата : 21.12.2016 16:34 Шарил тут схемы разные. Плату АЛЕКС нельзя праллельно включать со звуком. Чистая АЛЕКСа работает на сдвиговых регистрах на тех же ногах, что и звуковая плата. Т.е. или плата АЛЕКС, но тогда без звука. Или вешаем плату звука, но тогда надо мастЫрить 3 шт I2C декодеров + BCD декодер на 3-ю РСА9555. Ну, ещё драйверы... Плату HiQSDR вообще не понятно как подключать. Она же на чистом BCD коде работает + доп управление RX/TX/Pre/ATT сколько то там бит. В общем... надо схему уже нормальную рисовать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 370] Автор : R6YY Дата : 21.12.2016 16:50 ...PowerSDR морально себя уже изжил... По каким соображениям (на основании чего) сей вывод? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 371] Автор : rz3qs Дата : 21.12.2016 16:54 RX/TX/Pre/ATT Плюс BCD код RX1 идет с RP непосредственно. Т.е. третья PCA9555 не нужна, если не цеплять плату Alex. Со схемой сложно, больно у Всех задачи разные и максимальный сервис не всем и нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 372] Автор : RZ1ZR Дата : 21.12.2016 17:12 14-битное АЦП - это совсем не проблема при наличии фильтров и гибкого аттенюатора. Вон, IC7300 - тоже 14бит имеет и хит сезона... хотя, некоторые плюются. :-) да, и если учесть что разница между 14-бит АЦП и 16-бит, всего -6 дб динамики, то выбор очевиден! софт типа PowerSDR морально себя уже изжил а вот здесь не согласен, преимуществ к остальным очень много, и не брошена пока, развивается:-P аналогов просто нет! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 373] Автор : DerBear Дата : 21.12.2016 17:23 Коллеги, вы фразы из контекста не вытягивайте! "Ещё пару минусов (ЛИЧНО ПО МНЕ) - это максимальная ширина панорамы - 96/192/348кГц и применяется софт на базе PowerSDR. Т.е. нет широкого бендскопа и оперативной перестройки по панораме, нет нескольких виртуальных приёмников. Т.е. для требовательного/разбалованного СДР-технологиями за последние несколько лет пользователя, софт типа PowerSDR морально себя уже изжил." Конкретнее: Я использую несколько разных приёмников с разным софтом. И функционал PowerSDR ЛИЧНО МЕНЯ уже мало устраивает, по сравнению с тем, что могут предложить другие программы. Пока mrxPowerSDR берёт ТОЛЬКО ТЕМ, что имеет приблуду фазового приёма с 2-х аппаратных приёмников, подавитель IMD и, это полноценный программный трансивер и, наверное, пока единственный поддерживающий "гермесоподобных". Во всём остальном, другие программы, по моему скромному мнению, далеко ушли по удобству от PSDR. Своё мнение не навязываю, ВЫ можете считать иначе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 374] Автор : RZ1ZR Дата : 21.12.2016 17:35 Во всём остальном, другие программы, по моему скромному мнению, далеко ушли по удобству от PSDR. огласите список, пожалуйста -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 375] Автор : DerBear Дата : 21.12.2016 17:56 (Offtop) Понятие удобства и комфорта у каждого своё. Взять к примеру SS-2. 4 приёмника... вроде тоже самое что и у PSDR. Но у SS-2 эргономика и удобство перестройки по частоте удобнее и они работают от 1 АЦП. У mrxPSDR от одного входа работают только 2 приёмника и второй только НА ПОЛОВИНЕ от семплерейта. Т.е. я не могу в PSDR один приёмник поставить на один край панорамы, а второй на другой. Только в пределах центра от основного приёмника. Про 16 приёмников в SDRUno я вообще молчу. Да по многим параметрам приёма в плане удобства и комфорта PSDR осталась далеко... С переходом на DDC флексы забросили её развитие. Отживает она своё... ИМХО! Списком: ExpertSDR SDRUno SDRSharp SDRConsol ELAD CuSDR Понятно, что все они работают на разном железе, по разному глюкавы, но по удобству и эргономике управления они PSDR обошли уже давно. HDSDR в этот список не включаю, т.к. по своим возможностям она даже до PSDR не дотягивает. (/Offtop) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 376] Автор : RZ1ZR Дата : 21.12.2016 18:18 ExpertSDRс этим все понятно, не хочу. SDRUno SDRSharp SDRConsol ELAD CuSDR SDRConsol очень нравится, но сырая сильно. из других что поддерживает RP, что бы не ставить все ? p.s. посмотрел, не серьезно все это, про передачу промолчу. если только CuSDR обновят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 377] Автор : DerBear Дата : 21.12.2016 18:29 Что-то поддерживает, наверное, через ExtIO. Я не пробовал. Речь шла не о конкретно плате RP, а вообще.... зацепило, оказывается, многих это удобство-неудобство. Предлагаю съехать с темы обсуждения удобств PSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 378] Автор : R6YY Дата : 21.12.2016 19:09 Ваши суждения скорее с позиции наблюдателя. Подключил свисток к чему нибудь и любуйся необъятностью радиоспектра. PowerSDRmrx полнофунциональная стабильная программа-трансивер с самыми современными инновациями в любительской СДР-технике. Подобных ей пока нет, увы. Да - угловата, да - несовременно смотрится (для некоторых эстетов). Но, кому шашечки... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 379] Автор : UA8U Дата : 22.12.2016 03:49 Ваши суждения скорее с позиции наблюдателя. Даже и добавить не чего, при всём желании. Подключил свисток к чему нибудь и любуйся необъятностью радиоспектра. Взгляд со стороны. Почитаешь темы о SDRах и приходишь к выводу, что процентов 80-85 являются наблюдателями и ценителями прекрасного и только остальные, 15 %, радиооператорами. Про 16 приёмников в SDRUno я вообще молчу. Что Вы будете с ними делать в реальной обстановке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 380] Автор : WladN Дата : 22.12.2016 15:10 Недавно вышла тема применения платы с распберри-пи3. Я уже опробовал - работает! А можно поподробнее,очень интересно. Какая операционка и какую прогу пытали? Какова загрузка? И потянет ли обычный Hermes с обзором 192кгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 381] Автор : DerBear Дата : 22.12.2016 15:54 Операционка Debian под RaPi3, крайняя версия. Программа gHPSDR. Изначально она для гермесов и ананов пишется. Т.к. плата RP относится к "гермесоподобным", то и она заработала с RaPi3. Загрузку в процентах не проверял, но 384кГц показывает. Проверял на большом мониторе. Размер экрана трансивера не изменяется, т.к. оптимизирован на применение фирменного 7" тач-экрана для RaPi с разрешением 800х480 точек. Подробно описано тут: http://g0orx.blogspot.ru/ https://www.youtube.com/watch?v=7I9DnlWqdjg 250842 250843 250844 Вчера весь вечер мучал "красивый розовый танк" - CuSDR. Звук с аудиоплатой есть. RP опознаётся как Hermes v2.1. Всего показывает 1 приёмник основной и две панарамы со 2-ого аппаратного входа. Можно включать виртуал-RX и большее количество, но панораму они не показывают на любой полосе. Понравился хороший звук, но нет шумодава на FM. Передача не работает, и это главный минус! Добавлено через 6 минут(ы): Понравился хороший звук, но нет шумодава на FM. Множество мелких косяков и недоработок, типа не работают кнопки фильтров - приходится тягать фильтр за края. В общем, как приёмник работает более-менее вменяемо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 382] Автор : Balaganoff Дата : 22.12.2016 16:36 Первые 20 аппаратов HAMLAB уже уехали к хозяевам. Скоро будут обзоры, надеюсь. Вот что мне ответили. Dear Aleksandr, we just shipped our first 20 units out. We are now building our new web page and will start selling the units again end of January. Best regards, Rok -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 383] Автор : WladN Дата : 24.12.2016 14:29 Первые 20 аппаратов HAMLAB уже уехали к хозяевам. Странно что нашлись желающие на это чудо дизайна. Но каждому свое. Я хотел бы узнать от ребят,кто уже балует рп. Есть ли возможность слушать ,вернее смотреть панораму сразу 3-4х диапазонов. Ведь без запуска двух прог это,вроде,не реально. Или я что то не понял еще,или упустил.Интересно бы запустить две проги с двумя приемниками и раздельными выходами на передачу. Реально ли это сейчас,или планируется ли на будующем??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 384] Автор : Balaganoff Дата : 24.12.2016 17:38 Странно что нашлись желающие на это чудо дизайна. У показателя "дизайн" в нашем деле - номер шестой, не выше ;-) Посмотрите на коробки от флексов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 385] Автор : DerBear Дата : 24.12.2016 17:59 WladN, Владимир, mrxPSDR позволяет смотреть одновременно только 2 любых диапазона с 2-х разных антенных входов. Есть фазовый миксер. "Красивый розовый танк" - CuSDR может только слушать, но слушать 1 приёмник с основного входа и 3 панорамы со второго. Полоса обзора - до 384кГц. Фазового миксера нет. Панорамы в 62.5МГц тоже нет. Я брал RP под фазовый приём, и тут, опять же, кроме mrxPSDR подобного софта нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 386] Автор : WladN Дата : 24.12.2016 19:14 У показателя "дизайн" в нашем деле - номер шестой, не выше Я извиняюсь за косноязычие,я имел в виду дизайн проекта. Собрали с миру по нитке,и не совсем аккуратно. Используя Платы проекта Алекс лишают возможности использовать звуковой кодек. Я понял так. Ну разве это не разгильдяйство. Ну я не настаиваю,это мое мнение.Собран просто ужасно..ХИ. WladN, Владимир, mrxPSDR позволяет смотреть одновременно только 2 любых диапазона с 2-х разных антенных входов. Да я поэтому и спросил,что не совсем понял что по чем. Спасибо за инфо. Фазовый миксер это очень здорово за такую цену,слов нет. Еще интересует,с одного входа есть возможность два диапазона наблюдать? Вы уж извините,хочется прояснить моменты,которые не описаны пока.Или прозевал. Ну и еще вопрос по качеству опорника. По динамике видно,что не барахло,но по стабильности как? Хотя не смертельно,можно от внешнего запитать. Но если нет возможности синхронизации с эталоном в 10мгц-то проблемка будет не из простых. А вообще платка нравится.Пока наблюдаю за веткой и желаю успешного освоения и приспособы под себя всем,кто уже пытает ее. Но все же разочарован,что нельзя четыре приемника иметь. Вроде это все от програмиста зависит,и такая бяка появится. Интересно,а с Анджелией тоже так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 387] Автор : RZ1ZR Дата : 24.12.2016 20:13 Но все же разочарован,что нельзя четыре приемника иметь. Владимир, как не старался, но представить ситуацию когда нужно четыре приемника так и не смог, скиммеры не берем во внимание, обрисуйте ситуацию когда это требуется, может мне тоже нужно будет:-P ну а так Hermes-Lite может до 32 приемников иметь одновременно 251063 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 388] Автор : WladN Дата : 24.12.2016 20:57 Ситуация такая. Очные соревнования на УКВ. Пока у нас три диапазона-144,430,1296Мгц. Три антенны на одной мачте с редуктором. Есть желание видеть обстановку с направления на всех трех диапазонах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 389] Автор : RZ1ZR Дата : 24.12.2016 21:34 Ситуация такая. Очные соревнования на УКВ. теперь понятно, про УКВ не думал:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 390] Автор : Kia2700d Дата : 24.12.2016 21:47 Но все же разочарован,что нельзя четыре приемника иметь. http://pavel-demin.github.io/red-pitaya-notes/sdr-receiver-hpsdr/ - 6 приемников. Каждый из них настраивается на любой из 2-х входов АЦП. Панораму можно отрисовать например с помощью HPSDR, еще и скиммер можно параллельно включить через CWSL tee. Пока наблюдаю за ветко пока будешь наблюдать питая устареет и появится что-нибудь еще лучше, но жизнь пройдет ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 391] Автор : EU1SW Дата : 24.12.2016 21:51 Жизнь уже прошла, первые статьи, посвященные непосредственной оцифровке, в хамской литературе были опубликованы до 2000 года... А питайя всего лишь коммерческий проект, а не манна свыше ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 392] Автор : RZ1ZR Дата : 24.12.2016 22:06 Жизнь уже прошла, первые статьи, посвященные непосредственной оцифровке, в хамской литературе были опубликованы до 2000 года... А питайя всего лишь коммерческий проект, а не манна свыше ) но согласитесь, аналогов RP по возможностям и цене на данный момент просто нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 393] Автор : EU1SW Дата : 24.12.2016 22:07 Как успешный коммерческий проект - безусловно, а в целом не могу согласиться, увы Я ее не пользовал, но то, стоя вижу мне не вполне нравится, но это субъективное ... Это к делу не приложишь ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 394] Автор : DerBear Дата : 25.12.2016 00:23 WladN, Владимир, я выше писал, что в плане сервиса по приёму, PSDR уже устарела. У неё нет возможности смотреть N панорам и иметь N приёмников, кроме того функционала, который заложили в исходную идеологию 17 лет назад. Т.е. полоса панорамы ограничена драйвером - т.е. 384кГц. В этой полосе один основной приёмник (по центру), который можно на VAC пустить и один с боков в половину семплерейта и без VAC. Второй основной приёмник (с VAC) может существовать ТОЛЬКО на втором аппаратном устройстве приёма. Как у Flex-5000 или RP/Angelia. То, что касается аппаратной обработки виртуальных приёмников - это ограниченно с одной стороны эмуляцией какой-то версии Гермеса, с другой прошивкой RP. Шарясь где-то по Githab в прошивках для Гермеса, натолкнулся на описание количества приёмников. В Гермесе v1.8. их было 8, дальше пошло на уменьшение. Т.е. CuSDR может поддерживать не более 4-х приёмников на плате RP и это связано с эмуляцией прошивки Hermes v2.1. С другой стороны, Павел Дёмин заложил в прошивку 8 виртуальных приёмников, которые можно раскидать виртуально по входам. Вопрос, в каком софте? Ну и в плане цены, RP - бесспорно, самый лучший конструктор в плане цена/качество/возможности! Кто готов выкинут 2-килобаксов за плату Анжелы, которая от платы RP отличается только 16-битным АЦП и 4-х кратным размером платы? Входа опоры на плате нет. Стоит хороший (не самый конечно лучший, но хороший) тактовый генератор 125МГц с дифф. выходом. Впаян конкретно, сделать внешнюю опору с такой конструкцией будет сложно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 395] Автор : Yanus Дата : 25.12.2016 00:38 Радиолюбители из Германии оценили работу Red Pitaya совместно с программой Zeus Radio http://forum.cq-nrw.de/viewforum.php?f=41 (https://www.hfrelectronics.com/files/ZeusSetup2.9.2.b.12.msi) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 396] Автор : DerBear Дата : 25.12.2016 02:43 Не забываем добавлять - полноценная работа программы за деньги. Кстати, сколько виртуальных приёмников и передача поддерживается в "гермесоподобных"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 397] Автор : WladN Дата : 25.12.2016 07:01 С другой стороны, Павел Дёмин заложил в прошивку 8 виртуальных приёмников, которые можно раскидать виртуально по входам. Вопрос, в каком софте? Александр,по поводу PSDR понятно,но пока нет другого варианта,кроме платной Zeus. Алексанр Демин подпиливал прошивку под RP. С опорником тоже понятно,при крайней необходимости можно выпаять и завести внешний. Пока непонятно - можно ли запустить два приемника в PSDR с одного входа с полосой 192-96кгц как в оригинальных Hermes. Осталась ли такая возможность. Похоже что нет. Сама платка RP имеет два входа и два выхода RF,значит их есть возможность использовать. Ну как ЕЕ используют,или Afedri. Для второго приемника запускается вторая прога с полным функционалом для каждого приемника. Это вопрос наверное скорее к Демину Александру. Может попытаете его на эту тему,если Вас этот момент интересует. Позволят ли ресурсы платы реализовать??? Может Yanus сможет прояснить ситуацию. Меня конкретно интересует момент - иметь четыре приемника с обзором в 192кгц без использования фазового микшера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 398] Автор : Kia2700d Дата : 25.12.2016 07:16 Не Александр, а Павел. Платой управляет одна программа. приемники/АЦП можно переназначить как угодно в ПСДР. и так далее.. такое впечатление что вы тщательно подбираете вопросы на засыпку, чтобы найти повод не купить себе эту несчастную плату :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 399] Автор : Serg Дата : 25.12.2016 14:54 "Красивый розовый танк" - CuSDR Полоса обзора - до 384кГц.Панорамы в 62.5МГц тоже нет. Вот это облом, как же так?! Вроде оригинальный гермес показывает этот широкий бендскоп в ку-сдр! Может надо автору ред-питайного софта подсказать, чтобы сделал или исправил не работу эту? Три антенны на одной мачте с редуктором. Есть желание видеть обстановку с направления на всех трех диапазонах. Купите 3шт. 12битных afedri с укв, дешевле пока нет вариантов. (платы без корпусов вроде 160$). Если связываться с ред-питаей, нужно для укв применять на каждый диапазон трансвертеры с разными ПЧ (чтобы сигналы ПЧ не просачивались от работы другого диапазона), т.е. в питаю подать эти ПЧ, например для 144 - 14МГц, для 432 - 28МГц, для 1296 - 50МГц. Сделать три таких трансвертера дело тоже не простое, наверно будете использовать имеющиеся уже готовые укв трансиверы, значит - см. выше (afedri). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 400] Автор : WladN Дата : 25.12.2016 15:37 Купите 3шт. 12битных afedri с укв, дешевле пока нет вариантов. Да зря Вы так. С 12 битными afedri на очных можно будет только мух ловить. Антенны есть,есть трансвертер на 1296мгц от US4ICI,где могу выбрать ПЧ(50,144 или 430). С RP пока и не связываюсь,но пытаюсь уяснить для себя ее возможности сейчас, и чуть в будующем,поэтому тема очень интересна. Тем более,благодаря Евгению,знаем что по динамике аппарат выше 115дб.Это уже здорово.(слава богу что есть такие коллеги, которые делают для себя и делятся инфо на форуме не требую какой то благодарности. И очень помогают другим с ориентацией во всем потоке инфо. Которую отдельному хаму надо проделать всю дорожку с нуля) Тем более что похоже это и не предел,если УВЧ обойти. Но в связи с очень миниатюрными элементами и плотным монтажем есть большой риск спалить аппарат. Но было бы очень интересно. Сорри,внук серьезный в гости приехал,требует внимания(3.5 годика). Так что отпишусь дальше попозжа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 401] Автор : RN3KK Дата : 25.12.2016 20:30 Ян, а что с двумя приемниками? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 402] Автор : Yanus Дата : 25.12.2016 21:20 В данный момент такая возможность есть только для Одиссея, запуская несколько программ на разные порты управления. Если в Гермесе так тоже можно - будет работать.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 403] Автор : DerBear Дата : 25.12.2016 23:41 Запустил сейчас плату RP в качестве JT-споттера на 80м диапазоне. В качестве первой и основной антенны работает Mini-Wipe, вертикал 14МГц включил в качестве второй антишумовой антенны. Фазой накрутил наилучшее отношение С/Ш. Эффект даже с таким сетапом потрясает! Оставлю в таком виде до утра. Кому интересно - в пскрепортере по позывному RK6AJE/1 можно наблюдать приём. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 404] Автор : Balaganoff Дата : 26.12.2016 00:15 Запустил сейчас плату RP в качестве JT-споттера на 80м диапазоне. В качестве первой и основной антенны работает Mini-Wipe, вертикал 14МГц включил в качестве второй антишумовой антенны. Фазой накрутил наилучшее отношение С/Ш. Эффект даже с таким сетапом потрясает! Оставлю в таком виде до утра. Кому интересно - в пскрепортере по позывному RK6AJE/1 можно наблюдать приём. Неплохо )) Это на "голую" плату ? Без фильтров и усилителей ? А на входах трансформаторы уже поставили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 405] Автор : DerBear Дата : 26.12.2016 00:35 Голая плата без ничего. Согласующие трансформаторы ещё не ставил. Единственное - это обе антенны подсоединяются через гальванические трансформаторы. (Выполнены на биноклях BN43 - по 5 витков 2 обмотки). На фото инжектор питания минивипа. 251138 251139 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 406] Автор : Balaganoff Дата : 26.12.2016 11:37 Уже подняли цену. До 499 евроенотов без самой питайи + 259 плата + 60 пересылка Итого на сегодня ?818 ?818 Аппетиты растут (( Пруф http://store.redpitaya.com/hamlab-69.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 407] Автор : Kia2700d Дата : 26.12.2016 20:12 Аппетиты только две микросхемы, АЦП + ПЛИС, стоят $110 (в к-ве 100+): пруф (https://octopart.com/search?q=LTC2145CUP-14%23PBF) и пруф (https://octopart.com/search?q=XC7Z010-1CLG400C) . цену на собранные платы обычно устанавливают в районе 3х стоимости BOMa/рассыпухи. даже если предположить что вся остальная мелочевка в питае не стоит ничего (а это не так :), то ?259 - вполне адекватная цена. имхо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 408] Автор : DerBear Дата : 27.12.2016 00:43 Долго я запрягал, 8 раз отмерял и наконец решил сделать модификацию по входу. За одно и обмереть удалось плату в различных режимах. Питание АЦП "sens" перенесено на +. Перемычка посредине. Чувствительность, видим не очень. 251220 Дальше я решил не запариваться, ибо недоосциллограф и прочая тряхомудия от производителей нафиг не впала, и снёс всё подчистую с обоих сторон до самого драйвера. Припой высокотемпературный - потому, пришлось по возиться. Разъёмы снять так и не удалось. 251221 Первоначальный мод автотрансформатором, предложенный Евгением провели. Собственно -120дБм добились. 251222 А дальше я начал анализировать схемы HiQSDR, Hermes и нашей платы. Стоит у нас по входу вполне вменяемый драйвер, такой же, как на Гермесе. На кой ляд забугорцы с сайта cq-nrw.de делают преамп (http://forum.cq-nrw.de/viewtopic.php?f=18&t=21) на OPA2674 в диф включении в обход драйвера, ума не приложу! Вы посмотрите на эту витую пару - извращенцы, её богу! На этой микросхеме надо делать QRP оконечник, а не преамп! Не удивительно, что они продолжают клепать SDR-трансиверы на паре триггерах 74АС74... Дальше я просто взял и за питал драйвер диффиренциальным транформатором, как это сделано в нормальных цифровых трансиверах. Т.о. удалось выжать из платы ещё пару дБ, стало -122дБм. 251223 Не хватает 1дБ до полного правильного входа. Проблема, думаю в КСВ по входу. На штатных резисторах получить КСВ ниже 1.5 не получилась ни при каком раскладе количества витков и отношения количества витков в обмотках. Немного поигравшись с резистором в цепи ОС драйвера, подобрал минимум КСВ, а потом уменьшил количество витков в первичной обмотке, выдёргиванием провода. Получилось КСВ лучше 1.2 в широкой полосе. Родные резисторы 400 и 430 Ом выкинул нафиг, после подбора впаял 390 Ом. Они видны на фото чистой платы. Земляной контакт перемычки на втором резисторе ОС аккуратно ножиком перерезал. 251224 Заветные -123дБм получить удалось. Второй трансформатор делал с учётом опыта. СПЕРВА делаем 2 витка первичной обмотки, ПОТОМ поверх мотаем вторичку 4 витка скрученными в косу 2-я проводами. При таком подходе КСВ получилось ещё лучше - меньше 1.1. Фото чего и как. 251225 251226 251227 Завтра за бацаю схему, сегодня уже силОв нэма. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 409] Автор : WladN Дата : 27.12.2016 07:42 Стоит у нас по входу вполне вменяемый драйвер Вполне вменяемый драйвер ухудшает динамику снизу как минимум на 3 дб, а то и гораздо более. Раз уж вы взялись за плату,попробовали бы подать сигнал с транса прямо на АЦП. А усилитель,отключаемый,лучше на плате фильтров поставить. Да,и для согласования не грузите транс по выходу. Уже заметно падает кпд транса при такой трансформации. Грузите резистором по входу транса в пределах 62 ом. Еще чуть потери уменьшите. Добавлено через 34 минут(ы): Да,Александр,переключите режим RX-1 Meter в режим Sig Avg. А то сравнивать измерения как то неудобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 410] Автор : UA3RW Дата : 27.12.2016 09:16 Вот что у меня получилось после доработки RZ3QS. Не знаю как правильно делать измерение.Ведь при более узкой полосе чувствительность улучшилась.Сделал при 500 и 250 гц. Плата у меня немного отличается (пост 359) и к сожалению не знаю ,как на ней найти питание АЦП "sens".Там видимо все же другой АЦП. По ногам идет сдвиг небольшой (там на фото видно). КСВ по входу 1.46 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 411] Автор : DerBear Дата : 27.12.2016 10:19 UA3RW, Сделайте фото обратной стороны в хорошем качестве. Найдём ваш "Uсенс". Правильно калибровать панораму при переделки надо следующим способом: В исходном состоянии надо подать несущую уровнем -70дБм (или другой калиброванный уровень с коррекцией в PSDR) на вход. Провести калибровку уровня. Зафиксировать уровень шумовой дорожки в полосе 500Гц. Получилось, скажем, -106дБм. Проводим доработку. Перекалибруемся по тому же уровню. Видим, что уровень ШД упал до -115дБм. Проверяем КСВ. Видим, что оно больше 1.7-2. Подбираем витки первичной обмотки до получения минимума КСВ. Перекалибруемся. Оп-п-па, ШД упала до -119...-121дБм. Хорошо, если сразу попали в оптимальные соотношения - и ШД сразу на уровне -120дБм. Можно считать модификация прошла успешно. WladN, Владимир, в подобного рода схемах, драйвер стоит не столько для поднятия чутья (хотя, оно там тоже есть - примерно +6...+9дБ) сколько для формирования диф. сигнала на выходе, а также, для защиты входа АЦП от статики и других возможных поражающих АЦП факторов. Вспомнить хотя бы оригинальные QS1R - за годы статистики АЦП у них мёрли после грозы как мухи. Так что, драйвер пусть остаётся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 412] Автор : UA3RW Дата : 27.12.2016 10:41 Обратная сторона V1.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 413] Автор : UT4LW Дата : 27.12.2016 10:58 Когда проводятся такие доработки надо обязательно контролировать уровень шума в полосе 0-30...50 МГц. Есть программа с широким обзором? Кто-нибудь, дайте снимок. для формирования диф. сигнала на выходе Да, но такая схема все едино предусматривает наличие трансформатора, просто он переезжает на вход драйвера. :smile: Заманчиво получить прирост динамики 4-6 дБ со схемой без драйвера. А статика... это такая штука, что, если она попадет на плату, то далеко не факт, что пострадают входные цепи. К тому же антенна будет подключаться не на вход платы, а через кучу коммутации, ДПФ, и т.д. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 414] Автор : DerBear Дата : 27.12.2016 11:03 UT4LW, Юрий, плата не умеет показывать широкую полосу 62МГц. PSDR - не умеет, CuSDR вроде умеет на оригинальном Гермесе, но у меня не показывает. Я наверное дую на "холодное молоко", после своего убитого статикой QS1R и нескольких блоков питания, но лучше пусть помрёт копеечный драйвер, чем 150-баксовый АЦП, который хрен достанешь. Забил на потенциальные 4дБ... Главное, вытянули всё что возможно с имеющейся схемы. UA3RW Есть у нас вот такая прикольная схема: 251242 Вывод Usens - 63. Т.к. у вас плата первой ревизии, то надо аккуратно иголочками прозвонить куда идут контакты с 63 вывода на противоположную сторону. Ориентировочно, куда смотреть обвёл кружком на вашем фото. Прозваниваем линию, куда уходит перемычка и находим детали. Будет конденсатор и резистор. Смотрим, куда резистор идёт. В исходнике на землю. Ищем рядом место под детальку. Напряжометром смотрим на одном из выводов +1.8В 251243 251244 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 415] Автор : UA3RW Дата : 27.12.2016 11:20 Эти прикольные схемы я видел и неоднократно просматривал. Но все же это не то.Я не знаю как приподнять радиатор и посмотреть что под ним. Но Уже по входам АЦП есть различия даже с приведенным вами фото. У меня входы с 3 и 4 ноги (пост 359),а у вас с 4 и 5. По видимому и SENSE идет не с 63 ноги.Хоть вы и привели фото версии V1.0 , но она ближе к вашей схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 416] Автор : DerBear Дата : 27.12.2016 11:25 Радиатор снимается просто. Пинцетом или маленькими пассатижами пластиковые защёлки сжимаете и выталкиваете. Микросхема АЦП у вас скорее всего такая же как и на V1.1 - LTC2145. На ней вывод Vsens не может быть в другом месте. 251246 Снимите радиатор и сделайте фото входа АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 417] Автор : UA3RW Дата : 27.12.2016 11:43 251248Все я это уже видел.Посмотрите внимательно на еще одно фото.Там четко видно, что сигналы Ain1+ и Ain1- снимаются с не с 4 и 5 ноги (как на приведенном вами рисунке) ,а с 3 и 4.Также отличия и для 2 входа. И на радиаторах нет защелок (по видимому приклеен) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 418] Автор : DerBear Дата : 27.12.2016 11:46 Я вас хочу поздравить... Вам прислали/вы купили НОВУЮ ВЕРСИЮ ПЛАТЫ, первую ревизию, 10-битную! Пичаль-беда! http://redpitaya.readthedocs.io/en/latest/doc/developerGuide/125-10/top.html Смысла не вижу плату курочить. Продавайте как есть плату программистам и ищите 14-битную версию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 419] Автор : UA3RW Дата : 27.12.2016 12:31 Заменить отказались .Да я ее уже и раскурочил.Заказывал в Словении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 420] Автор : RZ1ZR Дата : 27.12.2016 12:35 Родные резисторы 400 и 430 Ом выкинул нафиг, после подбора впаял 390 Ом. Они видны на фото чистой платы. Земляной контакт перемычки на втором резисторе ОС аккуратно ножиком перерезал.Александр, вот здесь чуть подробнее пожалуйста, резисторы эти ? 251259 и где порез на плате ? да, и схема бы не помешала! и здесь один средний вывод трансформатора на земле, а второй не понятно через что ? 251261 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 421] Автор : DerBear Дата : 27.12.2016 12:49 Вот так: 251263 У меня не было резисторов типоразмера 0603, впаял 0805. Делать надо аккуратно, что бы не замкнуть на землю вывод в месте пореза. Схему после обеда нарисуем... В исходнике схема выглядит так (замерял резисторы у себя): 251265 Вот так сделано у Евгения 251266 Вот так сделал я: 251267 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 422] Автор : Serg Дата : 27.12.2016 13:07 Обратная сторона V1.0 Вы спецом 10 битную покупали или они кинули? STEM125-10!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 423] Автор : RZ1ZR Дата : 27.12.2016 13:10 У меня не было резисторов типоразмера 0603, впаял 0805. Делать надо аккуратно, что бы не замкнуть на землю вывод в месте пореза. вот теперь все понятно:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 424] Автор : UA3RW Дата : 27.12.2016 13:28 Ошибся сам.А где 14 битная сейчас подешевле? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 425] Автор : RZ1ZR Дата : 27.12.2016 13:37 Вот так сделал я: 251267 и последний момент, а нужна ли емкость С1 ? не лучше ли средний вывод непосредственно соединить с общим проводом ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 426] Автор : DerBear Дата : 27.12.2016 14:32 В HiqSDR и Hermes конденсатор стоит. Пробовал замерять параметры входа без конденсатора - КСВ растёт. Если среднюю точку заземлить, возможно параметры по постоянке изменятся. В общем, надо проводить эксперименты дальше. Добавлено через 36 минут(ы): Пошарил разные схемы и даташиты - на землю по постоянке никто не сажает средний выход НИ ГДЕ. Нашел замечательную апликуху по теме: http://www.eetimes.com/document.asp?doc_id=1272345 Думаю надо вот так попробовать: 251276 Тема по трансформаторам: https://kfrr.kz/prime/857 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 427] Автор : WladN Дата : 27.12.2016 14:34 Вполне нормально. Посмотрите на схемку платы Demonstration Boards (https://www.google.ru/url?sa=t&rct=j&q=&esrc=s&source=web&cd=4&sqi=2&ved=0ahUKEwj6_MKBoJTRAhUDjywKHeJMDhcQFgguMAM&url=http%3A%2F%2Fwww.digikey.com%2Fcatalog%2Fen%2Fpartgroup%2Fltc6404-x-ltc6406-ltc6405-and-ltc6403-1-demonstration-boards%2F49876&usg=AFQjCNGA4T-fQWWxfgv4WKFmQJbFqnp1lw&bvm=bv.142059868,d.bGg) для 6403-4-5-6. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 428] Автор : RZ1ZR Дата : 27.12.2016 14:51 Пошарил разные схемы и даташиты - на землю по постоянке никто не сажает средний выход НИ ГДЕ. согласен, не сам трансформатор, но постоянка на землю есть, в вашем варианте ее нет, значит режим отличается от типового, но это так, к слову:-P 251278 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 429] Автор : UA3RW Дата : 27.12.2016 16:37 Господа! Приятная новость. Заказал 14 битную питаю. Взяли 259 Евро. При оформлении была доставка ТНТ=0. Я не понял в чем дело.После оплаты засомневался и задал вопрос.И вот пришел ответ. Dear Sergei, shipping is currently free of charge. If there is anything else you would like to know please do not hesitate to ask. Best regards, Rok (Уважаемый Сергей, в настоящее время доставка осуществляется бесплатно. Если есть что-нибудь еще вы хотели бы знать, пожалуйста, не стесняйтесь спрашивать. С наилучшими пожеланиями, РК) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 430] Автор : DerBear Дата : 27.12.2016 16:48 RZ1ZR, я абсолютно не против. Даже логично было бы заземлить среднюю точку "от греха по дальше". Нужны эксперименты по согласованию. Сюда просятся миниатюрные минициркульные трансформаторы TC4-1TG2+ А я уже понадеялся что запаял трансформаторы в последний раз. UA3RW, поздравляю вас! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 431] Автор : RZ1ZR Дата : 27.12.2016 17:31 Нужны эксперименты по согласованию. Сюда просятся миниатюрные минициркульные трансформаторы TC4-1TG2+ чуть позже попробую запаять трансформаторы (намотаю по вашему варианту) непосредственно к АЦП, не дает мне покоя данный вариант:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 432] Автор : UR3IQO Дата : 27.12.2016 18:37 Не вижу смысла в заземлении средней точки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 433] Автор : EU1SW Дата : 27.12.2016 19:48 Аналог Девайсез в даташитах на свои АЦП тоже землит средние точки трансформаторов по переменке. Видимо есть смысл, к сожалению провести натурный эксперимент смогу только на выходных ) время неподходящее ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 434] Автор : RN3KK Дата : 28.12.2016 10:23 подскажите что там за опорник стоит на плате (маркировка)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 435] Автор : DerBear Дата : 28.12.2016 10:32 Фото: 251322 http://www.txccrystal.com/oscillator.html Скорее всего вот этот: http://www.txccrystal.com/images/pdf/bf.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 436] Автор : DerBear Дата : 28.12.2016 23:17 Владимир N тут спрашивал картинку в SigAVG S-метра: 251382 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 437] Автор : rn6xc Дата : 29.12.2016 00:29 RK6AJE, Сделайте тоже самое на 1.8 МГц и 29 МГц, если не затруднит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 438] Автор : DerBear Дата : 29.12.2016 01:20 Провёл сейчас исследование влияния резистора на входе драйвера, земли/разрыва в средней точки и попробовал трансформатор ТС4-1ТГ2+ (Минициркульный трансформатор 4:1). 1) При наличии резисторов на диф.входе обеспечивается широкополосность хорошего КСВ в полосе 1-60МГц. Без резисторов полоса хорошего КСВ узкая, менее 10МГц. 2) Наличие заземления в средней точке влияет как незначительное увеличение КСВ в верхнем (выше 40МГц) участке частот. Разрыв влияет как незначительное (0,2...0,4 ед) увеличение КСВ во всей полосе частот. 3) Трансформатор ТС4-1ТГ2+ применить не получается - КСВ улетает далеко за 3. Получается 4:1 - слишком маленький коэф. трансформации. Напомню, сейчас 2 витка первичка и 2 по 4 витка вторичка. Итого Ктр=16:1. Вот так сейчас всё в исходном состоянии: 251391 Вот так с КЗ в средней точке. Нужно будет ещё подобрать трансформатор, чёт не нравится мне такая неравномерность. 251392 rn6xc, На всех участках частот цифра SigAVG одна и та же, последняя цифра +/- 1дБ плавает постоянно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 439] Автор : RZ1ZR Дата : 29.12.2016 01:37 Сделайте тоже самое на 1.8 МГц и 29 МГц, если не затруднит. по этой схеме 251393 160 251394 20 251395 10 251396 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 440] Автор : WladN Дата : 29.12.2016 08:13 rn6xc, На всех участках частот цифра SigAVG одна и та же, последняя цифра +/- 1дБ плавает постоянно. Александр, при такой трансформации уже будет завал АЧХ даже на 28Мгц. Что бы потом не кусать локти,или переделывать,нужно калибровать перед измерениями на каждом диапазоне. Вообще по опыту использования напрямую на АЦП коэф. транф. выше 1к9 не следует делать,по той же причине. Но с увч не пытал. У Вас 1к16 и транс нагружен на низкое входное УВЧ, кпд транса падает прилично. Попробуйте 1к9 и согласование делайте шунтированием транса по входу.Вы получите почти ту же чувствительность но лучшую АЧХ по диапазонам. Я бы сделал так. 1к16-это перебор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 441] Автор : DerBear Дата : 29.12.2016 12:25 Владимир, оно может и перебор, но на меньших Ктр КСВ в широких пределах уже имеет бОльшую неравномерность. За что боримся, за согласование в широкой полосе или за КПД трансформатора? Кстати, почему на низкое нагружаем? 50 Ом х 16= 800 Ом. В цепи ОС драйвера стоит 300 Ом и 800 Ом. Если КСВ близко к 1, то это говорит о полном согласовании по импедансам. Так что КПД не падает. На 28-30МГц, как мы видим из скрина, КСВ вообще максимально близко к 1. Добавлено через 30 минут(ы): Неожиданно быстро приехала "Моя пх-хе-елесть!" Пока, только, сходу подключил для проверки. Надо ещё настраивать тач и автозапуск. Будет чем на выходных заняться... :lol: :super: 251420 251421 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 442] Автор : WladN Дата : 29.12.2016 12:48 За что боримся, КСВ в широкой полосе или КПД трансформатора? Чем меньше к тр. тем линейнее будет АЧХ и меньший завал на ВЧ. Вы все же попробуйте откалибровать на 14 и 28мгц отдельно и посмотрите что у Вас получится. Вообще я не настаиваю,Вам решать. А при 1к16 кпд трансформатора сильно падает. Сможете в этом убедиться ,когда получите одно и то же чутье. При 1 к9 транс будет недогружен,но ксв лучше добиваться шунтируя первичную обмотку добиваясь лучшего ксв в широкой полосе.У RZ1ZR шум -126дбм при 1к9,у Вас -127 при 1к16. Успехов Вам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 443] Автор : DerBear Дата : 29.12.2016 12:55 Владимир, вечером продолжу замеры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 444] Автор : DerBear Дата : 29.12.2016 23:13 Упражняюсь я тут с автотрансформаторами... Вернул заводские номиналы резисторов. В общем, ни-фи-га оно нормально не согласуется по КСВ! КСВ ниже 1.3 не опускается ни при каких соотношениях витков. Возвращаюсь к своей исходной двухтактной схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 445] Автор : rz3qs Дата : 30.12.2016 04:13 Упражняюсь я тут с автотрансформаторами ... Вернул заводские номиналы резисторов. При заводских резисторах, 402 и 806 Ом, имеем расчетное входное сопротивление 602 ома. С трансформатором 1:9 должны получить 602/450=1,34 КСВ. Что получилось практически. Можно спать спокойно, дальше только ловля блох. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 446] Автор : WladN Дата : 30.12.2016 08:15 В общем, ни-фи-га оно нормально не согласуется по КСВ! КСВ ниже 1.3 не опускается ни при каких соотношениях витков. В общем, ни-фи-га оно нормально не согласуется по КСВ! КСВ ниже 1.3 не опускается ни при каких соотношениях витков. Возвращаюсь к своей исходной двухтактной схеме. Александр,Вы зря зациклились на ксв. Вам должны быть важны параметры самого приемника. А параметры не ухудшатся даже при ксв более 3. Здесь в чем дело,и почему. Я ,например,противник использования УВЧ там где он не нужен вообще. Столкнулся с такой бякой, шумы самого АЦП не увеличиваются при переходе от низкоомного входного тракта к высокоомному,во что не просто было поверить даже опытным ребятам. Поясню на примере. При использовании входного транса 1к1 и переходе на транс 1к9 шумы на выходе АЦП абсолютно не увеличиваются,но чувствительность увеличивается в три раза. Это и толкает на применение входа с трансом без УВЧ,поскольку при достигнутой динамике уже более 130дб самого АЦП,попробуйте вы сделать такого же уровня УВЧ. это задачка та еще. Ну и вдобавок УВЧ должен быть очень малошумящим,что бы не ограничивать динамику снизу. К примеру на вашей платке УВЧ ,скорее всего, ограничивает динамику снизу. На сколько,вот вопрос. Поэтому я и хотел бы увидеть конкретную величину,и прошу провести такой эксперимент кого нибудь из обладателей Р.П. Увч нужен только на частотах выше 21мгц,так что он должен быть отключаемым. Ну и на входе аттенюятор обязятелен. Так что Ваша борьба за ксв ничего Вам не даст в плане параметров приемника. А вот проверить линейность по АЧХ транса 1к9 и 1к16 было бы не плохо. Вы своими глазами все увидете. Подайте фиксированный сигнал с ГСС-а на 7 и 28 и 50мгц. Так что задачка будет в том,что бы как можно меньше потерять чутья на ВЧ диапазонах. Сорри за такое длинное послание,но жаль Вашего времени,потраченного впустую с борьбой за ксв по входу.Эту задачку можно оставить на последок. Ксв важен в данном случае только на наличие наводок по входу из за рассогласования в кабельках, по которым на вход сигнал попадет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 447] Автор : DerBear Дата : 30.12.2016 10:59 В 4 утра только лёг после упражнений... :crazy: Уговорили продолжить замеры, опять... Задвинул было все приборы под стол. То я всё на КСВ упор делал и чуйку мерял в одной точке генератором от ЕЕ. Придётся всё же доставать ГСС - так лень было. :ржач: На сегодня, с учётом КЗ в средней точке, оптимальные резисторы в ОС получились 430 Ом. КСВ по уровню 1.5 получилось в полосе 3...50МГц. В полосе 7...35МГц лучше 1.1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 448] Автор : RN3KK Дата : 30.12.2016 11:44 А смелые есть, кто транс прямо к АЦП подключит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 449] Автор : RZ1ZR Дата : 30.12.2016 22:08 При заводских резисторах, 402 и 806 Ом, имеем расчетное входное сопротивление 602 ома. С трансформатором 1:9 должны получить 602/450=1,34 КСВ. а давайте сделаем входное 450 ом, и вопрос с КСВ закроем :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 450] Автор : rz3qs Дата : 31.12.2016 09:56 а давайте сделаем входное 450 ом Флаг в руки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 451] Автор : RZ1ZR Дата : 31.12.2016 10:47 а с помощью такой штуки никто не пробовал подключить RP? 251633 Всех пользователей "Red Pitaya" с наступающим ! ну и не пользователей тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 452] Автор : rz3qs Дата : 01.01.2017 23:28 Пока, только, сходу подключил для проверки. Надо ещё настраивать тач и автозапуск. Даа, заманчиво, автономный трансивер и цена вопроса терпимая. http://forum.cq-nrw.de/viewtopic.php?f=42&t=167 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 453] Автор : RC7H Дата : 04.01.2017 12:02 Вроде уже непохоже на самоделку 251980 Я так понял на стадии сборки А так будем смотреть ,когда подойдет!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 454] Автор : Serg Дата : 04.01.2017 12:51 RC7H, а как понять надпись 80-10, там что на 160м не сделали фильтра? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 455] Автор : UA8U Дата : 04.01.2017 13:55 Там нет диапазона 160 м. А так будем смотреть ,когда подойдет!!! Павел, когда обещают прислать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 456] Автор : UA6BNJ Дата : 04.01.2017 15:43 а с помощью такой штуки никто не пробовал подключить RP? Подключал, стабильного соединения так и не добился, треск, полоса 48, максимум 96 кГц, через LAN все ОК - 192 кГц стабильно. 252005 Подключил RP к такому медиаплееру (Pipo x9), 9 дюймов экран с тачем, андроид, win10, процессор слабоват, но 4 ядра (загрузка PowerSDR от 20% до 70%) и главное Lan и 4 USB порта, можно подключить большой монитор. В общем почти моноблок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 457] Автор : RN3KK Дата : 04.01.2017 21:52 Удалось подключить плату к гсс через трансформатор 1:4 напрямую к ацп. Меряли вплоть до 740 МГц. О результатах немнго позднее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 458] Автор : DerBear Дата : 04.01.2017 23:14 Праздник своё отгремел - начинаем работу. Проверил сейчас тоже работу на оверсемплах. Всё как и положено, приём есть, но с ослаблением на полосах Найквиста. На частоте 145МГц, есть приём с ослаблением чувствительности на 8-9дБ. Т.е. подаём -70дБм - программа считает -79дБм. Тут, правда, есть ещё одна засада - на УКВ уровни показаний S-метра сдвинуты на 6дБ в сторону уменьшения, потом, цифИрная чувствительность получается ещё хуже. Как обещал выше, проверил чувствительность по всем диапазонам на на моей модификации оптимизированной по КСВ. Результат по чувствительности по диапазону не меняется, что на 1.8МГц, что на 54МГц чутьё одинаковое и MDS практически не меняется. (+\- 1дБ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 459] Автор : RN3KK Дата : 04.01.2017 23:28 У меня на 145 потеря чувствительность 1 дБ всего. Вот тут внизу добавил чуть чуть данных http://rn3kk.blogspot.ru/2016/12/redpitaya.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 460] Автор : DerBear Дата : 04.01.2017 23:38 Нееее... что-то неправильно, хотя бы по тому, что мы работаем на 3-ей полосе Найквиста. И, с каждой следующей полосой чутьё должно падать на 3дБ. 145МГц - это 3-я полоса. -9дб - это верно. Может быть хуже из-за потерь в трансформаторе. Всё остальное - ошибка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 461] Автор : RN3KK Дата : 04.01.2017 23:51 В даташите не видно потери на 9 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 462] Автор : DerBear Дата : 04.01.2017 23:55 Тогда странно. Возможно у меня ухудшение чутья из-за потерь в трансформаторе и применении ОУ в драйвере. У вас ШП трансформатор от минициркулей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 463] Автор : RN3KK Дата : 05.01.2017 00:05 Я не знаю. Марка етс4-1-2tr, но больно он дешевый. 115 руб. Из серии маба уже за 300 руб переваливает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 464] Автор : RC7H Дата : 05.01.2017 08:44 Начали рассылку к середине декабря,так что жду,когда очередь дотянится!!! По какой то причине на передачу нет 160м,на прием есть. В моих условиях нет возможности на нормальную антенну на этот диапазон. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 465] Автор : UA8U Дата : 05.01.2017 08:51 Вроде уже непохоже на самоделку Не могу понять почему разные корпуса у одного и того же изделия? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 466] Автор : RC7H Дата : 05.01.2017 11:51 Тот вариант как я понял был,тестовый,а на самом деле вроде такой. Он даже уже исчез на странице продаж уже,вроде готовят новую,отдельную страницу... Как придет ,опубликую фото и внутри.... Да и скорее всего действительно дело в отсутствии фильтров на передачу в ум 160м. Может в Словении с этим туго(я имею ввиду с работой на этом диапазоне и нельзя торговать поэтому)? Плата то полностью работоспособна в этом и не только диапазонах. Или просто решили сэкономить на ум. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 467] Автор : Serg Дата : 05.01.2017 11:56 Может в Словении с этим туго(я имею ввиду с работой на этом диапазоне)? Да нет, полно их на 160м как и на других диап. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 468] Автор : EU1SW Дата : 05.01.2017 12:01 мы работаем на 3-ей полосе Найквиста. И, с каждой следующей полосой чутьё должно падать на 3дБ. 145МГц - это 3-я полоса. -9дб - это верно. Не верно. Изменение чувствительности в зависимости от номера зоны Найквиста может иметь зависимость выраженную как 3дб на зону, а может и не иметь. Все зависит от конкретного типа АЦП, в частности от длительности импульса выборки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 469] Автор : Kia2700d Дата : 05.01.2017 20:41 зоны Найквиста а кстати, какие из предложенных манипуляций паяльником идут в обход анти-алиасного ФНЧ на 50 МГц? довольно важный момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 470] Автор : Serg Дата : 05.01.2017 23:55 Похоже, новогодняя халява с доставкой платы кончилась?! Интересно, многие из форуман успели заказать? Жалею, что про...., теперь +50 евро доставка :-( :killyourself: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 471] Автор : UA3RW Дата : 06.01.2017 09:15 Похоже, новогодняя халява с доставкой платы кончилась?! Интересно, многие из форуман успели заказать? Жалею, что про...., теперь +50 евро доставка :-( :killyourself: Бесплатная доставка была по 31 декабря. Но информации я об этом не видел на их сайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 472] Автор : Kia2700d Дата : 06.01.2017 20:17 Жалею, что про...., теперь +50 евро доставка можно послать за 10 евро в штаты (на http://meest.us/), а потом оттуда на Украину за $8. итого 18 вместо 50. Мелочь, а приятно :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 473] Автор : Serg Дата : 06.01.2017 20:55 Kia2700d, а "мист" разве со стороны принимает, вроде только для покупок в сша? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 474] Автор : Kia2700d Дата : 06.01.2017 21:04 "мист" разве со стороны принимает а какая им разница откуда приходит пакет на их склад? они регистрируют все, главное чтобы трек был. напр. китайские посылки форвардятся мистом без проблем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 475] Автор : RZ1ZR Дата : 09.01.2017 15:15 только заметил, перестал мигать желтый светодиод после включении платы, хотя все работает, как бы не напрягает, но не понятно :-| -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 476] Автор : DerBear Дата : 09.01.2017 16:22 На новой прошивке это кажется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 477] Автор : RZ1ZR Дата : 09.01.2017 17:46 На новой прошивке это кажется. да, похоже, но теперь не понятно когда запускать PowerSDR :cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 478] Автор : Kia2700d Дата : 09.01.2017 18:33 перестал мигать желтый светодиод отключили диод, потому что мигание давало помеху. https://github.com/pavel-demin/red-pitaya-notes/issues/323 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 479] Автор : rz3qs Дата : 10.01.2017 00:38 Процесс модернизации трансивера идет, медленно, но ничего, трансивер при этом в рабочем состоянии. Добавлено через 56 минут(ы): Еще один момент, привязка частоты к BCD коду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 480] Автор : UA3RW Дата : 10.01.2017 06:38 откуда берется сигнал swr с платы RedPitaya? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 481] Автор : rz3qs Дата : 10.01.2017 10:01 откуда берется сигнал swr сигнал берется с измерителя КСВ и подается на E2 RP, forward (Analog input 0) and reverse (Analog input 1). При 3.5 В на прямом входе показометр в PWRSDR покажет 120 ВТ. http://redpitaya.readthedocs.io/en/latest/doc/developerGuide/125-14/extent.html#extension-connector-e2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 482] Автор : UA3RW Дата : 11.01.2017 20:24 Ну вот что получилось у меня. Это первое включение. Интересует плата для кодека. Разводка от RK6AJE у меня есть. Может есть другие варианты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 483] Автор : rz3qs Дата : 11.01.2017 20:27 что получается у меня Поздравляю! Все должно получиться. Вы меня обогнали. С управлением RX/TX думаю стало все понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 484] Автор : UA3RW Дата : 11.01.2017 20:32 Да с управлением стало понятно.Но пока пошел по своему пути.Там на фото видна перемычка сверху микросхемы.Ну и пока только 3.2 ватта.Завтра уберу Фнч и буду разбираться дальше. Сегодня получил микросхемы для кодека -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 485] Автор : RC7H Дата : 12.01.2017 08:18 Ссылка на описание трансивера от hamlab http://hamlabdoc.readthedocs.io/en/latest/quickStart/spec.html#back-panel-controls-and-connections -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 486] Автор : RN3KK Дата : 19.01.2017 11:28 а я пошел немного другим путем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 487] Автор : RZ1ZR Дата : 19.01.2017 15:03 а я пошел немного другим путем. Николай, и что получилось? покажите шумовую дорожку.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 488] Автор : rz3qs Дата : 19.01.2017 16:09 покажите шумовую дорожку.. ... и максимальный сигнал, т.е. шум 500 Гц -??? dBm, сигнал "переваренный" +??? dBm. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 489] Автор : RZ1ZR Дата : 19.01.2017 16:24 а я пошел немного другим путем. и еще, покажите фото обратной стороны платы, интересует место подключения средних выводов трансформаторов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 490] Автор : RN3KK Дата : 20.01.2017 16:05 тут посмотрите http://rn3kk.blogspot.ru/2016/12/redpitaya.html , выкладываю все. По поводу замеров и прочего. В блоге предварительные замеры описал. Щас доделаю и снова повезу мерять (скринов сделать постараюсь) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 491] Автор : RN3KK Дата : 20.01.2017 17:04 Кстати для снижения КСВ на укв, в удел чутью, зашунтировал вход ацп резистором ~500 Ом. Чутье падает не сильно, а кривая ксв опускается (до 150мгц это около 1.5, точнее позже скажу, как с последними правками измерим) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 492] Автор : sgk Дата : 20.01.2017 17:16 Щас доделаю и снова повезу мерять (скринов сделать постараюсь) Сделайте пожалуйста измерения искажений IMD3, для сравнения http://www.cqham.ru/forum/showthread.php?5734-%D7%F2%EE-%EC%EE%E6%E5%F2-%E7%E2%F3%EA%EE%E2%E0%FF-%EA%E0%F0%F2%E0&p=1352887&viewfull=1#post1352887 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 493] Автор : RN3KK Дата : 20.01.2017 17:24 Нет второго генератора. На кв их уже много раз делали (мне не интересно это). Интересно было бы на УКВ посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 494] Автор : sgk Дата : 20.01.2017 17:27 Нет второго генератора. Любой кварцевый, собирается за 10-15 минут. На кв их уже много раз делали (мне не интересно это) Дайте ссылки пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 495] Автор : RN3KK Дата : 20.01.2017 17:29 на ютуб канале у RZ3QS посмотрите вот ссылка на его канал https://www.youtube.com/channel/UC7JAdLNa-utns26QAPBBzGQ Нет второго генератора на УКВ. Найду у кого есть, измерю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 496] Автор : RZ1ZR Дата : 23.01.2017 21:29 как вариант, подробнее.. (http://forum.cq-nrw.de/viewtopic.php?f=17&t=179) 254041 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 497] Автор : Balaganoff Дата : 27.01.2017 14:17 Что-то затихла тема. Слежу за ней с неподдельным интересом. Поделитесь новостями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 498] Автор : UA3RW Дата : 28.01.2017 11:08 отключили диод, потому что мигание давало помеху. https://github.com/pavel-demin/red-pitaya-notes/issues/323 Не нашел версию с отключенным светодидом. Скачал версию V3.3.9 (6.15.16), но светодиод моргает. Дело в том, что плату проверял на двух компьютерах.И на одном идет помеха при прокручивании страниц в другой программе.При загрузки страниц с интернета.Какой то шорох, сильно мешающий приему. Думал наводка на звуковую карту компьютера. Собрал кодек ,но помеха осталась. На Lenovo (рабочий компьютер, стоит XP) помехи нет.На домашнем HP ProBook 4710s (Windows 8)прием с шорохом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 499] Автор : RZ1ZR Дата : 28.01.2017 12:01 Скачал версию V3.3.9 (6.15.16), но светодиод моргает. моргание отключили в прошивке, не в программе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 500] Автор : RN3KK Дата : 30.01.2017 12:02 Попробовал в работе программу поверсдр с тач монитором 10 дюймов (Lilliput). Ничего так, мне понравилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 501] Автор : UA3RW Дата : 04.02.2017 11:42 Вчера заказанная 27 декабря платка пришла. Версия V1.2.14. Новый год все же преподносит чудеса.Мало того ,что пересылка была бесплатная,так еще прислали в подарок мультиметр. На али его стоимость не менее 2 тыс. Теперь по плате.По функции linearity. Видел видео на youtube от RZ3QS и Евгений также ответил на мой вопрос по почте.Но так у меня ничего и не получилось.Включаю 2 тона автокалибровку, но зеленые квадратики не появляются.OUT1 нагружен на 50 ом и соединен с IN2, как советовал Павел Демин. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 502] Автор : R6YY Дата : 04.02.2017 12:10 Интересующимся новой Red Piyaya, пишите в личку или на емайл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 503] Автор : UA3RW Дата : 04.02.2017 12:27 Новая -это какая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 504] Автор : Serg Дата : 04.02.2017 14:27 Какой кодек взять? Продаются: 1) 28$ (https://ru.aliexpress.com/item/Module-BOARD-MIKROE-506-PROTO-AUDIO-CODEC-WM8731-development-BOARD/32759758896.html) 2) 14$ (https://ru.aliexpress.com/item/Free-Shipping-1pc-WM8731-module-audio-module-FPGA-audio-circuit-music-player-karaoke-OK/1399542257.html) 3) 21$ (https://ru.aliexpress.com/item/Audio-Module-WM8731-Supporting-FPGA-Development-Board/32439929234.html) В чем их разница, если кто разбирался? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 505] Автор : rz3qs Дата : 04.02.2017 14:43 В чем их разница Наличие генератора 12288 кГц на плате, он должен быть. По PCA9555, замена, стоит чуть дешевле и есть готовые платы, MCP23017. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 506] Автор : UA3RW Дата : 04.02.2017 16:55 Евгений! По поводу linearity.Что у меня не так? Подал сигнал с out1 на in2. Включил 2 тона и автокалибровку. Что необходимо сделать дальше? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 507] Автор : rz3qs Дата : 04.02.2017 17:59 Что необходимо сделать дальше? Остановиться и подумать, почему так, Ваш скрин показывает, что нет уровня обратной связи, почему, я не знаю. Сейчас я не готов дать расклад, когда RP работает сама на себя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 508] Автор : RZ1ZR Дата : 10.02.2017 15:55 ну вот и я запаял трансформаторы напрямую к АЦП, шум упал с -126 до -132 dBm, результат радует :-P параметры пока не измерял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 509] Автор : WladN Дата : 10.02.2017 18:35 Александр,когда измерять будете? Уже сколько мурыжат ребята платку, а измерить динамику самого АЦП так ни у кого руки и не дошли. Интересно очень,что получится. Похоже почти до 125дб выйти должно,но надо измерять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 510] Автор : UA6BNJ Дата : 10.02.2017 18:59 Какой кодек взять? Продаются: 1) 28$ (https://ru.aliexpress.com/item/Module-BOARD-MIKROE-506-PROTO-AUDIO-CODEC-WM8731-development-BOARD/32759758896.html) 2) 14$ (https://ru.aliexpress.com/item/Free-Shipping-1pc-WM8731-module-audio-module-FPGA-audio-circuit-music-player-karaoke-OK/1399542257.html) 3) 21$ (https://ru.aliexpress.com/item/Audio-Module-WM8731-Supporting-FPGA-Development-Board/32439929234.html) В чем их разница, если кто разбирался? Заказывал второй вариант впаял квац 12.228 - все работает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 511] Автор : RZ1ZR Дата : 10.02.2017 19:49 когда измерять будете? Владимир, ответил в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 512] Автор : Serg Дата : 10.02.2017 20:52 Заказывал второй вариант впаял квац 12.228 - все работает Прямо к выводам мс или там на иголочные контакты выведено? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 513] Автор : UT4LW Дата : 11.02.2017 16:59 Похоже почти до 125дб выйти должно,но надо измерять. Так и получилось. MDS (по показометру) = -133 дБм. Измерение BDR (два сигнала, ждем, когда уровень полезного падает на 1 дБ) = 124 дБ. Уровень S-метра, когда его показания прекращают прирастать = -7 дБм, что говорит о динамике АЦП (назовем её "динамика по переполнению") = 126 дБ. Удивляет другое. Переполнения АЦП так и не увидели, как бы не увеличивали уровень генератора. Просто перестает расти показание S-метра. Шумовая дорожка при этом не взлетает. Может кто-то из знатоков подскажет, в чем дело? Может внутри АЦП есть какая-то защита? Или это как-то программно реализовано? Другими словами, явление, когда от вещалок, или от соседа, взлетает шумовая дорожка, на этом приемнике не наблюдаться не будет. Очень интересно! При малых и средних уровнях сигналах генератора - шумовая дорожка не изменяет своего положения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 514] Автор : WladN Дата : 11.02.2017 17:42 Поздравляю Александра и Юру с успешным тестированием платки. Результат просто удивляет. параметры на уровне 16 битной ltc2208,просто класс. Возможно у 2208 при больших уровнях имд будет чуть получше. Но это же двухканальный АЦП!!!. В общем платка получилась еще та,на удивление даже опытных фанатов DDC. Так что индусским анджелиям,с их непомерной ценой,каюк пришел. Есть решение при цене чуть ли не на порядок ниже. Здорово!!! Надеялся,но все же неожиданно. Вот бы еще посмотреть ИМД на низких,средниз,и высоких уровнях. Поздравляю всех обладателей RP, у всех вас сегодня должен быть праздник...Вауууу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 515] Автор : RN3KK Дата : 14.02.2017 23:10 ... Уровень S-метра, когда его показания прекращают прирастать = -7 дБм, что говорит о динамике АЦП (назовем её "динамика по переполнению") = 126 дБ. ... у меня почему то меньше получилось. от шума до компрессии 1дб 120 дб разница. Что я делаю не так? Не может быть проблема из-за штатного БП или он нормальный? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 516] Автор : Kia2700d Дата : 14.02.2017 23:17 запаял трансформаторы напрямую к АЦП попробую еще раз задать вопрос, как поступили с антиалиасным ФНЧ на 50 МГц? при таком подключении все зоны найквиста в одну солянку? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 517] Автор : RN3KK Дата : 14.02.2017 23:22 как поступили с антиалиасным ФНЧ на 50 МГц? при таком подключении все зоны найквиста в одну солянку? Вопрос не ко мне но. какая разница? фильтр будет перед ацп/трансформатором -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 518] Автор : RZ1ZR Дата : 14.02.2017 23:42 попробую еще раз задать вопрос, как поступили с антиалиасным ФНЧ на 50 МГц? при таком подключении все зоны найквиста в одну солянку? по входу стоят полосовые фильтры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 519] Автор : Kia2700d Дата : 15.02.2017 04:10 по входу стоят полосовые фильтры. получается для многоканального скиммера надо ставить внешний фнч? фильтр будет перед ацп/трансформатором на картинках в этой ветке антенный вход подключен прямо к трансформатору. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 520] Автор : RZ1ZR Дата : 15.02.2017 07:11 получается для многоканального скиммера надо ставить внешний фнч? для широкого обзора да, вы уж слишком много хотите от маленькой платки :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 521] Автор : RC7H Дата : 15.02.2017 12:14 Привет всем!!! Опять перенос сроков выпуска трансивера,может и непридеться нам доплачивать,но цена возросла. В первую партию непопал,а может это и к лучшему. Вот перевод; на основе обратной связи мы получили от наших первых клиентов мы решили сделать немного редизайн предложить следующие:- все диапазоны фильтров передатчиков (тока у ТХ фильтрации от 10м-80м) - сделать звуковой карты с аудио кодеками - остальные останутся прежними модернизированный HAMlab который будет стоить 999 евро, включая НДС. Новый будет доступен в апреле. С уважением, *** Дарья Bajc Бизнес-Помощник Красная Питайя д.д. Велика пот 22 5250 Солкан Словения Т: +386 41 327 623 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 522] Автор : RZ1ZR Дата : 15.02.2017 13:22 модернизированный HAMlab который будет стоить 999 евро, включая НДС. вовремя мы ухватили :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 523] Автор : Serg Дата : 15.02.2017 13:30 получается для многоканального скиммера надо ставить внешний фнч? Ну или пропускать приемный сигнал через ФНЧ РА, как делается в большинстве аппаратуры, чтобы улучшить селекцию против сигналов более высоких частот. Хотя, может кому-то эти скимеры и не нужны вовсе. на основе обратной связи мы получили от наших первых клиентов мы решили сделать немного редизайн предложить следующие:- все диапазоны фильтров передатчиков Называется спохватились... Как вообще можно было такое недоразумение допустить изначально - мне не ясно. (не поставить ФНЧ на 160м) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 524] Автор : rz3qs Дата : 15.02.2017 14:18 А мне все больше и больше нравится трансивер на базе RP. Самое главное работает очень стабильно, пока ни одного глюка не было. Вот подсел снова на JT65, за кружкой чая очень комфортно. https://youtu.be/LrIsSMwRiKs -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 525] Автор : rn6xc Дата : 15.02.2017 16:11 JT65, за кружкой чая Весьма:ржач::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 526] Автор : RZ1ZR Дата : 15.02.2017 17:39 Вот подсел снова на JT65, за кружкой чая очень комфортно. Flatten включите, посимпатичнее будет :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 527] Автор : UA3RW Дата : 15.02.2017 22:41 Первый минитест отработал. Пока еще не разобрался полностью с программой . Что-то частенько преселектор зависал и не возвращался с передачи на прием. Но все же уже что то есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 528] Автор : RN3KK Дата : 16.02.2017 10:08 у меня почему то меньше получилось. от шума до компрессии 1дб 120 дб разница. Что я делаю не так? Не может быть проблема из-за штатного БП или он нормальный? ??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 529] Автор : UT4LW Дата : 16.02.2017 11:01 ??? Скорее всего Вы потеряли динамику т.с. снизу, т.е. из-за шумов. Входные цепи АЦП - ответственный момент. Малейшее несогласование, или неудачное расположение какого-то элемента - и динамика потеряна. Следите за положением шумовой дорожки в широкой полосе. Когда я игрался со входными цепями, опытным путем пришел к тому, что конденсатором в несколько пФ на входе трансформатора (подстроечник 2/5) можно снизить и выровнять шум в широкой полосе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 530] Автор : RN3KK Дата : 17.02.2017 11:28 Скорее всего Вы потеряли динамику т.с. снизу, т.е. из-за шумов. Входные цепи АЦП - ответственный момент. Малейшее несогласование, или неудачное расположение какого-то элемента - и динамика потеряна. Следите за положением шумовой дорожки в широкой полосе. Когда я игрался со входными цепями, опытным путем пришел к тому, что конденсатором в несколько пФ на входе трансформатора (подстроечник 2/5) можно снизить и выровнять шум в широкой полосе. Юрий понятно,спасибо. Про конденсатор. Подобное видел в даташите (см аттач). Получается баланса нет между кв и укв. Я промерял до 740 МГц (одним сигналом с генератора), на УКВ есть завал и чутья и КСВ. Причем ксв резко растет после 150 МГц с той входной системой что я применил (см аттач). Вы как согласовывали вход АЦП? Просьба поделиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 531] Автор : UT4LW Дата : 17.02.2017 12:12 Просьба поделиться. Тут Вам лучше обратиться к Александру RZ1ZR. Надо до мелочей повторить схему и расположение его варианта. Я занимался входными цепями 2208, 2209, 9001, возможно мой опыт для этого АЦП и не подойдет. Для 2209 оказался вполне повторяемым (для достижения динамики 130 дБ) такой вариант: 256239 Я пробовал переместить нагрузочное сопротивление на вход АЦП, как предлагает WladN, но никакой разницы по чувствительности не получил. Выдавливание дополнительных дБ динамики снизу - дело не простое, но реальное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 532] Автор : RN3KK Дата : 17.02.2017 14:09 Юрий, спасибо, понятно. Боюсь транс 1 к 8 на укв совсем не гожим будет, я именно туда мечу. В угоду универсальности придется жертвовать некоторыми Дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 533] Автор : UT0UM Дата : 17.02.2017 14:14 Второй трансформатор делал с учётом опыта. СПЕРВА делаем 2 витка первичной обмотки, ПОТОМ поверх мотаем вторичку 4 витка скрученными в косу 2-я проводами. При таком подходе КСВ получилось ещё лучше - меньше 1.1. Фото чего и как. Боюсь транс 1 к 8 а он разве 1:8? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 534] Автор : RN3KK Дата : 17.02.2017 14:17 На фото Юрия да. У меня стоит 1 к 4. Можно и вот такой попробовать https://cdn.macom.com/datasheets/MABA-010143-FLUX18.pdf но стоить он будет около 400 рублей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 535] Автор : UT4LW Дата : 17.02.2017 14:23 У меня стоит 1 к 4. Для меня задача состояла в том, чтобы обеспечить чувствительность -125 дБм (500 Гц) без дополнительных усилителей. Это позволит использовать приемник на диапазонах ниже 24 МГц без УВЧ даже в деревне. Это получилось только с трансом 1:8. Если -125 дБм получается с трансом с меньшим коэффициентом, то это только на пользу. Можно и вот такой попробовать Такой у меня и установлен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 536] Автор : UT0UM Дата : 17.02.2017 14:29 На фото Юрия да. У меня стоит 1 к 4. я сам мотать буду на таких биноклях (http://www.thepartsplace.k5nwa.com/index.php?route=product/product&product_id=158) сколько же мотать? 2 и 2х4? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 537] Автор : UT4LW Дата : 17.02.2017 14:36 сколько же мотать? Мотать тремя скрученными проводами. Одна обмотка - первичная, две других соединить последовательно - вторичка. Это будет 1:4. Если намотать четыре витка (виток - проход провода через обе трубки), а затем с одной обмотки отмотать один виток, то это будет первичная обмотка транса 1:8. Лучше снять по пол витка с обоих концов обмотки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 538] Автор : UT0UM Дата : 17.02.2017 14:38 Заветные -123дБм получить удалось. Второй трансформатор делал с учётом опыта. СПЕРВА делаем 2 витка первичной обмотки, ПОТОМ поверх мотаем вторичку 4 витка скрученными в косу 2-я проводами. При таком подходе КСВ получилось ещё лучше - меньше 1.1. Фото чего и как. а тут вот так вот намотано и вроде все хорошо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 539] Автор : UT4LW Дата : 17.02.2017 14:42 а тут вот так вот намотано Это трансформатор 1:16. Не уверен, что это хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 540] Автор : UT0UM Дата : 17.02.2017 14:49 Это трансформатор 1:16. Не уверен, что это хорошо. капец -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 541] Автор : RZ1ZR Дата : 18.02.2017 12:08 в итоге мой трансивер стал таким: 256314 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 542] Автор : rz3qs Дата : 18.02.2017 12:52 мой трансивер стал таким А где PCA9555, без нее второй RX не будет иметь управления железом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 543] Автор : RZ1ZR Дата : 18.02.2017 13:02 А где PCA9555, без нее второй RX не будет иметь управления железом. второй RX пока без управления, напрямую, жду платку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 544] Автор : RN3KK Дата : 18.02.2017 19:59 RZ1ZR, вы будете в деталях рассказывать как запитали АЦП? И что из этого получилось? :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 545] Автор : RZ1ZR Дата : 19.02.2017 01:04 RZ1ZR, вы будете в деталях рассказывать как запитали АЦП? И что из этого получилось? :smile: да вроде Юрий UT4LW все рассказал, сообщение #515 (http://www.cqham.ru/forum/showthread.php?33480-%D0%A1%D0%94%D0%A0-%D1%82%D1%80%D0%B0%D0%BD%D1%81%D0%B8%D0%B2%D0%B5%D1%80-%D0%BD%D0%B0-%D0%B1%D0%B0%D0%B7%D0%B5-Red-Pitaya&p=1363168&viewfull=1#post1363168), а сделал так: 256373 256376 256374 256375 256378 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 546] Автор : UA3RW Дата : 19.02.2017 09:33 не видно как запаяны резисторы и конденсаторы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 547] Автор : RZ1ZR Дата : 19.02.2017 10:19 не видно как запаяны резисторы и конденсаторы они под трансформаторами, вернее под клеем, да, не видно, но они есть ))) припаяны на пустые площадки. 256386 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 548] Автор : UA3RW Дата : 19.02.2017 10:56 я не понял зачем с противоположной стороны платы выпаиваются микросхемы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 549] Автор : RZ1ZR Дата : 19.02.2017 11:51 А где PCA9555, без нее второй RX не будет иметь управления железом. добавил PCA9555 256391 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 550] Автор : rz3qs Дата : 19.02.2017 12:53 добавил PCA9555 С адресом 3. С нее берите управление BPF RX1 и LPF. Добавляйте сразу еще одну 9555 c адресом 0. Выходы RP DIO4-7P подключать никуда не надо, тогда будет возможность использовать Ext Control по своему назначению. Железо должно работать со всем функционалом программы, сегодня Вам кажется все не надо, а завтра раз, захотелось то, а в железе этого нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 551] Автор : RZ1ZR Дата : 19.02.2017 13:29 С адресом 3. С нее берите управление BPF RX1 и LPF. Добавляйте сразу еще одну 9555 c адресом 0. Выходы RP DIO4-7P подключать никуда не надо, тогда будет возможность использовать Ext Control по своему назначению. Железо должно работать со всем функционалом программы, сегодня Вам кажется все не надо, а завтра раз, захотелось то, а в железе этого нет. Евгений, не понятно с адресами, чуть подробнее объясните. вернее как в программе выбираются эти адреса ? Добавлено через 6 минут(ы): и еще вопрос, заказал такую платку (https://www.artekit.eu/products/breakout-boards/ak-pcf8575-i2c-16-bit-io-expander-breakout/) с ней нюансов не возникнет ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 552] Автор : rz3qs Дата : 19.02.2017 14:05 как в программе выбираются эти адреса ? В программе все делается автоматически, а вот на платках эти адреса выставляются перемычками. RP определяет эти устойства и начинает с ними работать. заказал такую платку PCF8575 работать не будет. PCA9555 или MCP23017. У китайцев на 23017 есть готовые платки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 553] Автор : RN3KK Дата : 19.02.2017 23:01 да вроде Юрий UT4LW все рассказал, сообщение #515 (http://www.cqham.ru/forum/showthread.php?33480-%D0%A1%D0%94%D0%A0-%D1%82%D1%80%D0%B0%D0%BD%D1%81%D0%B8%D0%B2%D0%B5%D1%80-%D0%BD%D0%B0-%D0%B1%D0%B0%D0%B7%D0%B5-Red-Pitaya&p=1363168&viewfull=1#post1363168), а сделал так: Понятно, спасибо. Это в данном включении на 145 мгц была потеря чувствительности? Или Вы там не меряли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 554] Автор : RZ1ZR Дата : 19.02.2017 23:14 Понятно, спасибо. Это в данном включении на 145 мгц была потеря чувствительности? Или Вы там не меряли? измерения проводились только на КВ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 555] Автор : RN3KK Дата : 20.02.2017 11:09 Интересно было бы до 500 МГц промерять с таким трансом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 556] Автор : RZ1ZR Дата : 20.02.2017 11:16 Интересно было бы до 500 МГц промерять с таким трансом. пока нечем сделать такие замеры ((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 557] Автор : UA3RW Дата : 21.02.2017 22:34 ну вот и я запаял трансформаторы напрямую к АЦП, шум упал с -126 до -132 dBm, результат радует :-P параметры пока не измерял. Сегодня переделал один канал по Вашей методике напрямую к АЦП.Уровень шума -131dBm от 20 до 10 метров. От 160 до 30 метров -111 dBm. Так и должно быть или нужны какие калибровки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 558] Автор : RZ1ZR Дата : 21.02.2017 23:28 Сегодня переделал один канал по Вашей методике напрямую к АЦП.Уровень шума -131dBm от 20 до 10 метров. От 160 до 30 метров -111 dBm. Так и должно быть или нужны какие калибровки? шум до калибровки был -132, после -133, на всех диапазонах одинаковый, разница у вас в 20dBm, что то не то... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 559] Автор : UA3RW Дата : 22.02.2017 06:36 Поясните ,что вы понимаете под калибровкой. Я первый раз сталкиваюсь с СДР. Желательно поподробнее или ссылку где об этом можно почитать. Один канал уже переделан и сравнить ,что было до переделки не смогу. Я ничего не калибровал. В 10 битной сделал как у RZ3QS.В 14 битной все выпаял как у Вас. Разница в 10 и 14 битной приблизительно одинаковая по диапазонам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 560] Автор : Serg Дата : 22.02.2017 10:57 Разница в 10 и 14 битной приблизительно одинаковая по диапазонам. Только по чутью или по максимальному уровню ГСС тоже? (BDR) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 561] Автор : RZ1ZR Дата : 22.02.2017 11:10 Поясните ,что вы понимаете под калибровкой. в программе, в настройках, указываете частоту и уровень генератора который подаете на трансивер, затем нажимаете "Start" 256625 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 562] Автор : UA3RW Дата : 22.02.2017 11:29 Это надо сделать на каждом диапазоне? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 563] Автор : RZ1ZR Дата : 22.02.2017 11:45 Это надо сделать на каждом диапазоне? делал на одном, совпало на всех, разница с калибровкой 1dBm, поэтому думаю можно ее и не делать, пробовал уровни до -50 (меньше не было) совпадение полное на всех диапазонах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 564] Автор : RZ1ZR Дата : 22.02.2017 16:52 делал на одном, совпало на всех, разница с калибровкой 1dBm, поэтому думаю можно ее и не делать, добавлю, это если используется одна плата, с УВЧ и АТТ калибровку делать обязательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 565] Автор : LZ1AO Дата : 24.02.2017 20:24 На днях LZ7AA запустил Red Pitaya с скиммерами на cluster.lz1kaa.com:7300 Пока работают 4 диапазона, CW, RTTY. Все споты в RBN с LZ7AA - с многоканальном приемнике на Red Pitaya. Ето максимум пока, производительност компютера ограничивает. 73! Сергей LZ1AO ПП. По каким то причинам сайт добавляет пробел в номер порта, читать как 7300 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 566] Автор : UT0UM Дата : 26.02.2017 18:12 На днях LZ7AA запустил Red Pitaya с скиммерами Сергей, а есть подробности как он переделал входную часть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 567] Автор : LZ1AO Дата : 27.02.2017 15:14 UT0UM, Пока никакие переделки. Вход нагружен 50 ом резистором и подлючен к его вертикалу коммутатором. Я начал проектировать плату разширения, на которой будут НЧФ 32MHz, ВЧФ 1.5MHz, переключаемий аттенюатор, отключаемий ВЧУ , защита от перегрузки и т.д. Механически ето будеть закреплено к RedPitaya, будеть возможность переключения ее в стандартний или SDR режим. Никак не хочется калечить плату переделками и терять возможность пользоватся ей как осциллоскоп, например. Когда сделаю все, будеть описание и документация на lz1kaa.com. В ближайшее время поставим другую антенну, рамки и усилитель LZ1AQ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 568] Автор : Balaganoff Дата : 28.02.2017 08:37 Подскажите пожалуйста, а в программе PowerSDR можно назначить второй вход основным? Если да, ткните носом как это сделать. И еще вопрос. А кто-то уже пробовал питайю в реальных условиях на УКВ? По какой схеме реализован полосовой фильтр и МШУ ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 569] Автор : Kia2700d Дата : 28.02.2017 18:28 в setup надо выбрать анан-100д или 200д. тогда появится закладка ADC и там можно переназначить входы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 570] Автор : UA3RW Дата : 28.02.2017 19:13 По данным от Павла Демина в указанных вами анан 100д и 200д не будет работать pure signal Добавлено через 28 минут(ы): шум до калибровки был -132, после -133, на всех диапазонах одинаковый, разница у вас в 20dBm, что то не то... С разницей в 20dBm разобрался (был включен аттенюатор на нч). Сегодня нашел время для калибровки.Почитал http://www.cqham.ru/forum/showthread.php?34155-%D2%F0%E0%ED%F1%E8%E2%E5%F0-_HERMES-_%F1%E2%EE%E8%EC%E8-%F0%F3%EA%E0%EC%E8/page17 У меня две питаи 10 и 14 битная. Когда я калибровл с Г4-118 (еще не был знаком с сообщениями на указанном форуме) и выставлял на генераторе 50dB думая что на выходе 0.707 мВ. Тогда у меня ,как и вас получилось -133 dBm. Но после выяснилось,что генератор выдает неправильный уровень. Нужно ставить на генераторе 63dB (что соответствует 0.707мВ) с генератора и в программе соответственно Level-50 dbm.И вот что получил Для 14 битной -119 Для 10 битной (переделанной по RZ3QC) -121-122. Так что возникли сомнения в правильности калибровки и в показаниях-133 .Может где то ошибаюсь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 571] Автор : RZ1ZR Дата : 28.02.2017 19:23 Так что возникли сомнения в правильности калибровки и в показаниях-133 .Может где то ошибаюсь? -132 без калибровки, еще момент, без сопротивления 62 ома по входу трансформатора тоже в пределах -122. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 572] Автор : UA3RW Дата : 28.02.2017 21:58 Без калибровки на 2 комьютерах тоже было -131-132. После калибровки -132-133.Сопротивление 62 ома стоит. КСВ по входу около 1.25. Вопрос возник после выяснения правильности установки выходного уровня генератора пост 164 165 http://www.cqham.ru/forum/showthread.php?34155-%D2%F0%E0%ED%F1%E8%E2%E5%F0-_HERMES-_%F1%E2%EE%E8%EC%E8-%F0%F3%EA%E0%EC%E8/page17 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 573] Автор : RN3KK Дата : 03.03.2017 10:35 Без калибровки на 2 комьютерах тоже было -131-132. После калибровки -132-133.Сопротивление 62 ома стоит. КСВ по входу около 1.25. Вопрос возник после выяснения правильности установки выходного уровня генератора пост 164 165 http://www.cqham.ru/forum/showthread.php?34155-%D2%F0%E0%ED%F1%E8%E2%E5%F0-_HERMES-_%F1%E2%EE%E8%EC%E8-%F0%F3%EA%E0%EC%E8/page17 Есть новости? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 574] Автор : UA3RW Дата : 03.03.2017 11:37 Да новости есть. Сейчас достал генератор Г4-176. Откалиброван в dBV и мV. Дал на выход -63dBm , а затем 0.707мВ в обоих случаях получил -119dBm. -133 dBm получается только при подаче с генератора -50dBV. Так что у Вас с измерениями все правильно было. -133dBm ошибка в калибровки из за неправильного уровня с генератора (-50dBV). Перемотал по совету UT4LW трансформатор 1:8 (кстати легче запаивать т.к обмотки в разные стороны) и получил -123 dBm.Сейчас буду запаивать трансформатор окончательно. По входу стоит резистор 62 Ом. Не знаю с чем связан такой номинал и нельзя ли заменить его на 50 Ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 575] Автор : RN3KK Дата : 03.03.2017 11:43 По входу стоит резистор 62 Ом. Не знаю с чем связан такой номинал и нельзя ли заменить его на 50 Ом. Думаю это плоды оптимизации.Можете поставить подстроечный и покрутить. Возможно еще что-то выжмите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 576] Автор : UA3RW Дата : 03.03.2017 11:58 Ну и я пришел к выводу ,что непосредственное подключение к АЦП (кому не нужен УКВ) дополнительная головная боль. Правда не знаю насколько увеличилась динамика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 577] Автор : rz3qs Дата : 03.03.2017 12:04 По входу стоит резистор 62 Ом. Не знаю с чем связан такой номинал и нельзя ли заменить его на 50 Ом. Его нужно ставить по возможности больше, но смотреть неравномерность 160 и 6 м, по КСВ будет видно, по чувствительности тоже, только калиброваться надо и на 160, и 6 м отдельно, чтобы отсекать каждый дБ.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 578] Автор : UT4LW Дата : 03.03.2017 12:13 Для трансформатора 1:8 сопротивление нужно увеличить до 100 Ом. Смысл всей этой работы в увеличении динамики. Для DDC это главное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 579] Автор : UA3RW Дата : 03.03.2017 12:35 А как все это скажется на согласование с ДПФ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 580] Автор : UT4LW Дата : 03.03.2017 12:42 Нормально. Сопротивление входа АЦП не бесконечно и его нужно учитывать. Но я бы лучше поставил сопротивления на выходе транса. 2 по 250...300 Ом. Подбирать по минимуму шума. В процессе подбора калибрование НЕ производить! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 581] Автор : rz3qs Дата : 03.03.2017 12:57 В процессе подбора калибрование НЕ производить! Как тогда определить реальное S/N, или минимум шума собственного (с резистором 50 Ом на входе) совпадает с максимальной чувствительностью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 582] Автор : UA3RW Дата : 03.03.2017 13:09 Нет по выходу ставить не буду ничего. Уже наигрался. Глаза не видят. Что у меня сейчас получилось.При сопротивлении 62 ом ксв 1.1 1.2 до 30 мГц и дальше растет. При 100 омах КСВ на 160м около 1.85 далее спадает медленно до 39 мГц далее почти единица. Но шум стал -124-125 dBm. Ом 75 под рукой нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 583] Автор : rz3qs Дата : 03.03.2017 13:39 КСВ на 160м около 1.85 далее спадает медленно до 39 мГц далее почти единица. У измерителя КСВ устанавливайте минимально возможный уровень на выходе, чтобы заведомо не было перекачки АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 584] Автор : RN3KK Дата : 03.03.2017 14:30 Как тогда определить реальное S/N, или минимум шума собственного (с резистором 50 Ом на входе) совпадает с максимальной чувствительностью. Думаю можно подать заведомо известный уровень и смотреть изменение его с/ш. Сразу в уме можно вычислять цифры реальной шумовой полки. А уже после откалибровать и убедиться в правоте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 585] Автор : UA3RW Дата : 03.03.2017 14:36 Не знаю у меня самодельный на STM32. провел лабораторную работу. На 100 омах получаю -125 dBm ,но на нч ксв около 1.9 и медленно к 36 мГц спадает. При 120 омах ксв больше 2 и где то -125-126. ПРИ 75 омах -123-124 и ксв 1.8-1.46 3.3-1.35 12-1.28 20-1.21 27-1.11 35-0 При 68 омах -123-124 dbm b ксв 1.8-1.36 3.3-1.26 20-1.1 45-1.34 Ну на этом наверное закончу и оставлю 75 ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 586] Автор : RN3KK Дата : 03.03.2017 14:36 Кстати нет ли желающих заказать трансы TC8-1+ 1 к 8. Цена за один 160 руб. Но партия от 10 шт. сроки 2 недели. Если народу на партию наберется, можно заказать. Себе пару возьму. http://194.75.38.69/pdfs/TC8-1+.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 587] Автор : Kia2700d Дата : 03.03.2017 14:49 А почему не ADT9-1T+ ? Он более широкополосный на НЧ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 588] Автор : RN3KK Дата : 03.03.2017 15:20 Потому что на сантиметрах он уже не работает. 1.8мгц и 2 мгц сильно отличаются? Или есть уверенность что на 1.8 трансформатор имеет сильный завал? Думаю не имеет значения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 589] Автор : UT4LW Дата : 03.03.2017 15:53 Как тогда определить реальное S/N, или минимум шума собственного (с резистором 50 Ом на входе) совпадает с максимальной чувствительностью. Задача - получить минимум шумов на входе АЦП. Только так мы получим увеличение ДД снизу. Поэтому в процессе поиска этого минимума ни в коем разе не калибровать приемник. Когда минимум получен - калибруем. Лучшие результаты (130 дБ для 2209) получены так: сопротивления по 2х270 Ом на входе АЦП. На входе трансформатора - подстроечный конденсатор 1\10 пФ - по нему четко определяется спад шума. Подстроечник максимально близко к трансформатору. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 590] Автор : RZ1ZR Дата : 05.03.2017 19:04 по просьбе UA3RW, замер после калибровки платы, между платой и генератором аттенюатор -40 dB. https://youtu.be/NRxuLWnhZLk -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 591] Автор : RZ1ZR Дата : 05.03.2017 20:26 измерил КСВ, без АТТ, соединение с анализатором напрямую. 257716 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 592] Автор : vadim_d Дата : 05.03.2017 21:22 измерил КСВ Я бы процитировал ворону из мультика: "Пррррелестно!" :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 593] Автор : UA3RW Дата : 06.03.2017 11:25 по просьбе UA3RW, замер после калибровки платы, между платой и генератором аттенюатор -40 dB. https://youtu.be/NRxuLWnhZLk Александр! Спасибо что не забыли про мою просьбу.Если вы уверены в своих измерениях и у вас так получилось ,то остается вас только поздравить. К сожалению у меня так не получается. Тем более с трансформатором 1:4. Шум был -119dBm. После установки трансформатора 1:8 стало -124 -125. Ролик выложенный Вами мне по крайней мере ничего не дал. Качество все же плоховатое. -131 dBm и после включение генератора и показания генератора -50dBm говорит о том что вы на данном уровне в программе калибровали плату. Я Вам предлагаю сделать следующее. Если у вас есть такая возможность? Подать на вход платы 50мкВ. В программе на шкале S-метра должно быть ровно 9 баллов и -73dBm. Я такое у себя сделал и полностью уверен в своих измерениях.Генератор Г4-176. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 594] Автор : RZ1ZR Дата : 06.03.2017 12:32 Ролик выложенный Вами мне по крайней мере ничего не дал. Качество все же плоховатое. файлы с хорошим качеством в архивах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 595] Автор : RZ1ZR Дата : 06.03.2017 13:48 может кто подскажет, а как откалибровать второй приемник RX2, как его выбрать для калибровки ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 596] Автор : UA3RW Дата : 06.03.2017 14:42 Я думаю выбрать в меню ANAN-100D и поменять приемники в меню ADC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 597] Автор : RZ1ZR Дата : 06.03.2017 14:45 Я думаю выбрать в меню ANAN-100D и поменять приемники в меню ADC. выбран ANAN-100D, и ADC менял, не получается, что то наверное еще нужно сделать... у вас так получается ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 598] Автор : rz3qs Дата : 06.03.2017 15:02 а как откалибровать второй приемник RX2 ГСС приемник RMS вольтметр НЧ С/Ш 10 дБ, считаем чувствительность, и если все правильно, при одинаковых входах на RX1 RX2, то калибровка RX2 совпадет с RX1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 599] Автор : RZ1ZR Дата : 06.03.2017 15:14 ГСС приемник RMS вольтметр НЧ С/Ш 10 дБ, считаем чувствительность, и если все правильно, при одинаковых входах на RX1 RX2, то калибровка RX2 совпадет с RX1. да так то понятно, думал что и программно можно сделать как на RX1, сейчас после калибровки RX1 разница с RX2 составляет около 2 dBm, в принципе мелочь и можно внимание не обращать, но хочется идеала :-P Евгений, попутно еще вопрос, вы с "осой" разобрались наверное, как два уровня подать с генератора ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 600] Автор : UA3RW Дата : 06.03.2017 16:04 выбран ANAN-100D, и ADC менял, не получается, что то наверное еще нужно сделать... у вас так получается ? Нет я не делал.У меня только один приемник переделан. Один бинокль снял с 10 битной. Так что две платы сейчас имеют по одному приемнику. Пока подожду переделывать. Если у кого-нибудь получится как у вас ,то переделаю.Если нет то сделаю как и раньше у RZ3QS/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 601] Автор : Serg Дата : 06.03.2017 16:10 Так что две платы сейчас имеют по одному приемнику. А можно сравнить генератором, при каком уровне наступит перегрузка АЦП 10 и 14 Бит, т.е. предел, когда на панораме лес мусора будет? (естественно, перекалибровать программы под каждую плату, сказать и собственный шум без сигнала) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 602] Автор : UA3RW Дата : 06.03.2017 16:17 То есть увеличивать сигнал до тех пор как на экране появится мусор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 603] Автор : UT4LW Дата : 06.03.2017 16:17 т.е. предел, когда на панораме лес мусора будет? Лес не получается. Просто проседает полезный сигнал. Я такое впервые встречаю, может это какая-то хитрость внутри именно этого АЦП. Кстати, Сергей,UA3RW, Вы наблюдаете лес палок при максимальном сигнале от генератора? Надо сигнал не менее +10 дБм (0.7В). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 604] Автор : UA3RW Дата : 06.03.2017 16:28 Сейчас уже подходит конец смены.Вот что получилось для 10 битной. на генераторе 23 мВ плюс стоит еще +6 dB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 605] Автор : RZ1ZR Дата : 06.03.2017 16:33 Если у кого-нибудь получится как у вас ,то переделаю. RN3KK включил АЦП напрямую, поинтересуйтесь о результатах у него. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 606] Автор : UA3RW Дата : 06.03.2017 16:34 у него также как у меня.Поэтому и возникли сомнения. Ну вот подал -5dBV .При этом в программе dBM сначала уменьшается, а потом останавливается на одном уровне .С генератора сейчас не могу сразу пересчитать в dBm. НУ где-то 800 мВ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 607] Автор : RZ1ZR Дата : 06.03.2017 17:07 у него также как у меня. посмотрел его скрины на сайте, у него также как и у меня на S-метре при выборе "Signal" -126, при включении "Sig Avg" будет примерно -132, может мы по разному смотрим на S-метр :-P Добавлено через 13 минут(ы): Лес не получается. Просто проседает полезный сигнал. Я такое впервые встречаю, может это какая-то хитрость внутри именно этого АЦП. даташит ничего не подскажет ? 257782 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 608] Автор : Serg Дата : 06.03.2017 20:23 То есть увеличивать сигнал до тех пор как на экране появится мусор? С учетом последней инфо про ограничительные диоды, наверно надо наращивать уровень до того момента, как показометр dBm-метра в программе перестанет расти и на этом останавливаться. Если что, перевод dBm <-> dBV тут: http://www.analog.com/ru/design-center/interactive-design-tools/dbconvert.html Кстати, а там сколько смещение подается в среднюю точку, вроде эту цепь народ тоже модифицирует, не меньше хоть делают напряжение? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 609] Автор : RN3KK Дата : 07.03.2017 00:20 В блоге не только в скрины смотреть нужно, а и читать, там цифири написаны. Это первая переделка, когда на вход второго приемника сигнал подавался. Данные табличкой привел, т.к. Скрины на укв имеют вид будто это КВ и все путаться будут. И откалиброваться со второго приемника не понял как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 610] Автор : UA3RW Дата : 07.03.2017 07:47 С учетом последней инфо про ограничительные диоды, наверно надо наращивать уровень до того момента, как показометр dBm-метра в программе перестанет расти и на этом останавливаться. Если что, перевод dBm <-> dBV тут: http://www.analog.com/ru/design-center/interactive-design-tools/dbconvert.html Кстати, а там сколько смещение подается в среднюю точку, вроде эту цепь народ тоже модифицирует, не меньше хоть делают напряжение? Показания перестают расти на -13 dBm метра. ПРи дальнейшем увеличении уровня ничего на экране не рассыпается. Я проверил на 10 битной питаи. Но там после операционника стоят перед АЦП у меня ограничительные диоды. Снимки платы http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya/page42 пост 418 Прошу посмотреть размер Sd-карты. Сейчас уже не помню (питаи на работе).Но почему то после распаковки на нее файлов я вижу, что это не 4 гГБ карточка а приблизительно на 180 мГб. И после форматирования также. Добавлено через 59 минут(ы): Сейчас проверил 14 битную питаю. Поведение совсем другое. Показометр dBm метра не останавливается. Довел входное напряжение до 270 мВ.Далее не стал боюсь сжечь АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 611] Автор : UA3RW Дата : 07.03.2017 07:50 После 275 мВ показания не растут.Довел уровень до 400 мВ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 612] Автор : RZ1ZR Дата : 07.03.2017 11:17 разобрался с вторым приемником, при калибровки первого точно также автоматом калибруется и второй, т.е. если входа у приемников разные, то калибровка второго приемника будет не корректной. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 613] Автор : RN3KK Дата : 07.03.2017 15:29 Вот видимо причина ограничения сигнала (см. обведенное на картинке) Еще в АЦП стоит формирователь меандра получается, и внешний генератор может быть обычным кварцевым с синусом на выходе? Так получается по даташиту вроде бы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 614] Автор : RN3KK Дата : 07.03.2017 17:32 Хотя нет, диоды то не между линиями включены. Не понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 615] Автор : rn6xc Дата : 07.03.2017 18:55 Далее не стал боюсь сжечь АЦП Не сгорит, но картинка не очень... Что за источник сигнала? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 616] Автор : UA3RW Дата : 07.03.2017 20:59 Г4-176 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 617] Автор : Serg Дата : 08.03.2017 12:55 Показания перестают расти на -13 dBm метра. ПРи дальнейшем увеличении уровня ничего на экране не рассыпается. Я проверил на 10 битной питаи. Сейчас проверил 14 битную питаю. После 275 мВ показания не растут. Это милливольты RMS или по осциллу размах? Если rms = +1.7dBm, ну примерно сходится с данным RN3KK в его блоке. Спасибо. Напомните еще сколько у 10ти и 14битной получился собственный шум dBm? 10 битная, получается, что слабее на ~14...15дБ по макс. уровню перегрузки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 618] Автор : UA3RW Дата : 09.03.2017 08:19 На 10 битной шум -125 dbm. На 14 битной -124 dbm. Да милливольты в RMS. Но замеры на скорую руку. Если будет время сегодня то перемеряю. Про уровень перегрузки ничего не скажу. На 10 битной остались операционники и после них стоят (по-моему диоды) до АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 619] Автор : Balaganoff Дата : 15.03.2017 00:32 А эта красная питайя умеет работать без подключения к интернету? (ну я про операционку конечно) Или она каждый раз со своим магазином приложений связывается? Кто-то пробовал ей урезать права на выход в инет для эксперимента? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 620] Автор : EW3M Дата : 15.03.2017 18:36 Только что попробовал,работает без интернета. Вообщем-то я в этом и не сомневался.По wi-fi тоже удобно пользоваться,лёжа на диване:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 621] Автор : RZ1ZR Дата : 15.03.2017 19:33 По wi-fi тоже удобно пользоваться,лёжа на диване:smile: а настройками не поделитесь, пожалуйста! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 622] Автор : EW3M Дата : 15.03.2017 19:46 я имел ввиду по внутренней, домашней сети wi-fi, а к red pitaya модуль я ещё к сожалению не приобрёл. Pitaya подключена к модему кабелем. Модем с wi-fi,ноутбук тоже:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 623] Автор : Balaganoff Дата : 16.03.2017 12:55 Владельцам красных платок возможно покажется интересным вот это (https://github.com/pothosware/SoapyRedPitaya/wiki) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 624] Автор : rz3qs Дата : 19.03.2017 15:26 В 3.3.11 с PureSignal стало удобней работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 625] Автор : RZ1ZR Дата : 19.03.2017 16:36 В 3.3.11 с PureSignal стало удобней работать. а как вы сигнал с УМ снимаете ? схемку или фото покажите. кстати 3.3.12 вышла. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 626] Автор : rz3qs Дата : 19.03.2017 17:01 как вы сигнал с УМ снимаете Отдельный ответвитель, можно подключать любые УМы, два кольца FT82-61. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 627] Автор : RV3DLX Дата : 19.03.2017 18:32 кстати 3.3.12 вышла Установил 3.3.12, но почему то режим PureSignal не работает. В 3.3.9 работал прекрасно. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 628] Автор : rz3qs Дата : 19.03.2017 19:00 Установил 3.3.12, но почему то режим PureSignal не работает. С RP работает в 3.3.12. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 629] Автор : Serg Дата : 19.03.2017 19:35 Такой еще ответвитель попался, может кому интересно, с замерами инфо: https://sites.google.com/site/vkonehw/home/homebrew/taps/rf-sampler -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 630] Автор : RV3DLX Дата : 19.03.2017 19:40 С RP работает в 3.3.12. Спасибо! У меня правда Гермес. Может быть я что то не так включаю? В новой программе совсем другая заставка PureSignal и там нет кнопки включения режима. Что касается ответвителя, то я беру сигнал с рефлектометра, который уже есть в усилителе, осталось туда добавить аттенюатор для ослабления сигнала. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 631] Автор : rz3qs Дата : 19.03.2017 19:48 В новой программе совсем другая заставка PureSignal и там нет кнопки включения режима. Кнопка PS-A -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 632] Автор : RV3DLX Дата : 19.03.2017 19:54 Кнопка PS-A Огромное спасибо! Век живи, век учись:-P. Все работает, уровень ИМД -50дБм. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 633] Автор : RN3KK Дата : 20.03.2017 16:57 Кто чем занят? Я сделал внешний опорник 125 МГц на кварце. Еще не подключал, осталось переход к диф. паре сделать как в даташите написано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 634] Автор : pavel-demin Дата : 22.03.2017 23:02 Вышла новая версия (0.94-1576) приложения "SDR transceiver compatible with HPSDR" для Red Pitaya с исправленным фазовым подавлением помех. В новой версии локальные осцилляторы (DDS) двух первых приемников работают синфазно и не расфазируются при изменении частоты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 635] Автор : DerBear Дата : 23.03.2017 00:09 Павел, а есть возможность прикрутить плату к SDR Sharp и обозревать полосу в 62.5МГц? Интересует возможность на УКВ плату запустить, но с полоской всего в 300кГц - как то грустно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 636] Автор : pavel-demin Дата : 23.03.2017 10:06 Павел, а есть возможность прикрутить плату к SDR Sharp и обозревать полосу в 62.5МГц? Если под обозревать подразумевается еще и слушать, т.е. непрерывный поток I/Q данных, то 62.5 МГц по гигабитной сети не пройдет. 6.25 МГц и только на прием сделать вполне возможно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 637] Автор : RZ1ZR Дата : 23.03.2017 10:28 Павел, новый протокол не планирует сделать в RP, для таких программ как "Thetis", "cuSDR4", "SDR-Radio v3" ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 638] Автор : pavel-demin Дата : 23.03.2017 15:01 Павел, новый протокол не планирует сделать в RP, для таких программ как "Thetis", "cuSDR4", "SDR-Radio v3" ? Нет пока не планирую, т.к. пока не вижу никаких преимуществ нового протокола применительно к Red Pitaya. На мой взгляд, новый протокол сделан специально для таких платформ как Angelia и Orion с большими ПЛИС (EP4CE115, EP4CGX150). У этих платформ вычислительных ресурсов в 3-5 раз больше чем у Red Pitaya (XC7Z010). Т.е. у Red Pitaya сильно недостаточно вычислительных ресурсов, чтобы реализовать все возможности нового протокола. К тому же из программ пока только Thetis готов к практическому применению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 639] Автор : WladN Дата : 23.03.2017 16:16 Если под обозревать подразумевается еще и слушать, т.е. непрерывный поток I/Q данных, то 62.5 МГц по гигабитной сети не пройдет. 6.25 МГц и только на прием сделать вполне возможно. Павел,обзор в 65Мгц в основном нужен и очень для того,чтобы отследить электромагнитную обстановку в определенном месте,или на определенную антенну. И его не обязательно постоянно включенным делать,только по необходимости. Было бы здорово! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 640] Автор : pavel-demin Дата : 23.03.2017 16:49 Павел,обзор в 65Мгц в основном нужен и очень для того,чтобы отследить электромагнитную обстановку в определенном месте,или на определенную антенну. И его не обязательно постоянно включенным делать,только по необходимости. Было бы здорово! Для обзора в 62 МГц без прослушивания у Red Pitaya есть анализатор спектра: http://redpitaya.readthedocs.io/en/latest/doc/appsFeatures/apps-featured/spectrum/spectrum.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 641] Автор : WladN Дата : 23.03.2017 18:42 Ну это не то. Я имел в виду работу платки в качестве трансивера. Нельзя ли сделать панораму в 30-60мгц как отдельное окно,как выплывают окна настройки предыскажений на передачу и регулировки фазы и амплитуды на прием? Пусть грубоватой будет,но что бы калибровка по уровням оставалась,что бы понять, что творится на входе антенны. Например как в проге SDRMAXV. Можно даже без водопада,но с ним удобнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 642] Автор : pavel-demin Дата : 23.03.2017 20:03 Нельзя ли сделать панораму в 30-60мгц как отдельное окно,как выплывают окна настройки предыскажений на передачу и регулировки фазы и амплитуды на прием? Понял, но простых решений этой проблемы я не вижу. Программа PowerSDR mRX PS такой обзор не поддерживает. Если бы даже поддерживала, то шкала частот была бы неправильная, т.к. у Hermes и Red Pitaya АЦП работают на разных частотах (122.88 и 125.00 МГц). Т.е. отображаемые частоты будут на 2% меньше реальных. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 643] Автор : WladN Дата : 23.03.2017 20:39 Ясненько. Жаль,конечно,но как есть. Павел,спасибо за разьяснения и быстрые ответы. Успехов Вам! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 644] Автор : Serg Дата : 23.03.2017 21:11 у Hermes и Red Pitaya АЦП работают на разных частотах (122.88 и 125.00 МГц). Т.е. отображаемые частоты будут на 2% меньше реальных. Несовпадение 2% не страшно, для обзора сойдет. Если будет работать и в таком варианте bandscope в cusdr -уже хорошо. Еще такой вопрос, а нет ли идей сделать универсальный образ flash-карты, в котором были бы записаны все нужные сдр-варианты программ, и выбор делался бы переключением какого-то пина на плате? Т.е. допустим надо загрузить программу hermes-транивера - переключателем выбираем какой-то пин и делаем сброс питания - грузится с флешки соответствующая программа. Надо другую - переключатель ставим на замыкание другого пина и грузим уже к примеру программу WSPR-трансивера... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 645] Автор : Kia2700d Дата : 23.03.2017 21:40 сдр-варианты программ, и выбор делался бы переключением какого-то пина на плате? такой вопрос решается довольно просто конечным юзером. состояние пинов можно прочесть командой monitor после чего вызвать нужную программу. скрипт поместить в /etc/rc.local для авто запуска после загрузки. redpitaya> monitor 0x40000018 To read P Outputs. redpitaya> monitor 0x4000001C To read N Outputs. redpitaya> monitor 0x40000020 To read P Inputs. redpitaya> monitor 0x40000024 To read N Inputs. . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 646] Автор : pavel-demin Дата : 23.03.2017 22:13 Еще такой вопрос, а нет ли идей сделать универсальный образ flash-карты, в котором были бы записаны все нужные сдр-варианты программ, и выбор делался бы переключением какого-то пина на плате? Такие образы flash-карт уже есть: http://redpitaya.readthedocs.io/en/latest/doc/quickStart/SDcard/SDcard.html http://pavel-demin.github.io/red-pitaya-notes/debian-ecosystem Нужные программы устанавливаются через магазин бесплатных приложений: http://bazaar.redpitaya.com/ Запускаются программы через веб интерфейс. Если почему-то не нравится запускать веб браузер, то можно написать простенькую программку с отдельной кнопкой для каждой нужной программы. При нажатии на кнопку программка должна будет вызывать соответствующую ссылку. Вот как выглядят ссылки для запуска и остановки SDR receiver compatible with HPSDR: http://192.168.1.100/bazaar?start=sdr_receiver_hpsdr http://192.168.1.100/bazaar?stop=sdr_receiver_hpsdr Переключение пинами не получится, т.к. свободных пинов не осталось. Только если через I2C расширитель портов (PCA9555, MCP23017 и т.п.). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 647] Автор : EW3M Дата : 25.03.2017 11:58 Приехала плата аудиокодека 259330 подключил,работает. Смущает только что появилось это 259331 причём на всех диапазонах на 14.208, на 21.120, на 28.032 на 3,650 и т.д. Ещё множество поражёнок с более низким уровнем на всех диапазонах. При использовании родной звуковой карты ноутбука с VAC и снятии питания с этого аудиокодека подобного не наблюдается. Куда копать? Попробовал на кодеке всё отключить,оставил только питание ничего не меняется. Помеха пропадает только при снятии питании с кодека. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 648] Автор : EW3M Дата : 26.03.2017 11:43 Вот на немецком форуме http://forum.cq-nrw.de/viewtopic.php?f=14&t=129&start=20 описана такая же проблема. Видимо придётся отказаться от кодека. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 649] Автор : rz3qs Дата : 26.03.2017 12:06 Видимо придётся отказаться от кодека. Видимо мало работаете в эфире, чтоб отказаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 650] Автор : EW3M Дата : 26.03.2017 12:11 К сожалению в настоящее время вообще не работаю, у Вас такая же плата,интересно Вам не мешают эти гармоники? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 651] Автор : rz3qs Дата : 26.03.2017 12:24 Вам не мешают эти гармоники? Нет, уровень меньше S1, а на 7106 чистый тон, который вырезается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 652] Автор : EW3M Дата : 26.03.2017 12:29 Понятно,я думал есть какие-то другие решения. На самом деле огромное количество гармоник присутствует и с этим видимо придётся смириться:-| -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 653] Автор : UT4LW Дата : 26.03.2017 12:37 Может это "мусорит" тактовый генератор кодека? Не пробовали блокировать емкостями его питание? Или вообще отнести его с помощью шлейфа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 654] Автор : rz3qs Дата : 26.03.2017 12:39 огромное количество гармоник присутствует и с этим видимо придётся смириться Где огромное. У себя я их еще могу уменьшить, нужно ставить экран на входную часть, т.е. от SMA разъема до АЦП. Питание кодека отдельное, провода к RP как можно короче и в экране. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 655] Автор : RZ1ZR Дата : 26.03.2017 12:50 тоже столкнулся с такой проблемой, полностью избавиться от гармоник не получилось, пока отключил, нужно искать другое решение, или менять сам кодек на какой то другой.. кстати, а есть что то другое ? p.s. в принципе не мешает, но ощущение того, что, что то не правильно, не много напрягает :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 656] Автор : EW3M Дата : 27.03.2017 20:31 259513Вы правы, вот что вижу на SCK "мусорит" тактовый генератор кодека? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 657] Автор : R6YY Дата : 28.03.2017 07:03 Подскажите пжл, в чём разница между версиями Red Pitaya? Например v 1.2.14 от v 1.0. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 658] Автор : RN3KK Дата : 30.03.2017 10:31 Где огромное. У себя я их еще могу уменьшить, нужно ставить экран на входную часть, т.е. от SMA разъема до АЦП. Питание кодека отдельное, провода к RP как можно короче и в экране. Что-то все хотят идеального! С такими уровнями можно забить на них, на палки эти. На КВ 100%. И умиляют высказывания об отказе использования. Нужно понимать чего хочешь. Мир не идеален. А ставить конденсатор параллельно входу для снижения шума, не есть хорошо - заваливается УКВ зоны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 659] Автор : DerBear Дата : 30.03.2017 11:13 Думаю вопрос гармошек от кодека в неправильности разводки плат и подключения земель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 660] Автор : UT4LW Дата : 30.03.2017 11:37 в неправильности разводки плат и подключения земель. Аналогичная беда наблюдалась с первыми звукокартовыми SDR. Проблема решалась не разносом устройств, а наоборот, соединением их наиболее короткими проводами. Попробуйте соединить массу кодека и массу около АЦП коротким толстым проводом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 661] Автор : Serg Дата : 30.03.2017 12:15 Скорее всего кодек и шины SPI/I2C нужно экранировать, может даже припаять (или прижать пружинистой полоской металла) корпус кварца кодека на массу платы. Ну в общем стандартные меры экранировки в радиочастотной аппаратуре с элементами цифровых схем... А так, если лежат платки на столе с пучками соединительных проводов - наверно ничего удивительного. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 662] Автор : EW3M Дата : 30.03.2017 12:41 У меня нет никаких соеденительных проводов,кроме i2c шины. Всё запаяно разъём в разъем . Все советы опробованы. Да и с чего бы от подобных мер не генерить тактовому генератору кодека. Он является источником гармоник. Для меня СДР тема ещё во многом не освоена,всего месяц как увлекло,поэтому возникают вопросы.Например в настоящее время возник вопрос. После установки новой версии powersdr у меня шумовая дорожка изменила свои показания с 131 до 125 дбм. Я пока ничего не калибровал. Возможно ли такое после обновления программы? Что касается выше обсуждаемых гармоник,согласен можно их игнорировать,просто я задался вопросом у всех ли наблюдается такая картина и возможно ли это исправить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 663] Автор : DerBear Дата : 30.03.2017 13:07 Всё правильно, после установки новой версии программы, все предыдущие калибровки слетели. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 664] Автор : UA3RW Дата : 30.03.2017 15:29 У меня вопрос к EW3EA. Как Вы сделали входную часть? Напрямую к АЦП? Какой трансформатор? И 131 dBm Вы получили без калибровки? Меня все еще мучает вопрос почему у меня не получается такая шумовая дорожка. С трансформатором 1к4 без калибровки тоже был 131dBm. После калибровки 119. После переделки трансформатора 1к8 и калибровки 124-125 dBm. И вопрос к RN3KK Вы получили трансформаторы и сделали с ними измерения? По семейным проблемам не мог 2 недели заниматься с платой. Хочу еще раз перемотать трансформаторы и убедиться ,что у меня все измерения правильные.Что то никто больше не занимается с питаей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 665] Автор : R2DHG Дата : 30.03.2017 16:26 Все ждут, когда у кого то получится что нибудь цельное и он выложит готовый рецепт сюда :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 666] Автор : EW3M Дата : 30.03.2017 17:49 Входную часть сделал как у RZ1ZR напрямую к АЦП.Трансформаторы нашёл готовые в платах от DECT радиотелефонов и старых плат сотовых телефонов.Пробовал несколько вариантов, разницы не заметил Что это за трансформаторы не знаю,возможно они 1:4,проверить нечем,вот так они выглядят259762 для сравнения габаритов рядом поместил бинокль BN-43-2402. После переделки шумовая дорожка стала 131дбм,калибровку не делал потому как моему генератору Г4-116 много лет и я ему не доверяю:-P Как я уже писал выше такие показания у меня были до обновления программы.В настоящее время после установки PowerSDR_mRX_PS_v3.3.14.0 у меня 125дбм,опять же это без калибровки.На днях получил посылку с BN-43-2402,намотал 1:9 шумовая дорожка не изменилась 124-125дбм. Понимаю что все эти показания абстрактны. Планирую приобрести Оса-103,тогда можно будет хоть что-то обмерить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 667] Автор : RZ1ZR Дата : 30.03.2017 18:23 Планирую приобрести Оса-103,тогда можно будет хоть что-то обмерить. сразу заказывайте аттенюаторы 40-60 db (я взял такие (http://www.ebay.com/itm/201648463097?_trksid=p2057872.m2749.l2649&ssPageName=STRK%3AMEBIDX%3AIT)), иначе толку мало будет от осы103. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 668] Автор : EW3M Дата : 30.03.2017 21:24 Для Сергея UA3RW. Попробовал подать с генератора 50 мкВ,как делали Вы в посте #595, S-metr показал ровно 9 баллов и -73dBm Калибровок пока не делал,генератор 1980 года, не поверен. Если получится, то в выходные дни продолжу гонку за децибелами:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 669] Автор : RN3KK Дата : 31.03.2017 11:02 Подключил внешний генератор 125 МГц, старый с платы сдул. Показалось что шум на 1дб уменьшился. Как будут данные по замерам, сообщу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 670] Автор : RZ1ZR Дата : 12.04.2017 18:47 еще одна модификация платы RP (https://ln1985blog.wordpress.com/2016/02/07/red-pitaya-dac-performance/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 671] Автор : rz3qs Дата : 12.04.2017 19:07 еще одна модификация платы RP Можно не повторять, у меня это давно сделано, уменьшения бокового шума на КВ явно нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 672] Автор : RZ1ZR Дата : 12.04.2017 19:21 Можно не повторять, у меня это давно сделано, уменьшения бокового шума на КВ явно нет. понятно, не делаем.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 673] Автор : RZ1ZR Дата : 25.04.2017 19:16 не получается подключить платку по WiFi файл wpa_supplicant.conf создан с таким содержанием ctrl_interface=/var/run/wpa_supplicant network={ ssid="MyWiFi" scan_ssid=1 proto=WPA RSN key_mgmt=WPA-PSK pairwise=CCMP TKIP group=CCMP TKIP psk="12345" } помещен в папку etc\network\ соединения нет, что делаю не так ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 674] Автор : pavel-demin Дата : 25.04.2017 23:13 не получается подключить платку по WiFi соединения нет, что делаю не так ? Наверное надо заменить WIFI=ap на WIFI=client в etc/network/config -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 675] Автор : RZ1ZR Дата : 26.04.2017 06:58 Наверное надо заменить WIFI=ap на WIFI=client в etc/network/config заменил, эффекта ноль, пробовал и такой вариант файла wpa_supplicant.conf network={ ssid="MyWiFi" psk="12345" proto=WPA2 key_mgmt=WPA-PSK pairwise=CCMP group=CCMP auth_alg=OPEN} тоже не работает, методом тыка не получается, где бы ознакомится с принципом подключения ? и по USB возможно подключение ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 676] Автор : Balaganoff Дата : 26.04.2017 08:28 где бы ознакомится с принципом подключения ? Ваша сетевая usb карта определилась ядром? По идее методика настройки как и у всех ос семейства линукс. Как например тут. (http://help.ubuntu.ru/wiki/%D0%BD%D0%B0%D1%81%D1%82%D1%80%D0%BE%D0%B9%D0%BA%D0%B0_%D1%81%D0%B5%D1%82%D0%B8_%D0%B2%D1%80%D1%83%D1%87%D0%BD%D1%83%D1%8E) и по USB возможно подключение ? Что вы имеете ввиду? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 677] Автор : RZ1ZR Дата : 26.04.2017 08:45 Ваша сетевая usb карта определилась ядром? По идее методика настройки как и у всех ос семейства линукс. Как например тут. (http://help.ubuntu.ru/wiki/%D0%BD%D0%B0%D1%81%D1%82%D1%80%D0%BE%D0%B9%D0%BA%D0%B0_%D1%81%D0%B5%D1%82%D0%B8_%D0%B2%D1%80%D1%83%D1%87%D0%BD%D1%83%D1%8E) в линуксе я ноль ))), пользую W10 Что вы имеете ввиду? вместо LAN подключаться по USB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 678] Автор : pavel-demin Дата : 26.04.2017 11:44 заменил, эффекта ноль Какая у Вас модель WiFi адаптера? вместо LAN подключаться по USB Нет, по USB мои SDR прогаммы не работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 679] Автор : RZ1ZR Дата : 26.04.2017 12:51 Какая у Вас модель WiFi адаптера? вот такой 261562 Производитель: malloom Беспроводной протокол: 802.11a/g,802.11n,802.11ac Applicable Network Type: Fast Ethernet Скорость передачи: 600 Mbps Скорость передачи данных: 150 Мбит/с Сертификация: Onfine Leo 2016 Frequency Range: Single frequency (2.4-2.4835GHz) Products Status: Stock -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 680] Автор : pavel-demin Дата : 26.04.2017 18:13 Производитель: malloom Если верить комментариям на aliexpress, то в этом WiFi адаптере используется чипсет RTL8188CU. Т.е. должен работать со всеми версиями прошивок для Red Pitaya. Было бы интересно узнать какие у этого WiFi адаптера Vendor ID и Product ID. Как это сделать из Windows 10 я не знаю. Инструкции для Windows 7 - по ссылке: https://support.shippingeasy.com/hc/en-us/articles/203086919 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 681] Автор : RZ1ZR Дата : 26.04.2017 18:37 какие у этого WiFi адаптера Vendor ID и Product ID. 261571 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 682] Автор : pavel-demin Дата : 26.04.2017 22:27 Vendor ID и Product ID драйвером поддерживаются. Проблема в файле etc/network/interfaces. У меня все заработало после следующих двух исправлений: в файле etc/network/interfaces заменить $PATH_REDPITAYA/etc/network/wpa_supplicant.conf на /opt/redpitaya/wpa_supplicant.conf перенести etc/network/wpa_supplicant.conf в корневой каталог SD карточки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 683] Автор : RZ1ZR Дата : 26.04.2017 23:42 Проблема в файле etc/network/interfaces. У меня все заработало после следующих двух исправлений: в файле etc/network/interfaces заменить $PATH_REDPITAYA/etc/network/wpa_supplicant.conf на /opt/redpitaya/wpa_supplicant.conf перенести etc/network/wpa_supplicant.conf в корневой каталог SD карточки Павел, все сделал как вы сказали, адаптер мигает светодиодом, но соединения нет, программа не запускается. посмотрите пожалуйста мои файлы, может все таки что то не так сделал ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 684] Автор : pavel-demin Дата : 27.04.2017 01:35 посмотрите пожалуйста мои файлы, может все таки что то не так сделал? Посмотрел. Файл interfaces у меня точно такой же, а wpa_supplicant.conf у меня немного другой: ctrl_interface=/var/run/wpa_supplicant network={ ssid="ABC" psk="DEF" proto=WPA2 key_mgmt=WPA-PSK pairwise=CCMP group=CCMP } -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 685] Автор : RZ1ZR Дата : 27.04.2017 06:24 Посмотрел. Файл interfaces у меня точно такой же, а wpa_supplicant.conf у меня немного другой: и с вашим файлом не хочет ((( 261591 в модеме как устройство вроде видит 261592 может что в самой программе нужно изменить ? 261593 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 686] Автор : RZ1ZR Дата : 27.04.2017 10:28 ну вроде победил ))) адрес прописал в настройках 261611 Павел, спасибо за помощь ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 687] Автор : UA3RW Дата : 27.04.2017 11:51 C чем связан выбор приемника ANAN100D? Павел сообщил (когда я ему задал вопрос почему у меня не работал pure signal) ,что Pure signal работает при использовании его программ только в HERMES ANAN10 ANAN100. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 688] Автор : RZ1ZR Дата : 27.04.2017 11:57 теперь другая проблема появилась.. если закрыть программу, то потом ее уже не включить, требуется перезагрузка трансивера, и куда теперь копать ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 689] Автор : pavel-demin Дата : 27.04.2017 22:03 если закрыть программу, то потом ее уже не включить, требуется перезагрузка трансивера Странно. У меня все работает. Red Pitaya подключена по WiFi к роутеру. Включил/выключил/перезапустил PowerSDR mRX PS несколько раз. Все продолжает работать без перезагрузки Red Pitaya. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 690] Автор : RZ1ZR Дата : 30.04.2017 11:42 Странно. У меня все работает. Red Pitaya подключена по WiFi к роутеру. Включил/выключил/перезапустил PowerSDR mRX PS несколько раз. Все продолжает работать без перезагрузки Red Pitaya.Павел, если не затруднит, покажите ваши установки в этом окне 261795 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 691] Автор : LY3G Дата : 30.04.2017 12:48 Может здесь это окно, которое Вам нужно... http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 692] Автор : RZ1ZR Дата : 30.04.2017 13:46 Может здесь это окно, которое Вам нужно... не совсем то, хотелось бы посмотреть именно как прописаны порт-порты для WiFi -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 693] Автор : pavel-demin Дата : 30.04.2017 22:07 Павел, если не затруднит, покажите ваши установки в этом окне Т.к. беспроводная сеть медленнее проводной, то мне пришлось уменьшить количество приемников (отключил PureSignal и RX2), и еще пришлось уменьшить частоту выборки до 96 kSPS. Остальные установки такие же как и для проводной сети. 261829 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 694] Автор : rn6xc Дата : 02.05.2017 00:30 Произвел предварительный замер IMD передатчика Red Pitaya, без участия PureSignal. Впечатлило! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 695] Автор : UR3IQO Дата : 02.05.2017 16:04 Произвел предварительный замер IMD передатчика Red Pitaya Это при какой мощности? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 696] Автор : RV3DLX Дата : 02.05.2017 16:40 rn6xc, если это прямо с выхода платы без усилителя мощности, то нет ничего удивительного. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 697] Автор : rn6xc Дата : 02.05.2017 16:42 Это при какой мощности? Не менее 10 мВт, но могу вечером глянуть точно. "Движок" в PowerSDRmRX был выставлен по максиму. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 698] Автор : Kia2700d Дата : 02.05.2017 17:50 Не менее 10 мВт странно, что лучше 65 дб при такой моще и без предискажений. Может риски в зеусе идут через 5 дб а не 10? :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 699] Автор : RV3DLX Дата : 02.05.2017 17:55 Может риски в зеусе идут через 5 дб а не 10? Там же слева цифирьки подписаны:-P. С платы Гермеса даже получше получается. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 700] Автор : RZ1ZR Дата : 02.05.2017 17:55 Не менее 10 мВт, но могу вечером глянуть точно. "Движок" в PowerSDRmRX был выставлен по максиму. мощности в PowerSDRmRX можно немного добавить здесь: 261957 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 701] Автор : rn6xc Дата : 02.05.2017 17:59 то нет ничего удивительного Сужу по результатам своих самоделок ;-) Без участия предыскажений... С платы Гермеса даже получше получается У меня не получается... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 702] Автор : rz3qs Дата : 02.05.2017 18:02 "Движок" в PowerSDRmRX был выставлен по максиму Закладка PA Gain 38.8, тогда полный выход, но самое правильное на анализаторе указать мощность одного тона в dBm, и все, вопросов быть не может. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 703] Автор : rn6xc Дата : 02.05.2017 19:22 Спасибо, я в курсе этой коррекции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 704] Автор : UA9OC Дата : 03.05.2017 09:01 Произвел .... Впечатлило! А как это получается - программа OSA-103, вид экрана явно от Zeus, а подключен плата Red Pitaya? Это что, в SDR винегрет можно по-любому составлять, и всё будет работать? Из SDR- техники я пока только разобрался ( а разобрался ли? - в "бою" толком ещё не пробовал) с подключением PAN@SDR к 1ПЧ Icom Ic-765 с помощью HDSDR, OmniRig,CW Skimmer. P.S. Слегка дошло - это OSA-103 в качестве приёмника...Сегодня иду на почту получать её. Но. Как анализатор АЧХ и SWR брал её... А OSA может с трансивером синхронизоваться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 705] Автор : rn6xc Дата : 03.05.2017 09:41 А OSA может с трансивером синхронизоваться? Не пробовал этого делать, но по идее почему нет. Просто в этом смысла не вижу, SNR ADC OSA-103mini низок. ZR ее без особых проблем поднял, как и прочий софт, и это вполне естественно. В родной программе разрешение анализатора спектра мне не очень понравилось, может еще не привык...;-) RP тоже великолепно работает в среде ZeusRadio, серьезных противоречий пока не обнаружил. Результаты потихоньку собираю, возможно скоро буду сравнивать. С первых моментов уже видно, что модуль достойный! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 706] Автор : sgk Дата : 03.05.2017 11:04 Не пробовал этого делать, но по идее почему нет. Просто в этом смысла не вижу, SNR ADC OSA-103mini низок. По данным автора http://www.cqham.ru/forum/showthread.php?34078-%C8%E7%EC%E5%F0%E8%F2%E5%EB%FC%ED%FB%E9-%EF%F0%E8%E1%EE%F0-OSA103-Mini&p=1322766&viewfull=1#post1322766 ДД 100 дБ, было бы интересно сравнить один и тот же сигнал уровнем -6 дБм на панораме RP и OSA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 707] Автор : RV3DLX Дата : 03.05.2017 14:23 У меня не получается... rn6xc, а Вы уверены что когда Оса работает под программой Zeus, вертикальная шкала соответствует тому, что там написано? Как Вы калибровали Осу? Например я не понял как, и не смог откалибровать Осу с программой HDSDR (с Zeus не пробовал). Вчера когда я увидел Вашу картинку интермоды RP, я решил проверить возможности платы Гермес в этом плане. Смотрел приемником Гермеса в дуплексе. Я это конечно уже проделывал несколько лет тому назад, но уже забыл результаты. Результат получился уж очень хороший и я сам к своим измерениям отнесся с недоверием, поэтому и не стал выкладывать картинку сюда. Весь вчерашний вечер калибровал и проверял Гермес, потом по эфиру связался с моим знакомым, у которого тоже Гермес, послал ему картинку и поделился своими сомнениями. Поздно вечером он мне позвонил и сказал, что не удержался и тоже провел такие измерения. Результаты совпали один к одному. Не знаю, стоит ли выкладывать картинку сюда, это не совсем по этой теме. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 708] Автор : UR3IQO Дата : 03.05.2017 15:40 Не менее 10 мВт А, ну так там по идее и должно быть все красиво... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 709] Автор : EU1SW Дата : 03.05.2017 16:01 Не знаю, стоит ли выкладывать картинку сюда, это не совсем по этой теме. я думаю там цифры в районе -75 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 710] Автор : Kia2700d Дата : 03.05.2017 16:22 да можно сюда картинки наверное... ИМД передатчиков обычно в районе -30-35 дб, без предыскажений. я не совсем понимаю откуда взялись цифры -65 и -75? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 711] Автор : UA3RW Дата : 03.05.2017 16:34 Разговор про выход с платы Redpitaya, а не с оконечного усилителя.Выход около 1,8 вольта Up-p. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 712] Автор : Kia2700d Дата : 03.05.2017 16:45 Разговор про выход с платы Redpitaya не совсем, у rn6xc 10 Вт. редпитая столько мощи не выдает . ну может я что-то перепутал опять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 713] Автор : RK4CI Дата : 03.05.2017 16:51 Не знаю, стоит ли выкладывать картинку сюда, это не совсем по этой теме. А почему бы и нет. Хотя бы для сравнения. И желательно, что бы была видна шумовая дорожка. Хочется увидеть на что способна микросхемка синтезатора в плане шумов. Я свою плату, на передачу, включать пока даже не пытался. Нет всей необходимой коммутации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 714] Автор : UA3RW Дата : 03.05.2017 16:52 Вы ошиблись .Он написал 10 мВт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 715] Автор : rn6xc Дата : 03.05.2017 17:17 Вы уверены что когда Оса работает под программой Zeus, вертикальная шкала соответствует тому, что там написано? Как Вы калибровали Осу? Юрий, уверен. Проверял этот приборчик отдельно, сравнивал с лабораторными, которые на рабочем месте тоже есть, поверенные. Калибруется в ZeusRadio все достаточно просто.В большей степени мне нужна была относительная оценка, нежели "аптечный" результат. Мой самодельный Гермес дал картинку хуже, но не на много. Буду искать причину... Хотел сравнить с SunSDR2Pro, но там уровень с DAC очень низкий, уверенных -20 дБ не увидел, прикручиваться к другой точке пока нет времени. Odyssey дал практически такой же результат как и RP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 716] Автор : RV3DLX Дата : 03.05.2017 17:27 я думаю там цифры в районе -75 Так оно и есть. Вот картинка при мощности около 400 миллиВатт (не Ватт:-P). С повышением частоты ИМД ухудшается, на 20-ке около -70, на десятке около -55. Это естественно без предыскажений, с ними везде за -80. Разобрался как откалибровать Осу с HDSDR, там все примерно также. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 717] Автор : rn6xc Дата : 03.05.2017 20:57 RV3DLX, А можете проделать тоже самое, только взять с DAC по максимуму, при 40 % у меня тоже такой результат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 718] Автор : RV3DLX Дата : 03.05.2017 21:25 rn6xc, у меня движок DRIVE на максимуме стоял при измерении. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 719] Автор : rn6xc Дата : 04.05.2017 12:13 Вчера перепроверил RP, на всех бендах результат не хуже -65 дБ. Выходной уровень 37 мВт. Заметного ухудшения с повышением частоты не выявил. Зато нашел причину в своем Гермес-е ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 720] Автор : Serg Дата : 16.05.2017 23:51 http://forum.cq-nrw.de/viewtopic.php?f=19&t=131 А кто-то пробовал и что в этой версии интересного? Фрицы обсуждают какой-то вариант модернизированной программы повер-сдр-мрх. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 721] Автор : RZ1ZR Дата : 28.05.2017 22:25 простенький валкодер 263671 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 722] Автор : Balaganoff Дата : 01.06.2017 16:43 Вроде-бы не было тут полной схемы. Прицеплю - пусть в кучке лежит, может кому пригодится. Не вдавался в подробности , но судя по датам она посвежее чем у RZ1ZR (http://www.cqham.ru/forum/member.php?2082-RZ1ZR) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 723] Автор : RZ1ZR Дата : 19.06.2017 14:56 попался на "али" такой корпус (http://www.alibaba.com/product-detail/computer-cabinet-steel-cover-0-8mm_60201801246.html?spm=a2700.7743248.51.1.i1cdJB) 264997 264998 почти готовый моно блок типа МВ1 :-P:-P:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 724] Автор : RZ1ZR Дата : 04.07.2017 13:08 сделал такую модификацию (https://ln1985blog.wordpress.com/2016/02/07/red-pitaya-dac-performance/) 265891 imd с моим усилителем при мощности 20w улучшилось с 30db до 33db! (https://ln1985blog.wordpress.com/2016/02/07/red-pitaya-dac-performance/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 725] Автор : Kia2700d Дата : 06.07.2017 23:05 интересное/объективное сравнение красной питаи и двух других многоканальных скиммера QS1R и S9-C (питая продолжает удивлять) http://59925.org/misc/SkimServ_SDR_comparison/ 266024 из этих трех только один сдр есть в продаже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 726] Автор : R6YY Дата : 06.07.2017 23:58 ...питая продолжает удивлять... http://59925.org/misc/SkimServ_SDR_comparison/ При этом стоит обратить внимание, что в сравнении принимала участие всего лишь 10-ти битная версия RP. Старшая 14-ти битная по всей видимости должна показать ещё более высокий результат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 727] Автор : Genadi Zawidowski Дата : 07.07.2017 00:08 Экспериментатор написал, что оставил на потом исследование интермодуляции и вероятности декодирования фантомов при приёме красным кактусом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 728] Автор : Kia2700d Дата : 07.07.2017 00:15 принимала участие всего лишь 10-ти битная версия RP зачем-то он поставил аж 35 дб преампов . в дейтоне вроде сказали ставить транс 14:1 от миницыркулей и какую-то перемычку перепаять http://dayton.contesting.com/pipermail/skimmertalk/2017-July/001924.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 729] Автор : rz3qs Дата : 07.07.2017 13:25 https://github.com/TAPR/OpenHPSDR-PowerSDR/releases Заработала перестройка по спотам с кнопкой CTUN. Правда не нашел варианта, чтобы панорама стояла на месте, как положено, а фильтр прыгал по спотам. Опять получается панорама и водопад живут разной жизнью, но спасибо и на этом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 730] Автор : UA3RW Дата : 07.07.2017 14:20 Лето, ремонт. Как то все застопорилось. Правда зимой поработал в минитесте пару раз , но все на соплях было. А как обстоят дела у тех кто заказал готовый трансивер. Что то ничего не слышно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 731] Автор : RZ1ZR Дата : 12.07.2017 17:39 вроде можно запустить на прием SDR-Radio (http://www.sdr-radio.com/Red-Pitaya) с RP через плагины, никто не пробовал ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 732] Автор : Kia2700d Дата : 13.07.2017 05:19 вроде можно запустить на прием SDR-Radio с RP через плагины похоже на заметки автора самому себе. мне кажется там написано что плагины работают в других приложенияз (Gqrx, CubicSDR, Pothos, GNU Radio плюс программы с поддержкой ExtIO), а не в сдр-радио. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 733] Автор : RZ1ZR Дата : 13.07.2017 06:15 похоже на заметки автора самому себе. мне кажется там написано что плагины работают в других приложенияз (Gqrx, CubicSDR, Pothos, GNU Radio плюс программы с поддержкой ExtIO), а не в сдр-радио. а как это понять ? "So, to interface SDR-Radio with the SDR transceiver application for Red Pitaya, it's possible to use one of the above libraries" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 734] Автор : Serg Дата : 22.07.2017 18:52 Есть вопросы по подключению периферии к I2C расширителям... Микросхема которая живет по адресу-0: - нет выхода управления преампом, брать с основной колодки RP E1? - где брать для второго приемника преамп или тумблер надо внедрять и вручную управлять? - порты P11...P14 - это выбор трех приемных антенн и трех общих, настраивается на закладке Ant/FIlters (или Alex) в повер-сдр? Микросхема адрес-1 - это полное управления платой типа Alex (настраивать в закладке Ant/Filters) ? Микросхема адрес-3 - кто автор этого интерфейса? (на сайте у Павла расписано только по вариантам адресов 0 и 1). Не смог найти подробной информации по адрес-3, кроме зарисовок от rz3qs. (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1349163&viewfull=1#post1349163) Где задается конфигурация BCD-битов RX1,RX2 в PowerSDR-mrx или если она фиксированная, где посмотреть достоверный первоисточник? Порт P10 (BCD RX1=RX2) - не совсем понятна функция этого сигнала, прошу пояснить, при каких условиях в программе, что там появляется? Так же не раскрыт вопрос управления УКВ-трансвертерами из PowerSDR, нужно хотя бы для двух трансвертеров получить сигналы на включение, чтобы коммутировать к ним малосигнальные ВЧ входы-выходы платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 735] Автор : rz3qs Дата : 22.07.2017 19:49 Есть вопросы по подключению периферии к I2C расширителям... См. лчк. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 736] Автор : rz3qs Дата : 22.07.2017 21:14 - нет выхода управления преампом, брать с основной колодки RP E1? Да. - где брать для второго приемника преамп или тумблер надо внедрять и вручную управлять? Два пина P16,P17 по адр0 свободны. Но лучше преамп добавить в АТТ RX2. - порты P11...P14 - это выбор трех приемных антенн и трех общих, настраивается на закладке Ant/FIlters (или Alex) в повер-сдр? Как вариант, да. Микросхема адрес-1 - это полное управления платой типа Alex (настраивать в закладке Ant/Filters) ? Да. Микросхема адрес-3 - кто автор этого интерфейса? Павел. Где задается конфигурация BCD-битов RX1,RX2 в PowerSDR-mrx или если она фиксированная, где посмотреть достоверный первоисточник? Она фиксирована и идет с RP. См. "зарисовки". Порт P10 (BCD RX1=RX2) - не совсем понятна функция этого сигнала, прошу пояснить, при каких условиях в программе, что там появляется? Когда VFOA один диапазон, а VFOB другой и активация в окне VFOB кнопки TX на P10 высокий уровень. Так же не раскрыт вопрос управления УКВ-трансвертерами из PowerSDR, Чтобы они нормально работали с BCD кодом, нужно выбирать разные диапазоны КВ для ПЧ, скажем 2 м -20 м, 70 см-10м. Все я это показал в "зарисовках". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 737] Автор : Serg Дата : 22.07.2017 22:17 Но лучше преамп добавить в АТТ RX2. Каким образом, отказаться от одной из двух ступеней АТТ? Когда VFOA один диапазон, а VFOB другой и активация в окне VFOB кнопки TX на P10 высокий уровень. Понял, чтобы подключить на передачу нужный фильтр УМ. А какие есть варианты выбора диапазона передачи в софте, кроме мышкой подсветить ТХ надпись возле окошка частоты? Просится вход второй педали, если работать SSB или тлг с ключа... (при тлг с компа, я так предполагаю, что там это происходит само, в зависимости от того, в каком вирт. порте РТТ появилось?) Чтобы они нормально работали с BCD кодом, нужно выбирать разные диапазоны КВ для ПЧ, скажем 2 м -20 м, 70 см-10м. Да, ПЧ разные. Как влияют установки пинов на рисунке (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1349163&viewfull=1#post1349163) касательно УКВ- к сожалению не понял. Объясните или может быть где-то уже было дополнение по настройке УКВ, но я пропустил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 738] Автор : rz3qs Дата : 22.07.2017 22:43 отказаться от одной из двух ступеней АТТ? Да. При 0 дБ преамп, точно как и у RX1, включен, при -10 дБ откл, -20 дБ вкл. АТТ 10 дБ и -30 дБ АТТ 20 дБ. тобы подключить на передачу нужный фильтр УМ Не только, см. мою блок схему. какие есть варианты выбора диапазона передачи в софте, 5MContest, там два окна, Радио1 и Радио2, кнопка TX идет от активного. По УКВ нужно подготовить примеры, пока их нет у меня, если завтра будет время, то сделаю и покажу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 739] Автор : rz3qs Дата : 23.07.2017 13:10 Про организацию подключения УКВ и немного про свою блок схему. https://youtu.be/fvRPBhB8ls4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 740] Автор : Serg Дата : 23.07.2017 14:34 Да. При 0 дБ преамп, точно как и у RX1, включен, при -10 дБ откл, -20 дБ вкл. АТТ 10 дБ и -30 дБ АТТ 20 дБ. Понял, печально, всё так же с времен "рукожопия" сдр-1000 и осталось в повер-сдр... А как быть с точностью с-метра, нужно абсолютное усиление УВЧ выравнивать в 10дБ (лишнее убирать дополнительным АТТ сразу на выходе УВЧ)? 5MContest, там два окна, Радио1 и Радио2, кнопка TX идет от активного. А двумя педалями никак? (там куча СОМ портов САТ есть, понять бы только как и возможно ли) Или может еще hotkey есть для смены TX-focus между VFO в повере? За видео спасибо, примерно понял, но хочу уточнить: - управление трансвертерами берем с адреса-0, порты P04-P07, т.о. делается де-шифрация номера трансвертера, а дальше уже через нужную логику, по своему, как угодно подключаем от этих данных ВЧ вход-выход к питаи к ним... ? - остальные птицы для бит (1234) должны именно так устанавливаться там или это не имеет принципиального значения? Еще по CW - так понял, уже в повер-сдр нет подключения ключа к СОМ порту, как быть для удаленной CW работы в powersdr по IP сети? (без использования teamviewer) CWX форма (макросы телеграфа) с RP не работают или я не разобрался, где включить до конца? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 741] Автор : rz3qs Дата : 23.07.2017 14:58 А как быть с точностью с-метра, нужно абсолютное усиление УВЧ выравнивать в 10дБ (лишнее убирать дополнительным АТТ сразу на выходе УВЧ)? Тут дело так. Калибровка идет по RX1, где идет учет усиления УВЧ и затухания АТТ, их значения в железе ставим под себя, при этом S будет правильно работать. RX2 просто дублирует калибровку RX1. Чтобы в RX2 S-метр правильно выдал показания, УВЧ и ступени АТТ должны быть одинаковы с RX1. А двумя педалями никак? Да, хотя все в руках программистов. - остальные птицы для бит (1234) должны именно так устанавливаться там или это не имеет принципиального значения? Если от них берется декод КВ фильтров с RP, то их лучше не трогать. как быть для удаленной CW работы в powersdr по IP сети? Пока такую задачу себе не ставил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 742] Автор : Serg Дата : 23.07.2017 15:46 Да, хотя все в руках программистов. Может Павел имеет техническую возможность это сделать? Было бы неплохо РТТ вход для второго VFO/приемника. Евгений, а PCA и MCP микросхемы i2c совершенно одинаково работают, PCA в несколько раз дешевле почему-то, подвоха нет никакого? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 743] Автор : rz3qs Дата : 23.07.2017 16:38 Может Павел имеет техническую возможность это сделать? Было бы неплохо РТТ вход для второго VFO/приемника. Я не знаю, он тему читает, может что и скажет. PCA и MCP микросхемы i2c совершенно одинаково работают У меня PCA9555, но Павел мне приводил альтернативу MCP23017, т.е. должно работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 744] Автор : Serg Дата : 24.07.2017 12:39 У меня PCA9555, но Павел мне приводил альтернативу MCP23017, т.е. должно работать. "Чем дальше в лес, тем толще партизаны" (с) Оказалось у PCA и MCP разная цоколевка. PCA P00...P07 = MCP GPA0-GPA7 и PCA P10...P17 = MCP GPB0-GPB7 ? Как адрес выбирать, на массу или на плюс ноги соединять (напрямую или там через 1кОм?), нужны примеры для понимания. В даташите там вообще адреса в двухзначных цифрах и hex показаны, а у нас тут просто 0, 1 или 3. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 745] Автор : rz3qs Дата : 24.07.2017 13:48 Как адрес выбирать https://youtu.be/mwrWy02k1N0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 746] Автор : RC7H Дата : 27.07.2017 12:36 Привет Всем!!! Ну наконец то обещают отослать трансивер к концу этой недели!!! Оч долгая история,просто сначала хотел первый еще вариант,потом они его дорабатывали(меняли корпус,сборку итд) Вообще год практически прошел,да сейчас трансивер не узнать,доработали много,ну а я ждал всех переработок(меня спрашивали ,я решал ждать). Пришлось немного доплатить и обошлось все в 87тр с копейками. Так ,что жду отправки(они после выставки в отпуске были). Аппарат обещают такой же (что демонстрировался на выставке в этом году) C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 747] Автор : Serg Дата : 27.07.2017 13:11 rz3qs, Евгений, по мультиплексору BCD кодов подскажите еще, там при сигнале передачи с VFO-B просто 4 линии от второго приемника подключаются на управление декодером LPF (и др. элементов) или как-то иначе? Аппарат обещают такой же (что демонстрировался на выставке в этом году) Есть где-то обзор характеристик и фото именно нового? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 748] Автор : RC7H Дата : 27.07.2017 13:26 Характеристики я думаю те же,не встречал новых(в основе ведь та же плата) ,просто оч сильно изменился именно конструктив,кодек,160m добавили изменили фильтра,они есть ,как на передачу ,так и на прием(насколько я в курсе). Придет выложу здесь фото ,замеры итд.... Осталось немного подождать!!!! Фото где то были ,но неуверен ,что дизайн еще не изменился в лучшую сторону,поищу,если что опубликую здесь заранее..... Можно было еще подождать и получить 100вт -ную версию(сказали должна быть в этом году уже в серии),но мне достаточно и 5-10вт.... C Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 749] Автор : UA4ALW Дата : 27.07.2017 14:26 От 03.08.2016. День сурка. Привет Всем!!! Я сначала думал поменять свой трансивер на др версию те анан10 без букв,но буквально вчера отказался от этого трансивера,которого кстати уже и нет готового на апаче лабс,только клоны итд,а так на заказ. И сразу заказал этот трансивер,как получу ,отпишусь в этой теме обязательно. C Уважением Павел!!! Эт надо же какое терпение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 750] Автор : RC7H Дата : 27.07.2017 15:06 Да нет тут не терпение,работать то есть на чем,sunsdr2+ft991(приобрел для укв,да впрочем отменный аппарат и для кв). Поэтому ,как сказали ,что будут работать и дорабатывать,я сказал дорабатывайте я буду ждать!!!! Что я хотел от аппарата 1-е предискажений(что от sunsdr2(особенно с УМ,придется ждать наверное вечно). 2-е Фазового подавления помех(испльзую внешние блоки и магнитку ) Я сегодня точно не пожалел об (терпении). С Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 751] Автор : R2DHG Дата : 27.07.2017 15:36 2-е Фазового подавления помех(испльзую внешние блоки и магнитку ) У вас нет записей что из этого получается ? Вот именно с магнитной не пробовал пока. Скорее интересует не подавление отдельных сильных помех - с ним понятно, а улучшение приема в целом там, где просто равномерная шумовая "грязь". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 752] Автор : RC7H Дата : 27.07.2017 15:58 Просто изначально ,трансивер больше был похож на универсальный прибор, сейчас же он уже полностью похож на современный трансивер с вполне адекватной ценой и современными возможностями. Добавлено через 19 минут(ы): Саша ну Вы знаете ,как сегодня актуальна тема помех,особенно шума(в пределах диапазона) этих помех в эфире. Я давно использую фазовый подавитель от mfj и в последнее время из Крыма прибор. Об этом я неоднократно выкладывал видео в теме об sunsdr2 c надеждой ,что они посмотрят и пойдут по пути независимых(не виртуальных ,а физических) 2-х приемников. Поэтому я и решил,что нужен новый трансивер!!! Хорошо ,если есть дача и интернет,у меня нет такой возможности. Магнитка ориентирована в сторону наибольших шумов(это империум с англии),шумодав работает оч.хорошо. Если хотите оч хороших результатов,то в качестве противофазной антенны нужно использовать именно диапазонную антенну(проверено). С магниткой ничуть нехуже,главное ее правильно соирентировать в сторону помех!!! Так ,что видео должно быть в темах об sunsdr2,если срок хранения видео еще неистек!!!! Ну а с этим трансивером уже выложу здесь..... C Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 753] Автор : RN3KK Дата : 27.07.2017 16:04 как быть для удаленной CW работы в powersdr по IP сети? ипользовать WinKey (arduino) + uart to ethernet модуль. в УКВ ПД так отработал на кактусе и винкее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 754] Автор : R2DHG Дата : 27.07.2017 16:38 Так ,что видео должно быть в темах об sunsdr2,если срок хранения видео еще неистек!!!! Ага, спасибо, поищу, я туда не заходил давно. Мне чаще попадаются примеры, где давят какую нибудь пищащую помеху или вообще явно комнатно-квартирного происхождения, но с большой антенной таких у меня немного, с улицы прилетает обычно просто "грязь" более менее равномерная и вот ее давить получается куда хуже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 755] Автор : RC7H Дата : 28.07.2017 20:03 Я понимаю ,что тема не об фазовом подавлении помех,но в прямую мне кажется касается трансивера на базе red pitaya. Поэтому немного добавлю,по моему (городскому )опыту,кто пробовал и испытывал ,у всех получилось. Наоборот,подавить какую то помеху узкополосую значительно труднее в городских условиях,потому ,что как правило ,если ее давиш,то более сильно всплывает (грязь) по диапазону. Неоднократно это наблюдал при подавлении несущей от провайдеров интернет. А вот эти шумы,которые по всему диапазону,подавить с 9 до 4 баллов ничего не стоит с помощью фазового подавителя помех!!! Сразу отмечу что и полезный сигнал несколько упадет. Неоднократно меня спрашивали,прибор mfj ,рядом леп или (дом) шумит по всему диапазону,ничего не могу настроить,не давит помеху. Для себя после многих экспериментов вывел несколько правил,когда оч неплохо давятся такие широкополосые помехи. 1 Противофазная антенна должна быть резонансной(ксв чем ниже,тем лучше на диапазоне на котором у Вас помехи). 2.Она при этом ни в коем случае недолжна быть параллельно расположена передающей антенне(либо расположена при этом значительно дальше от основной антенны,понятно это не для городских условий) 3 Оч хорошие результаты при работе например диполь и вертикал,причем независимо от того какая антенна основная,условия в параграфе 1 обязательно должно соблюдаться. У меня например это самые проблемные диапазоны 20,40,80,160 причем 40-160 самые проблемные. В последнее время эксперементирую с магнитной широкополосой антенной ,оч тоже неплохие результаты,но ее ,если используем в качестве противофазной антенны ,нужно соирентировать на максимальный уровень шума. В качестве приемной основной ,при низком подьеме от крыши ,по шумам однозначно проигрывает всей противофазной системе при приеме дальних станций. Эксперименты с ней продолжаю,окончательные выводы пока делать рано!!! Да и те ребята, которые последовали моим советам ,те кто потом написал ,у всех все получилось и с леп итд. Для случая, когда вертикал и инвертед-v(многодиапазонный 10-80м) и многодиапазонный вертикал от фирмы Cushcraft R-9 80-10m(сейчас уже вертикала нет,(погиб от обледенения),а многодиапазонный инвертед заменен на несеметричный windom!!! С Уважением Павел!!! Добавлено через 35 минут(ы): Немного добавлю,узкополосую помеху можно подавить более эффективно практически в 0,почему труднее,потому ,что крутишь при этом море регуляторов ,подстраивая их долго до 1 мин,при этом начинает высовываться шум по диапазону ,тут выбираешь (золотую серединку),если хочешь сработать нормально со станцией вещающей на этой же частоте,перешел на соседнюю частоту и все по новой в отличии от подавления шума и грязи по всему диапазону!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 756] Автор : ra3gn Дата : 28.07.2017 21:11 Привет любителям красной питаи. Подавление помех также актуально и за городам методом пространственной селекции. Думаю, что увлечение подавлением помех в городских условиях, очень быстро пройдет. Наверно не все понимают, с чем приходится бороться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 757] Автор : RC7H Дата : 29.07.2017 11:12 Не знаю,может и отпадет у кого то желание,действительно все не просто. Я же пользуюсь (железными)подавителями с 2009,он уже давно является неотьемлимой составной частью моего рабочего места. На 80м он просто дает (дышать)моему трансиверу. Даже на 10-ке ,почти полностью удавалось подавить локатор,который своим широкополосым пипиканьем не давал там нормально работать год два тому назад. Сейчас правда не слыхать,может нет туда прохода. Да это недешевое удовольствие в плане противофазной антенны итд. Просто кинуть кусок провода не получится,не будет никаких хороших результатов. C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 758] Автор : RC7H Дата : 02.08.2017 14:42 Трансивер идет ,уже наша почта подхватила!!! 267915 Это уже c сайта почты России!!! Да все переговоры вел с ними Сергей RV3APM(мой давнишний и надежный партнер по заказам с зарубежья)!!! Нехотели простой почтой отправлять. С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 759] Автор : RC7H Дата : 05.08.2017 13:25 Трансивер уже в Москве и выпущен таможней,быстро добрался,теперь товарищ получит и Сдек или ems мне перешлет!!! 268154 С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 760] Автор : ra3gn Дата : 05.08.2017 13:41 rс7h Павел привет, пошлину не пришлось платить? Планирую купить комп. за рубежом, есть сомнения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 761] Автор : RC7H Дата : 05.08.2017 21:25 Нет никакой пошлины!!! Ну комп ч-з алиэкспресс ,там много их и все без проблем По любому,если оплачивать с карты там 1% снимают,если в отделении банка ,еще больше!!! С Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 762] Автор : RC7H Дата : 08.08.2017 19:07 К моему сожалению Сергей приедит к 10-му числу и тогда (дал ему возможность)он сделает фото и выложит фото Но независимо от него ,как подойдет трансивер,я выложу фото (от нуля здесь)!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 763] Автор : R6YY Дата : 11.08.2017 21:28 Интересное ВИДЕО (http://mirror.onet.pl/pub/mirrors/video.fosdem.org/2016/aw1125/using-red-pitaya-for-radio-applications-from-lf-to-hf.mp4). Павел Дёмин собственной персоной. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 764] Автор : rz3qs Дата : 11.08.2017 21:56 Павел Дёмин собственной персоной. To R6YY, спасибо. Всегда говорю всем критикам, все просто, начни и покажи результат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 765] Автор : Kia2700d Дата : 11.08.2017 22:05 все просто, начни и покажи результат и не помешает иметь склад ума, свойственный людям со степенью в области физики элементарных частиц ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 766] Автор : rz3qs Дата : 14.08.2017 23:47 Павел обновил "прошивку" RP. Напомню, это вариант распаковки файла на флешку. "Основные улучшения Исправлены ложные сигналы передачи Лучшее взаимодействие с алгоритмом PureSignal (теперь он работает со значением SetPk по умолчанию) Обновленный идентификатор платы" https://www.dropbox.com/sh/5fy49wae6xwxa8a/AAD3bGp3ptaQ-VE-adG1SijKa/sdr/ecosystem-0.95-1-6deb253-sdr-transceiver-hpsdr.zip?dl=1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 767] Автор : RC7H Дата : 15.08.2017 13:08 Привет Всем!!! На трансивер описание, сделал на русский перевод,не вылизывал перевод ,но вроде неплохо получилось. Единственно там по разделам отдельные файлы pdf!!! C Уважением Павел!!! https://yadi.sk/d/GeJAqni83M2Rfd Ссылка на описание в интернет http://hamlabdoc.readthedocs.io/en/latest/ Прямая ссылка на сайт ,там есть и 100вт версия http://hamlab.redpitaya.com/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 768] Автор : UT0UM Дата : 15.08.2017 13:29 описание так когда уже фотки будут?! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 769] Автор : RC7H Дата : 15.08.2017 13:38 Очень скоро ,думаю дней ч-з 5-6!!! Да и ссылка на магазин в России http://www.dxnewradio.com/product_info.php?products_id=661 С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 770] Автор : RC7H Дата : 15.08.2017 15:43 268856Ну Сергей сделал фото перед отправкой моего трансивера!!! А я еще вскрою и внутри покажу!!! Да и в магазине этот трансивер есть в фотках (с моего),там Сергей его опубликовал. Ну а кто хочет самостоятельно купить (выше давал ссылку на сайт с ценами). Главное здесь будут экслюзивные фото внутренностей и потом конкретные замеры!!! С Уважением Павел!!! Добавлено через 14 минут(ы): Еще немного в догонку,кто решит сам заказывать,покупайте с пересылкой простой почтой иначе...... Можете оч сильно переплатить!!! Добавлено через 6 минут(ы): 268859 268860268861 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 771] Автор : RC7H Дата : 15.08.2017 18:58 Я поясню насчет именно почты при обращении мне на маил!!! Или здесь в личку!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 772] Автор : Balaganoff Дата : 17.08.2017 12:46 Да и в магазине этот трансивер есть в фотках (с моего),там Сергей его опубликовал. Если это фото блока вашего трансивера (из магазина), 268954 то похоже он выполнен по этой блок схеме :-| 268955 Ждем ваших реальных фоток ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 773] Автор : RC7H Дата : 18.08.2017 11:10 Привет Всем!!! Уже отправлен из москвы ems. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 774] Автор : Balaganoff Дата : 21.08.2017 09:19 Привет Всем!!! Уже отправлен из москвы ems. Павел, а чем обусловлена покупка трансивера через посредника? Даже с учетом отправления не обычной почтой, а TNT цена составляет ?1,081.30, что в переводе на наши 75 тысяч. А у вашего посредника этот-же аппарат (http://www.dxnewradio.com/product_info.php?products_id=661) стоит 90 тысяч + услуги EMS. Какие проблемы купить напрямую у производителя (http://hamlab.redpitaya.com/)? :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 775] Автор : UA8U Дата : 21.08.2017 10:31 Просмотрел спецификацию аппарата на сайте компании. Не обнаружил параметров приёмной части, BDR/IMD3/IP3/.... Не маловата ли чувствительность у приёмника? По мне так очень мала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 776] Автор : RC7H Дата : 21.08.2017 14:11 Привет всем!!! Получил. 269190 269191 269192 269193 Как видим внутри несколько не такой. Почему не тнт ,есть сведения что можеш переплатить потом... Почему дороже платил совсем при другом курсе. Ems совсем ничего не платил. Буду осваивать ,потом отпишусь!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 777] Автор : RC7H Дата : 25.08.2017 09:02 Привет Всем!!! Ну немного о трансивере,корпус оч вместительный,явно с запасом сделан. Блин уже хотел ,не писать,незамерял размеры корпуса ,а пишу с работы,позже напишу А так для сравнения штук 6 sunsdr2 c запасом внутрь войдет. На фото вверху видно,слева плата redpitaya,и коммутации на ней сверху, Снизу сама redpitaya и судя по всему фильтра приема(не очень понято). На передней панели кодек,на задней панели тоже коммутации и распределитель питания,по виду и стабилизатор. Посредине в экране усилитель мощности с фнч. места достаточно и для размещения ум ват на 100-200,верхняя часть корпуса пустует. Задняя и передние стенки около 4мм дюраль черненый,корпус полностью из металла. Сам ум имеет 2-а каскада,оконечник на транзисторе AFT5M31N Вообще все сделано аккуратно,все вч соединения на позолоченных вч разьемах. Ну пока все.... C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 778] Автор : Serg Дата : 25.08.2017 09:37 RC7H, схем не давали они? Очень интересно, как согласован 1мОм вход платы с 50 Ом стандартом. (если нет схем, хотелось бы детальное фото этого фрагмента, куда приходит кабель от IN1 красной платы. И фото фильтров приемных покажите. Во всяких презентациях, доступных в интернете, говорится о том, что еще только готовят какую-то новую плату с добротными ДПФ аж на 12 под-диапазонов. И для затравки, попалась информация, что немцы готовят какой-то ряд доработок улучшающих качество TX IMD, вроде бы поменяют оперционник по выходу на более скоростной. Ну и кое-какие другие доработки по приему... https://www.youtube.com/watch?v=PNkcvNxqso0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 779] Автор : RC7H Дата : 25.08.2017 11:04 Нет схем не давали,я постараюсь и если не придется для этого разбирать аппарат до винтика,то сделаю фото!!! Там есть неприятные моменты с софтом внешним,но об этом ,как буду готов,напишу!!! Единственно скажу ,что по динамике на панораме и на слух никаких неудобств нет. Позже постараюсь и замеры сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 780] Автор : RC7H Дата : 27.08.2017 09:17 Немного о внешнем софте. Внутренний софт(на флешке),нареканий не вызывает(?),зато внешний оч даже. Та ссылка на программу(которую рекомендует производитель) доработанную, она пока с дефектом ,а именно есть ошибка в программе puresignal. При ее запуске вот такое окно 269500 должно быть вот такое 269501 Жду,когда исправят. Программа mrx,оригинал работает,но нет там именно при выборе,redpitaya. Вследствие этого при работе именно с трансивером от hamlab,шумовая дорожка да и качество звука мне не понравилось. Лучше всего работает программа от Zeus(zs-1),в ней все сбалансировано,все хорошо,звук мягкий,но микрофон не работает с передней панели трансивера(только ч-з компьютер),нет diversiti и puresignal(вернее он есть но не автомат). Остановился на версии от немцев,где есть выбор,redpitaya,ранее кто то публиковал ссылку на эту разработку. Особо стоит остановится на настройке панорамы,по умолчанию во всех программах на базе PowerSdr станции едва различимы на панораме,но это прекрасно настраивается в программе,так и то что бы двигалась полоска настройки ,а не панорама(кнопочка на передней панели). Что меня особо разочаровало,это diversiti(фазовое подавление помех),нет давит помехи (треск,шум по диапазону итд) не хуже,чем мой внешний блок. Но на пиках приема станции(ssb,в ам такого нет) слышны шелчки и какие то искажения,можно конечно мириться с этим,разбираемость сигнала это не портит,но это хуже ,чем мой внешний блок,причем это на всех программах. Может доработают,напишу производителю,пусть исправляют. С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 781] Автор : UT0UM Дата : 31.08.2017 23:47 Трансформаторы вот тут (http://hamsci.org/n6tv-red-pitaya-combine-cw-rtty-skimmer-hdsdr) человек написал, что просто подключил антенну через внешний транс 14:1 от минициркулей т.е. ничего не сдувал и не мотал я намотал два транса на биноклях BN73-202 269843 левый 3х3 витка 269844 правый 2+6 витков 269845 (сигнал подавал от AA-54, поэтому меандр) какой же ставить? п.с. можно же намотать 3 + 11 витков, будет 13,44:1 будет почти как у N6TV от минициркулей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 782] Автор : Serg Дата : 01.09.2017 11:15 подавал от AA-54 Так если есть АА, нужно просто на КСВ прогнать и показать гарфик ну или по точкам на 1.8, 14, 30, 50МГц, нагрузив на сопротивление сколько там намотали к.трансформации, если 1 к 14 то на 700 Ом. Вопрос интересный, что получится с самодельным таким трансом, как-то покупать "циркули за доллары" не хочется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 783] Автор : UT0UM Дата : 01.09.2017 11:17 КСВ прогнать прогнал примерно одинаково от 1 на 1,5 до 1,25 на 30 МГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 784] Автор : Serg Дата : 01.09.2017 11:24 от 1 на 1,5 до 1,25 на 30 МГц Прикольно, а говорят 73й материал лучше для ДВ-СВ и нижнего КВ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 785] Автор : UT0UM Дата : 01.09.2017 11:28 а говорят 73 я не знаю что там говорят тут (http://toroids.info/BN-73-202.php)написано, что до 30 МГц как транс покатит Application Freq Range Wideband Transformers 0.2 - 30 MHz -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 786] Автор : R2DHG Дата : 01.09.2017 11:31 Что меня особо разочаровало,это diversiti(фазовое подавление помех),нет давит помехи (треск,шум по диапазону итд) не хуже,чем мой внешний блок. Крутить его тоже вручную надо как внешний или в программе что то автоматическое ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 787] Автор : UT0UM Дата : 01.09.2017 18:49 а если питая будет скиммерить прямо на контест позиции, то ей надо вход землить, когда станция на передачу включается? разнос между антеннами планируется метров 50, если че или это вообще плохо придумано скиммерить прямо на контест позиции? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 788] Автор : Kia2700d Дата : 01.09.2017 18:55 или это вообще плохо придумано скиммерить прямо на контест позиции? специально для этих целей скимер проверяет PTT бит в протоколе HPSDR и пропускает семплы (не декодирует) когда нажат PTT. иначе идет страшный мусор в скимер, даже при закороченном входе. но неизвестно реализован ли этот бит в протоколе красной питаи (он там немного упрощенный). Это вопрос для Павла Демина. вот тут проверка идет: https://github.com/k3it/HermesIntf/blob/1669153ae7a5a7ea6fda7583c7d1e561b0c1a3fa/HermesIntf/HermesIntf.cpp#L288 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 789] Автор : Serg Дата : 01.09.2017 19:24 разнос между антеннами планируется метров 50, если че или это вообще плохо придумано скиммерить прямо на контест позиции? Если пол километра нет на вынос приемных антенн или на установку скимера на "другой даче", другого не остается, просто нужно установить защиту от перенапряжения входа, ну там ДПФы приемые + лампочку 6.3в мелкую и по паре диодов встречно-параллельно. Свои позывные можно отфильтровать в скимере, чтобы он их не выдавал. специально для этих целей скимер проверяет PTT бит в протоколе HPSDR и пропускает семплы (не декодирует) когда нажат PTT. А что уже сделали такое, чтобы hpsdr-апаратура одновременно работала бы на скимер и на программу трансивера? Или всего лишь заводят на вход педали СДРа сигналы РТТ от других передатчиков чтобы такую остановку скимера сделать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 790] Автор : Kia2700d Дата : 01.09.2017 19:35 всего лишь заводят на вход педали СДРа сигналы РТТ от других передатчиков чтобы такую остановку скимера сделать именно так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 791] Автор : UT0UM Дата : 01.09.2017 22:00 PTT бит это программно поток рубится а я говорю про закорачивание входа, чтобы не спалить АЦП или ус мощным сигналом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 792] Автор : UT0UM Дата : 02.09.2017 01:06 вроде тут не было Red Pitaya als “Standalone” (http://saure.org/cq-nrw/2016/04/07/red-pitaya-als-standalone-von-thomas-dl4yeb/) 269896 Добавлено через 18 минут(ы): чтобы не спалить АЦП или ус мощным сигналом тут (https://www.fpga.red/redpitaya-v2/)пишут что вход держит 30В максимум 2x SMA RF inputs Bandwidth : 50 MHz (3 dB) Input impedance : 1 MΩ // 10 pF Sample rate : 125 Msps ADC resolution 14 bits Full scale voltage : +/-1V or +/-20V Absolute maximum input voltage rating : 30V (1500V ESD) и везде пишут что входное у нее 1 МОм получается надо транс 1 к 20? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 793] Автор : UT0UM Дата : 02.09.2017 02:14 продолжаю изучать вопрос по входу в режимер LV стоит делитель (http://downloads.redpitaya.com/doc/Red_Pitaya_Schematics_STEM_125-10_V1.0.pdf)2/1 написано что в этом режиме на вход можно подать 1В т.е. будет идти 0,5В после делителя на усилитель и фильтр вот тут (http://do4dxa.blogspot.com/2016/10/red-pitaya-and-cw-skimmer.html)немец пишет, что для увеличения чувствительности надо поставить перемычку с 2 на 5 пин т.е. исключить делитель таким образом, если поставить трансформатор 9:1, то чтобы получить 0,5В на выходе (450 Ом) транса нужно подать на вход (50 Ом) около 0,17В т.е. это максимум, что можно подать на транс с антенны согласно таблицы (https://www.qrz.ru/reference/dbm.shtml)0,17В это что-то среднее между -2 и -3 dBm т.е всего S9+70Дб получается, что если направить ягу на приемную антенну и хорошо качнуть на контест позиции (2-3 kW хотя бы), то вполне реально спалить вход питаи? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 794] Автор : RC7H Дата : 02.09.2017 08:42 Крутить его тоже вручную надо как внешний или в программе что то автоматическое ? Мышкой быстренько настроить,плюс в том ,что намного быстрее и оперативнее,опять же регуляторы во внешнем блоке приходят со временем в негодность. С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 795] Автор : ra3gn Дата : 02.09.2017 08:54 ut0um Вход сгорит при 100 вт. Года 2 ранее ставил по входу сдр атт из 2 х ком.(- 120дб подавления), что бы не затыкать ару на сдре во время передачи). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 796] Автор : Serg Дата : 02.09.2017 09:19 получается, что если направить ягу на приемную антенну и хорошо качнуть на контест позиции (2-3 kW хотя бы), то вполне реально спалить вход питаи? Там какие-то есть диоды ограничительные вроде мелкие, но лучше поставить типовой протектор: http://www.kb6nu.com/protect-receiver-inputs/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 797] Автор : UT0UM Дата : 02.09.2017 14:19 типовой протектор интересно, где у нас купить 75V GDT? не с digikey же заказывать оппа! оказывается они есть в радиомаге (https://www.rcscomponents.kiev.ua/product/razryadnik-gdt1-56-2r-75l_123894.html)! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 798] Автор : Serg Дата : 02.09.2017 14:46 оказывается они есть в радиомаге! Хм, и даже не дорого. В космодроме были по 1 зел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 799] Автор : UT0UM Дата : 02.09.2017 18:13 типовой протектор: http://www.kb6nu.com/protect-receiver-inputs/ а зачем там лампочка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 800] Автор : Serg Дата : 02.09.2017 18:52 Вкратце, примерно как варистор работает. Тоже степень защиты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 801] Автор : EU1SW Дата : 02.09.2017 19:15 https://ru.wikipedia.org/wiki/%D0%91%D0%B0%D1%80%D0%B5%D1%82%D1%82%D0%B5%D1%80 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 802] Автор : UT0UM Дата : 02.09.2017 19:47 как варистор понятно поставим вопрос по другому, на схеме лампочка 12В 150 мА т.е. примерно 2 ватта в магазине нашел только 1,2Вт или 3Вт брать ту которая 3Вт, потому что у нее же сопротивление в холодном состоянии меньше? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 803] Автор : EU1SW Дата : 02.09.2017 20:07 У нее же и в горячем будет меньше, поэтому я бы посоветовал брать 1,2 Вт потому что у нее в горячем - больше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 804] Автор : UT0UM Дата : 02.09.2017 20:20 а как мне питаей ФНЧ и ДПФ посмотреть? что то я ничего не могу найти по этому вопросу может кто разбирался? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 805] Автор : rz3qs Дата : 02.09.2017 20:36 как мне питаей ФНЧ и ДПФ посмотреть? http://pavel-demin.github.io/red-pitaya-notes/vna/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 806] Автор : Serg Дата : 02.09.2017 20:40 UT0UM, можно лампочку 6.3в сигнальную поставить. Диодов ограничительных предусмотреть возможность и еще по одному последовательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 807] Автор : UT0UM Дата : 02.09.2017 20:56 лампочку 6.3в сигнальную нету http://pavel- я это видел, спасибо все делаю по инструкции дохожу до этого места Run the vna.exe program. Type in the IP address of the Red Pitaya board and press Connect button вбиваю адрес, нажимаю коннект и получаю 269950 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 808] Автор : rz3qs Дата : 02.09.2017 21:13 все делаю по инструкции У меня работает, т.е. ищите ошибку. Вот антенна InV 20-15-10 одним кабелем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 809] Автор : UT0UM Дата : 02.09.2017 21:23 У меня работает а на самой питае надо что то запускать перед нажатием внопки Коннект? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 810] Автор : rz3qs Дата : 02.09.2017 21:31 на самой питае надо что то запускать В RP нужно вставить флешку с VNA (распаковать файл с VNA на SD) и подать питание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 811] Автор : Serg Дата : 05.09.2017 11:29 Вопрос к "питайщикам": когда работает программа SDR receiver compatible with HPSDR, там i2c-интерфейсы управления фильтрами функционируют и какие, hermes-совместимые LPF/HPF-комбинации или же вариант rz3qs (свои комплекты ДПФ на два входа) тоже могут? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 812] Автор : RC7H Дата : 07.09.2017 13:49 Привет всем!!! Кто то просил посмотреть,что за трансформаторы стоят в трансивере от hamlab Вот фото 270268 С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 813] Автор : UT0UM Дата : 07.09.2017 14:35 трансформаторы стоят в трансивере от hamlab о, точно такой (https://www.minicircuits.com/WebStore/dashboard.html?model=T14-1%2B) и N6TV применил (http://hamsci.org/n6tv-red-pitaya-combine-cw-rtty-skimmer-hdsdr) так что, выбрасывать 1:9 и ставить 1:14? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 814] Автор : Serg Дата : 07.09.2017 15:20 так что, выбрасывать 1:9 и ставить 1:14? Если подключение антенн превышает собственные шумы - можно не менять. А для 21-28(50) добавить мощный УВЧ по какой-то из известных схем. (ПТ или БТ средней мощности). Вопрос, где брать эти Т14 по адекватной цене? На ебэй нет, есть только Т16-1 по 16$ за 6шт, хотя они по частотному диапазону тоже подойдут. Вопрос к "питайщикам": когда работает программа SDR receiver compatible with HPSDR Что-то никто не ответил на вопрос, я посмотрел исходник приемников этих, там про i2c вообще ни слова. Придется педалями тумблерами наверно :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 815] Автор : UT0UM Дата : 07.09.2017 15:46 Вопрос я намотал 1:9 тремя проводами сделал вот ту схемы защиты с лампочкой плату уже закончил сегодня буду все собирать на фанерке ставить антенные разъемы, потом плату с трансами и защитой, потом хвостиками в сма питаи глядишь, на выходные скиммер подниму -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 816] Автор : RC7H Дата : 07.09.2017 18:12 В трансивере от hamlab,написано,что на задний разьем выведены пока только контакты реле(прием-передача) А педаль на микрофоный разъём,как сделано переключение фнч (судя пока по компоновке,фнч один,для приёма и передачи),более внимательно,посмотрю и потом отпишусь. С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 817] Автор : Kia2700d Дата : 07.09.2017 19:01 о, точно такой и N6TV применил он где-то писал что ему этот транс подарили представители ред питаи на хамфесте. осталось узнать как они перемычки поставили в трансивере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 818] Автор : UT0UM Дата : 07.09.2017 19:42 осталось узнать как они перемычки поставили в трансивере. так а там без вариантов 2-5 вот и у него написано As discovered by K1TTT, use a single jumper to bypass the 1M ohm input impedance circuit. Connect pins 2 and 5 (the two middle pins) together (pictures in W2NAF's doc linked below) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 819] Автор : R6YY Дата : 07.09.2017 21:20 Если подключение антенн превышает собственные шумы... Поддержу этот тезис. Из своей практики наблюдаю, что подключение антенны при замкнутой перемычке 2-5 приводит к нормальному функционированию приёмника (в моём случае в составе скиммер-сервера). Экспериментируя, устанавливал на входе трансформатор 1:9, приёмник при этом явно перегружался. От идеи установки дополнительного усилителя на входе отказался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 820] Автор : UT0UM Дата : 07.09.2017 21:34 устанавливал на входе трансформатор 1:9, приёмник при этом явно перегружался. Сергей, получается у Вас антенна прямо в SMA включена без всяких трансформаторов и защит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 821] Автор : R6YY Дата : 07.09.2017 23:10 Сергей, получается у Вас антенна прямо в SMA включена без всяких трансформаторов и защит? Да, так. Для широкополосного приёма использую Inv-L 160-ти метрового диапазона. В качестве защиты gblc03c на входном разъёме (по рекомендации RZ3QS). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 822] Автор : Serg Дата : 07.09.2017 23:44 Тут прямое подключение с некоторыми антеннами срывает в возбуд приемник, чтобы успокоить, подключал через переходник и тройник BNC с накрученным с одной стороны терминатором 50 Ом. Но, на 21 и выше чутья для моего чистого эфира не хватает в таком виде, нужен УВЧ или транс хотя бы 1 к 4 или к 9 наверно. Нет пока нормальных трансфлюкторов, все "из тумбочки", что перепробовал имеют ксв<1.5 от 7-10МГц и вплоть до 145МГц, т.е. явно УКВ феррит. А на кольцах отечественных получается все наоборот, от силы до 7-10МГц работают, выше - валят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 823] Автор : UT0UM Дата : 09.09.2017 23:26 я вот не могу понять, то ли лыжи не едут... ... толи одно из двух... вставляю в питаю карту, которую с ней прислали захожу на питаю захожу в маркетплейс, а там нет HPSDR :cry: делаю по другому: здесь (http://pavel-demin.github.io/red-pitaya-notes/sdr-receiver-hpsdr/) беру customized SD card image zip file (https://www.dropbox.com/sh/5fy49wae6xwxa8a/AAAP62ge2aAqpTxTP1of5zLga/sdr/ecosystem-0.95-1-6deb253-sdr-receiver-hpsdr.zip?dl=1). форматирую другую карту (8 Гб, FAT32, стандартный размер кластера) копирую содержимое архива на карту вставляю в питаю, а она с нее не стартует :cry: что я делаю не так? где собака порылась? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 824] Автор : rz3qs Дата : 10.09.2017 00:01 делаю по другому: Этот вариант работает. Проверяйте. http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 825] Автор : Kia2700d Дата : 10.09.2017 18:12 вставляю в питаю, а она с нее не стартует оказывается оно стартует, но нет никакой индикации что приемник готов к работе. это проект #8 из списка http://pavel-demin.github.io/red-pitaya-notes/ . наверное можно как-то зажечь LED0 (1000) в discovery.sh - 8 в двоичном коде :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 826] Автор : UT0UM Дата : 10.09.2017 20:28 ся оно стартует она именно не стартовала, т.е. на нее нельзя было зайти по локалке все разрешилось после того, как я достал старый ноут и на нем сделал карточки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 827] Автор : Serg Дата : 13.09.2017 23:49 Вопрос, кто кодек WM8731 подключал, где взять схему наиболее пригодную для наших дел, от "монки" подойдет? http://www.m0nka.co.uk/wp-content/uploads/2016/03/mcHF_ui-1.pdf Микрофонный усилитель надо какой-то или капсули в ганитурах и так нормально дают? Входы, которые обозначены у кодека как линейные - тут будут как-то функционировать или никуда их не подключать ( или как-то терминировать может надо) ? Питание, земли аналоговые и цифровые как-то разделять или параллельно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 828] Автор : Genadi Zawidowski Дата : 14.09.2017 00:30 Подавать на электретные от компютерных гарнитур лучше пять вольт отфильтровав предварительно. И одномикрофкадные конденсаторы на наушники и динамик... Это мало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 829] Автор : Balaganoff Дата : 14.09.2017 15:04 Вопрос, кто кодек WM8731 подключал, где взять схему наиболее пригодную для наших дел 163 сообщение (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1306935&viewfull=1#post1306935) и ниже не об этом ? А вот как (http://www.rz1zr.ru/sh.html) реализовал RZ1ZR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 830] Автор : rz3qs Дата : 15.09.2017 00:47 Одна флеш карта, работает HPSDR трансивер и VNA. https://youtu.be/8piEybrHvWU http://pavel-demin.github.io/red-pitaya-notes/alpine/ http://pavel-demin.github.io/red-pitaya-notes/vna/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 831] Автор : Balaganoff Дата : 15.09.2017 08:06 Одна флеш карта, работает HPSDR трансивер и VNA. На сколько я осведомлен, на одной карте может быть не только 2, а сколько угодно совместимых приложений. И устанавливаются они не только вручную, но и через свой магазин приложений посредством браузера. Вот тут (https://redpitaya.com/marketplace-applications/) написано что VNA от Павла есть в маркете. Вот судя по этой картинке все это работает одновременно (по выбору). Или я чего-то не понимаю? 270802 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 832] Автор : rz3qs Дата : 15.09.2017 08:24 Или я чего-то не понимаю? Все правильно понимаете, но для такой работы нужно постоянное наличие нета. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 833] Автор : Serg Дата : 15.09.2017 09:36 Все правильно понимаете, но для такой работы нужно постоянное наличие нета. Объясните, зачем, приложения же вроде устанавливаются из "базара" в флешку и там остаются? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 834] Автор : rz3qs Дата : 15.09.2017 09:58 Объясните, зачем, Отключите интернет и попытайтесь все запустить, год назад у меня этот номер не прошел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 835] Автор : Serg Дата : 15.09.2017 11:16 Отключите интернет и попытайтесь все запустить Все, что установлено в приложениях - запускается через браузер и при отключеном тырнете, проверил сдр приемник, трансивер, осцилоскоп, VNA, не вижу проблем. Образ на карточке оригинальный, который шел с версий 1.0 платы. Нужные радио-приложения кажется сам устанавливал через "базар". А вообще считаю, что нужен какой-то внешний переключать, чтобы можно было им удобно выбирать самые нужные HAM-приложения так сказать одним щелчком с панели устройства... Павел говорил, что можно что-то на основе I2C-расширителя сделать, может быть кто бы под-суетился из "шарящих"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 836] Автор : rz3qs Дата : 15.09.2017 11:54 одним щелчком с панели устройства... Сейчас можно за три действия. В PWRSDR активируем свободный пин I2C, он включает железо под VNA, в браузере выбираем VNA и запускаем прогу. Лично мне больше других приложений и не надо, RP 99.99% времени работает как трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 837] Автор : Serg Дата : 15.09.2017 23:48 Лично мне больше других приложений и не надо, RP 99.99% времени работает как трансивер. Я еще мультибендовый приемник использую. И WSPR трансивер было бы интересно одним тумблером включать, уходя по делам, чтобы он там вещал на паре Ватт... Остался еще вопрос по кодеку wm8731: подключать I2C SDA->PIN23, SCL->PIN24. А 22 пин (выбор адреса) куда, масса или плюс? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 838] Автор : EW3M Дата : 16.09.2017 09:23 уже кажется выкладывали 270856 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 839] Автор : Serg Дата : 24.09.2017 20:40 Похоже, что программы Павла убрали с bazaar (http://bazaar.redpitaya.com/)-а? Теперь стала понятна причина выхода его отдельной флешки со всем радиософтом. (http://pavel-demin.github.io/red-pitaya-notes/alpine/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 840] Автор : Balaganoff Дата : 05.10.2017 09:05 RC7H, ну что там? Как впечатления за время использования готового ящика hamlab ? По-вашему стоит он своих денег? Если не сложно покажите внутренности коробочки с фильтрами и усилителем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 841] Автор : postal Дата : 05.10.2017 09:32 Всем доброго времени суток!! Может не в теме,но другого не нашел.Если ,что модератор перенесет или подскажет.Случилось такое горе перестал работать СДР-1000 (клон) на прием и на передачу. Потом после нескольких включений появился прием,потом пропал. Вообщем поклацаешь стартом..перезагрузкой появляется прием. не трогаеш работает долшго. А вчера кнопки тональной и микр. передачи стали не активны. Переустановка других версий непринесла успеха Кнопки также не активны. Кто поможет советом?? Или подскажет к кому обратится. Нахожусь в Мариуполе. Заранее благодарен. Александр US8IBO -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 842] Автор : DerBear Дата : 05.10.2017 09:42 В СДР-1000 всё работает на "рассыпной логике". Вероятно, какие-то элементы стали шалить. Возможно статика пробежала с антенны. Может какие емкостЯ повысыхоли. Сколько лет-то этим клонам? Было такое у меня (давно правда), лечил полным отключением и разбором всех плат. Снимал статику перемычкой. После этого радио нормально работало. Перенесите вопрос в тему про Flex-1000 или создайте новую тему в ветке про SDR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 843] Автор : postal Дата : 05.10.2017 10:20 Версия одноплатная...кондеры с виду в норме. Была настройка выходного усилителя(15 ватт) пошел возбуд и все пропало...ветку СДР не нашел. найду уйду... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 844] Автор : RC7H Дата : 06.10.2017 12:53 Александр я уже достаточно (повозился)с этим трансивером,однозначно скажу ,что да он стоит своих денег(по сравнению с сунсдр2). Тут не нужно забывать,что программа для сунсдр2 ,постоянно совершенствуется и у меня правда возникло впечатление что совершенствование программы для сунсдр2 прямо влияет на стоимость железа.... Если сравнить по приему,я невижу разницы никакой,но работа например dsp в некоторых программах для трансивера от hamlab намного лучше,особенно(я писал уже об этом) в программе от zeus. Да и на передачу она оч достойно работает(но недостаток здесь отсутствие поддержки микрофона с передней панели и преампа(2-х каскадов увч,правда замечу они может быть понадобятся только выше 15м). Родная прога от hamlab(на базе powersdrmrx) еще сыровата,об чем написал производителю,обещали поправить(посмотрим сколько времени займет правка). Ну а насчет фото ум с фильтрами постараюсь залезть и сделать фото!!! C Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 845] Автор : rz3qs Дата : 11.10.2017 01:25 Павел Демин обновил программы. Здесь (https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20171010) качаем файл для флешки и программу VNA. VNA стал более удобным в работе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 846] Автор : UA3RW Дата : 11.10.2017 12:23 Программа работает с доработанной питаей. Или ей все равно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 847] Автор : rz3qs Дата : 11.10.2017 12:48 Или ей все равно? Все равно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 848] Автор : pavel-demin Дата : 13.10.2017 18:07 Похоже, что программы Павла убрали с bazaar (http://bazaar.redpitaya.com/)-а? Некоторое время (с июля по октябрь) bazaar не работал, и было невозможно добавлять новые версии приложений. Вчера bazaar заработал, и новые версии моих приложений теперь снова можно установить через bazaar. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 849] Автор : UT0UM Дата : 14.10.2017 17:15 Там какие-то есть диоды ограничительные вроде мелкие, но лучше поставить типовой протектор: http://www.kb6nu.com/protect-receiver-inputs/ Питая с типовым протектором уехала на контест позицию 272853 и там весело мигает лампочками :ржач: http://youtu.be/C1eQgGhUwSw -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 850] Автор : Kia2700d Дата : 14.10.2017 17:33 с такими наводками этой питае долго не жить. если она уже не навернулась. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 851] Автор : Serg Дата : 14.10.2017 18:09 UT0UM, есть смысл корпусок на основе этой доски смастерить, из оцинковки хотя бы выгнуть и насверлить отверстий над радиатором мелких. Трансы согласующие под платой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 852] Автор : UT0UM Дата : 14.10.2017 18:18 под платой да, все там -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 853] Автор : UT0UM Дата : 14.10.2017 19:18 вот (http://hamsci.org/cw-reverse-beacon-network-how-guide)еще как сделать скиммер на Ред Питайе пишут, что в качестве защиты приемника надо использовать вот это (https://www.dxengineering.com/parts/dxe-rg-5000/documentation) а вот (http://www.k8nd.com/Radio/CW_Skimmer/W8WTS_Comparison_RG-2000_RG-5000.pdf)что внутри у той защиты 272860 автор пишет, что не опознал белую коробочку U1 имхо, она очень похожа на транс от минициркулей наверное 1:1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 854] Автор : RC7H Дата : 15.10.2017 14:54 Тезка если не затруднит,Вы имеете отношение к hamlab? Я к тому ,что написал уже тройку к ним вопросов!!!(ответ был(посмотрим), но незаметно уже месяц никаких подвижек). Ну во первых фазовое подавление шумов работает(это не зависит от версии программы то ли это mrx,то ли родная программа),не зависит от версии виндовс 7,10 В режиме приема ssb,при включении программы фазового подавления на пиках сигналов наблюдаются искажения ,стоит включить только программу(сделать активной(зеленая кнопка) для настройки 2-х антенн,даже без физического подключения второй антенны. Я уж сомневаюсь ,может у всех так или недоработка hamlab? 272920 С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 855] Автор : Serg Дата : 15.10.2017 15:03 пишут, что в качестве защиты приемника надо использовать вот это Если эта схема соответствует действительности, то там будет ограничение амплитуды на уровне ~18В, ламповому радиоприемнику может это и подойдет :-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 856] Автор : pavel-demin Дата : 15.10.2017 21:58 В режиме приема ssb,при включении программы фазового подавления на пиках сигналов наблюдаются искажения ,стоит включить только программу(сделать активной(зеленая кнопка) для настройки 2-х антенн, даже без физического подключения второй антенны. Про HamLab ничего сказать не могу. Попробовал воспроизвести проблему с простой RedPitaya подключив OUT1 через разветвитель к IN1 и IN2. Два тона вроде бы никаких искажений не дают. Вот что получилось: 272959272960272961 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 857] Автор : UT0UM Дата : 15.10.2017 22:04 то там будет ну Вы же видите, сколько диодов там на фотке напишите в ДХ инжиниринг, что они лоханулись -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 858] Автор : Serg Дата : 15.10.2017 22:48 напишите в ДХ инжиниринг, что они лоханулись Во-первых не факт, что схема оригинал и мы не знаем, что находится в детали из 6 выводов, там может быть и какой-то интегральный ограничитель вроде кольца стабилитронов, а во-вторых может оно и рабочее, если предназначалась для подключения к трансиверу со своим ограничением от перегрузок. Все же уровень ограничения 18 диодов - это еще больше Ватта мощности, поэтому думайте сами. Вариант с лампочкой 6v простой как топор, к тому же еще можно и как индикатор электромагнитной обстановки использовать, для оценки допустимости подключения приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 859] Автор : UT0UM Дата : 16.10.2017 03:25 весело мигает лампочками лампочки успешно сгорели :ржач: Питая жива и здорова, скиммерит дальше 272973 Добавлено через 40 минут(ы): там может быть и какой-то интегральный ограничитель вроде кольца стабилитронов, говорят что там катушки (http://psk31.cocolog-nifty.com/digitalmode/2015/10/dxe-rg-5000-a3c.html#more) 272974 та точно там транс 1:1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 860] Автор : R6YY Дата : 16.10.2017 09:22 Всвязи с актуальностью затронутого вопроса защиты входа РП (и не только её) интересуют характеристики отечественных разрядников Р-3 и РБ-2. Мои гугления успехом не увенчались. Возможно у кого-то есть этикетка изделия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 861] Автор : RC7H Дата : 16.10.2017 13:15 Павел вот захватил видео со звуком ,такая фигня(изв.за выражение) В ам это неслышно ,но в ssb ,что может быть,записывал звук ч-з виртуальный кабель,но то же слышно и в телефонах с передней панели ч-з аудиокодек. Это не нехватка ресурсов компа точно,но что не пойму. Ссылка на rar архив,распакуйте и посмотрите,и даже если не подключаешь вторую антенну такой же эффект,может кодек так влияет. https://yadi.sk/d/mtOXE4Op3NnfAC Еще вопрос ,пробовал запустить Вашу версию программы ,но у меня на трансивере от хамлаб ничего не получилось,я наверно что то не так делаю? С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 862] Автор : RN3KK Дата : 16.10.2017 15:53 Коллеги, я похоже отстал от жизни. Что за куча диодов? От чего такое защищать то должно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 863] Автор : WladN Дата : 16.10.2017 16:02 Для защиты по входу своего МИНОР-а ставил по входу транс 1к1 с короткозамкнутым витком. Обычный транс статика может пробить и транс целый останется. Так же и с разделительными кондерами. А родной защитный диод поставил сразу после входного транса прямо параллельно входу АЦП. Оставлять по входу смысла не стало после того,как заменил входной 1к1 на 1к9(УВЧ выкинул). Так что с любым трансом должен защитить. Правда с заводским трансом с обьемным витком пришлось пошаманить с колечками. Ачх не очень понравилась. Потери в трансе около 1дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 864] Автор : Kia2700d Дата : 16.10.2017 16:19 От чего такое защищать то должно? от передающей антенны в которую дуют несколько кВт, на растоянии меньше чем лямбда ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 865] Автор : pavel-demin Дата : 16.10.2017 22:04 Павел вот захватил видео со звуком ,такая фигня(изв.за выражение) В ам это неслышно ,но в ssb ,что может быть,записывал звук ч-з виртуальный кабель,но то же слышно и в телефонах с передней панели ч-з аудиокодек. Это не нехватка ресурсов компа точно,но что не пойму. Спасибо за видео. Попробовал еще погонять Diversity в разных режимах: с кодеком, без кодека, SSB, AM, разные уровни сигнала и громкости. Никаких искажений не слышно. Версия прошивки: 20171012. Версия PowerSDR mRX PS: 3.3.14. Я заметил, что у Вас спектр никак не реагирует на включение Diversity. В конце марта я добавил синхронизацию приемников при включении Diversity. В момент синхронизации спектр подскакивает. Похоже, что разработчики HamLab не очень оперативно синхронизируют свою версию кода с моей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 866] Автор : WladN Дата : 17.10.2017 07:38 Павел здравствуйте! У меня вопрос. Возможен ли вариант использование второго канала по передаче. И можно ли запустить как то 4 приемника. Два на один вход(прога позволяет),два на другой. Было бы здорово! Например на один вход(выход) настраиваем КВ трансивер,на второй УКВ с трансвертерами. Первым можно на КВ договариваться о скедах,когда второй работает на CQ в УКВ диапазоне. Сорри за фантазии,но вроде платка позволяет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 867] Автор : pavel-demin Дата : 17.10.2017 09:20 Павел здравствуйте! У меня вопрос. Возможен ли вариант использование второго канала по передаче. И можно ли запустить как то 4 приемника. Два на один вход(прога позволяет),два на другой. Было бы здорово! Например на один вход(выход) настраиваем КВ трансивер,на второй УКВ с трансвертерами. Первым можно на КВ договариваться о скедах,когда второй работает на CQ в УКВ диапазоне. Сорри за фантазии,но вроде платка позволяет. Все зависит от того, какой смысл вы вкладываете в слово "возможен". Если кто-то напишет соответствующие вашим требованиям прошивки и программы, то скорее всего возможен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 868] Автор : WladN Дата : 17.10.2017 10:51 С меня программист.... Понятно. Значит в планах ничего подобного нет. Спасибо и за то,что работаете на радость радиолюбителям. И интересно,есть какие еще планы по этому проекту? Ну и отвлекать более не буду,сорри за фантазии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 869] Автор : Serg Дата : 17.10.2017 11:13 Если кто-то напишет соответствующие вашим требованиям прошивки и программы, то скорее всего возможен. Павел, а вот интересно, там ресурса достаточно сделать из одной платы два HPSDR-трансивера? Т.е. к примеру, чтобы на двух отдельных компьютерах в сети запустить программы PowerSDR и пользоваться отдельными каналами RX+TX на плате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 870] Автор : RC7H Дата : 17.10.2017 12:18 Павел Спасибо за информацию ,значит я думаю в основном дело все таки в синхронизации,да прошивка и программа на их файловом хранилище выпущена еще по моему в феврале,вроде обещают обновить,но не торопятся,просто ранее на нч диапазонах использовал шумоподавитель на входе радио,а тут уже потенциометры все вышли из строя,причем diversiti очень неплохо давит помехи и оперативность настройки оч высокая,но вот такая засада,слушать конечно можно ,но оч неприятно на слух. Нет там июль месяц и у меня июльская ,видимо поленились использовать Ваш код,да они в своей программе на базе мрх допустили и ошибку в программе puresignal (исчезла (кнопка) в отличии от оригинала одна из самых важных функций) Если не исправят до конца года буду просить помощи у Вас ,что бы перейти полностью на Вашу прошивку. C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 871] Автор : pavel-demin Дата : 17.10.2017 12:41 Павел, а вот интересно, там ресурса достаточно сделать из одной платы два HPSDR-трансивера? Т.е. к примеру, чтобы на двух отдельных компьютерах в сети запустить программы PowerSDR и пользоваться отдельными каналами RX+TX на плате? Если в каждом из двух HPSDR-трансиверов требуется только по одному RX и TX, то ресурсов скорее всего достаточно. Но в такой конфигурации не будет ни Diversity, ни PureSignal, ни EER. Подобная конфигурация, но без протокола HPSDR уже есть: http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 872] Автор : RC7H Дата : 17.10.2017 13:28 Вот так в программе от хамлаб 273051 Должно же так быть 273054 С Уважением Павел!!! Добавлено через 36 минут(ы): Напомню что 2-а этих только параметра diversity и puresignal,решили мое мнение на покупку трансивера от hamlab,на замену sunsdr2 Пока же полностью я не могу сказать ,что заменил. Может действительно hamlab до конца года доведет програмное обеспечение до ума,посмотрим. C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 873] Автор : pavel-demin Дата : 19.10.2017 13:35 Павел вот захватил видео со звуком, такая фигня (изв.за выражение) Я проверил изменения добавленные разработчиками HamLab/Charly25 к моему коду, и похоже что нашел источник искажений. Вот код с проблемой: https://github.com/RedPitaya/red-pitaya-notes/blob/charly25ab/projects/sdr_transceiver_hpsdr/server/sdr-transceiver-hpsdr.c#L1708-L1713 Вот ссылка на мой работающий код: https://github.com/pavel-demin/red-pitaya-notes/blob/master/projects/sdr_transceiver_hpsdr/server/sdr-transceiver-hpsdr.c#L896-L904 Они зачем-то убрали проверку того, что частота приема не изменялась. Результат - в Вашем видео. К сожалению, разработчики HamLab/Charly25 отключили возможность сообщать им об ошибках с помощью тикетов (issues) на сайте GitHub. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 874] Автор : RC7H Дата : 20.10.2017 12:51 Павел Здравствуйте!!! Не сочтите меня за совсем уж назойливым,если нетрудно можете готовый файлик скинуть мне либо в личку либо на почту pawel.stavropol@gmail.com. Дело то в том ,я к сожалению уже в свои годы упустил программирование(по обьективным причинам) и не могу свободно вот так как Вы быть на Ты с кодом. Я могу только на Ты обращаться с программами ,файлами,схемами,железом. Если Вы доходчиво обьясните ,то смогу вставить Ваш код в файл,если обьясните ,как и с помощью каких программ это сделать. Просто ну ужас ,как беспокоят помехи на нч диапазонах,фазовое подавление их меня спасает уже много лет,противофазная антенна магнитная(ala imperium), а тут я уже писал регуляторы уже постирались(на внешнем блоке подавления) все,нужно менять,пока закажешь месяц-два пройдет. Уж не откажите в моей просьбе... C Уважением Павел!!! Да и еще вопрос ,что дает внесенное Вами в код изменение ,синхронизацию приемников(Вы об этом писали)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 875] Автор : RC7H Дата : 21.10.2017 12:58 Привет Всем!!! Ну благодаря Только Тезке,diversity заработал полноценно!!!! За что Павлу оч низкий поклон!!!! Я видео опубликую отдельным постом в ближайшее время,скажу что давит широкополосые помехи на раз,нечем не хуже внешних у-в,а по ряду параметров все быстрее и эффективней!!! Ну и добавлю не пойму пока ,только одного ,почему Тезка не является ведущим программистом от hamlab. По моему фирма от этого оч много получила бы плюсов!!!!! И Чисто Человеческое Ему СПАСИБО,За ТО что ЕСТЬ ТАКИЕ ЛЮДИ!!!!!....... Моя первая мечта сбылась,получить аппарат за адекватные деньги и получить diversity,осталось puresignal нормально реализовать. Напомню что самый дешевый фазовый внешний подавитель помех mfj-1025 стоит 12-14тыс сейчас..... С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 876] Автор : Kia2700d Дата : 25.10.2017 22:02 Павлу удалось встроить восемь приемников в красный фрукт, вместо предыдущих 6ти! Подробности тут: http://dayton.contesting.com/pipermail/skimmertalk/2017-October/002067.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 877] Автор : pavel-demin Дата : 25.10.2017 23:16 Павлу удалось встроить восемь приемников в красный фрукт, вместо предыдущих 6ти! Для информации. Чтобы получилось втиснуть два дополнительных приемника, я удалил поддержку полосы обзора 384 кГц. Поскольку не так много программ поддерживает восемь приемников с такой полосой обзора, думаю, что это приемлемый компромисс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 878] Автор : Kia2700d Дата : 25.10.2017 23:31 не так много программ поддерживает восемь приемников с такой полосой обзора, думаю, что это приемлемый компромисс. отлично. скиммер сервер по любому не поддерживает обзор 384, макс 192 (https://github.com/k3it/HermesIntf/blob/master/HermesIntf/Hermes.cpp#L454): кстати там можно подстроить семпл рейт в дискавери пакете, если он не попадает точно на 48/96/192 кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 879] Автор : RU6AI Дата : 26.10.2017 07:28 если нетрудно можете готовый файлик скинуть мне либо в личку А файлик нельзя для всех выложить ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 880] Автор : pavel-demin Дата : 26.10.2017 08:45 А файлик нельзя для всех выложить ? Вот файл для HamLab с исправленной Diversity: https://www.dropbox.com/sh/5fy49wae6xwxa8a/AAATGkF2pcALp0podGyRaoo3a/hamlab/sdr-transceiver-hpsdr?dl=1 Этот файл надо скопировать на SD карточку в папку www\apps\hamlab_sdr_transceiver_hpsdr Копировать наверное проще всего вставив карточку в компьютер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 881] Автор : RC7H Дата : 26.10.2017 11:39 Да так значительно проще ,сам делал ч-з переходник(выглядит ,как небольшая флешка с возможностью вставить 4-е различных флешки) все содержимое флешки ,как на (ладони). Ну и в помощь еще totalcommander (Оч все удобно в отличии от проводника). Ну еще добавлю,вроде hamlab обещает ч-з неделю обновление,что теперь беспокоит это puresignal,пока вплотную им не занимаюсь по 2-м причинам. Основная причина ,что жду когда (созреет) у итальянцев предоплаченный expert 1.5k-fa,тогда встанет вопрос ребром,какой ответвитель покупать с какими параметрами ,рекомендаций на мощность 700-1500вт никаких нет,может Тезка подскажет рекомендуемый на такую мощность? Потом хочу для интереса попробовать еще dll-ку ExtIO_RedPitaya_TRX,пока при старой прошивке эта dll-ка ни в какую не хочет работать на передачу в трансивере от hamlab Попробую с новой,тогда опять буду просить помощи ,конечно это вторично,но интересно.... Ну и еще мне оч интересно,почему в программе от zeus так качественно работает dsp,а именно фильтр NR,ну это небо и земля по сравнению с powersdr,никакими настройками это не решается. Возникает вопрос почему? C Уважением Павел!!! Добавлено через 30 минут(ы): Оч хочется (я напишу Яну),что бы в программу были добавлены и diversity и puresignal(полноценный) в поддержку redpitaya и трансивера от hamlab. Потом опубликую ответ!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 882] Автор : RC7H Дата : 26.10.2017 12:33 Вот видео работы zeus программы ,оно не полностью отображает все возможности dsp в режиме NR Ну первое нет различных помех,те не самый удачный вариант,но тем не менее,сейчас идут оч большие дискусии на страничке форума об работе подобных функций NR в частности с сунсдр2. В этой программе с этим все ок,вот видео. https://yadi.sk/i/apj6Qj2P3P7NPu Ну и на 40-ке https://yadi.sk/i/Az-Oobql3P7RTK Да и при работе с этой программой я режим nr предпочитаю не выключать никогда в моих условиях приема. Тут нет никаких искажений и горбов,это действительно приближено к действию или даже превосходит деййствие nr в (железных)аппаратах. C Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 883] Автор : RC7H Дата : 27.10.2017 16:41 Привет Всем!!! Ну вот видео работы diversity на 40м При включении diversity сначала там уже настроено,потом я показываю уровни приема на основную и вспомогательную антенну(магнитная антенна ala1530s imperium) При передаче снимаю просто питание с магнитки. Обратите внимание на каждой из них есть треск,затем показываю как это давится(треск кстати последнее время не оч большого уровня) Вот ссылка на видео https://yadi.sk/i/uITB-kHq3P9pac С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 884] Автор : rz3qs Дата : 28.10.2017 11:32 Удобная штука получается закладка RA. Не нужно помнить уровни при сравнении антенн, усиление УМа, видно С/Ш при экспериментах с приемными антеннами, в том числе и фазовое подавление. https://youtu.be/CfKlJedE2l8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 885] Автор : RC7H Дата : 28.10.2017 12:43 Привет Всем!!! Еще ,что интересно,в трансивере от намлаб два подключаемых каскада усиления по входу,если в режиме diversity включить один из каскадов предусиления (это происходит при вкл усиления +6 дб в программе),то максимум подавления меняет фазу на 180градусов. Тут понятно ведь сигнал снимается с коллектора транзистора . При включении на 40-ке второго каскада ,мне не хватает уровня с второй антенны для эффективного подавления помех(регулятор почти в 0),ну это в качестве эксперимента,а так вполне хватает просто чуствительности при аттенюаторе 0, У меня вопрос ,как в программе powersdrmrx можно скомпенсировать потерю именно показаний s-метра настроив его в режиме diversity,например в zeus это оч просто,как здесь быть? Ведь показания s-метра по любому при использовании режима divrsity падают,ну не буду же я давать рапорт по с метру ,когда слышу то значительно громче и лучше,как настроить с метр в этом режиме? Или мне говорить каждому вот по ощущениям принимаю с + а на с метре из за того ,что включен фазовый подавитель 5.8. С программой от зеус (при использовании внешнего подавителя помех,я могу настроить с метр по сигналу внешнему так как мне нужно(его показания). C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 886] Автор : rz3qs Дата : 28.10.2017 14:31 У меня вопрос ,как в программе powersdrmrx можно скомпенсировать потерю именно показаний s-метра настроив его в режиме diversity,например в zeus это оч просто,как здесь быть? При калибровке указать не тот уровень, что подали с генератора. Но все это неправильно. Если есть необходимость в точном уровне для корра, то подключаете передающую антенну к приемнику, только бывает, что кроме уровня шума на ней ничего и не слышно, а на приемную, S4 и С/Ш 10-15 дБ, что на слух будет S8. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 887] Автор : RC7H Дата : 28.10.2017 16:58 Так правильно я понял,что вариант изменить уровень показаний c -метра только калибровка? Это не оч. хорошо.... Других простых решений нет. C Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 888] Автор : RU6AI Дата : 28.10.2017 18:02 Разве калибровка- сложное решение? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 889] Автор : rz3qs Дата : 28.10.2017 18:09 Других простых решений нет. Есть, сделать правильную калибровку и для оценки реально правильного уровня подключать приемнику передающую антенну, все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 890] Автор : RC7H Дата : 28.10.2017 20:16 Я понял Спасибо,попробую сделать калибровку в режиме diversity,но что то мне подсказывает,что надо как то по другому это делать именно в режиме diversity. Просто автоматика,не всегда лучшее решение,лучше бы вручную регулировался бы уровень с-метра ,как в программе от zeus. Буду пробовать короче,спасибо!!!! Добавлено через 26 минут(ы): Да Спасибо получилось в режиме diversity откалибровать!!!! Но неудобно,оч долго ,а никак по другому вручную бысторо не выставить... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 891] Автор : RC7H Дата : 30.10.2017 12:30 Привет всем!!!! Написал письмо Яну ,он ответил,что основная причина того что он не может реализовать в своей программе от zeus,поддержку режимов diversity и puresignal отсутствие в его распоряжении оборудования на базе редпитайа. Ну и надеюсь я сделал правильно и Тезка на меня не обидится,я дал Павел ему Вашу почту и предположил ,что может связавшись с Вами это и не нужно будет. Он обещал с Вами связаться.... Блин хоть бы у Вас получилось,это будет бомба.... C Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 892] Автор : Balaganoff Дата : 31.10.2017 10:19 Павел, как-то Вы обещали показать внутренности коробки с усилителем и фильтрами, но наверное Вам пока не до этого ;-) Похоже это оно ? 274053 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 893] Автор : RC7H Дата : 31.10.2017 10:51 Да это точно Оно!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 894] Автор : Balaganoff Дата : 31.10.2017 11:30 Еще накопал по теме. Похоже на схему предыдущего варианта коробки ;-) 274060 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 895] Автор : EU1SW Дата : 31.10.2017 13:07 основная причина того что он не может реализовать в своей программе от zeus,поддержку режимов diversity и puresignal отсутствие в его распоряжении оборудования на базе редпитайа. Питайя эмулирует протокол openhpsdr, уж программистам в этой сфере это должно быть известно Таким образом встает вопрос, у зеус вообще есть поддержка гермесов, и прочих hpsdr? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 896] Автор : rz3qs Дата : 31.10.2017 14:05 у зеус вообще есть поддержка гермесов Поддержка есть, но RP c программой OpenHPSDR mRX имеет полный функционал, кодек и управление всей периферией. Все это наверное можно и с ZS прогой сделать, только есть ли какой интерес у автора ZS, за один вечер не получится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 897] Автор : EU1SW Дата : 31.10.2017 14:12 По моему мой вопрос вполне очевиден: если поддержка гермесов в зеус есть, то почему она частичная? И почему для реализации функций даверсити и пьюасигнал им нужна именно ред питайя, если прототипом реализации в протоколе служит гермес? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 898] Автор : rz3qs Дата : 31.10.2017 14:32 если прототипом реализации в протоколе служит гермес? ) Я далек от программирования, но думаю, что RP это не Hermes. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 899] Автор : EU1SW Дата : 31.10.2017 14:39 Думать Вы можете все что угодно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 900] Автор : rz3qs Дата : 31.10.2017 14:49 Думать Вы можете все что угодно... Я это и делаю, только своими глазами видел, как RP обретала полный функционал OpenHPSDR mRX, много раз пришлось флешку менять, может конечно с ZS все просто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 901] Автор : EU1SW Дата : 31.10.2017 15:10 не только программистские таланты, но и причинно следственные связи не Ваш конек, это явно... ) попрошу не обижаться ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 902] Автор : Serg Дата : 31.10.2017 15:32 если поддержка гермесов в зеус есть, то почему она частичная? И почему для реализации функций даверсити и пьюасигнал им нужна именно ред питайя, если прототипом реализации в протоколе служит гермес? ) На сколько помню из сообщений авторов ZS, у них была в наличии только плата обычного hermes для тестов ПО, т.е. для всего остального (diversyti, два приемных входа и т.д.) им бы пришлось покупать навороченный Анан или хотя бы плату Анжелия от него. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 903] Автор : rz3qs Дата : 31.10.2017 15:47 попрошу не обижаться Не вижу причины, RP работает именно так как я хотел, хороший CW, кодек, работа дуплексом на 2-х любых диапазонах, с трансвертором на УКВ, еще не все сделал в обвесе, но это дело времени, самое главное все управление идет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 904] Автор : EU1SW Дата : 31.10.2017 15:48 для всего остального (diversyti, два приемных входа и т.д.) им бы пришлось покупать навороченный Анан или хотя бы плату Анжелия от него. да, с даверсити согласен, ту мач эспенсив ), но puresignal работает на обычном гермесе, а предыскажения в зеус, насколько я вижу, были как минимум на год раньше, чем заявлена поддержка гермес читаю на сайте Zeus Radio v2.9.1(Ru) Last update:30 Декабрь 2015 - Добавлена полная поддержка Hermes (Anan, Afedri) ладно, тут вопрос скорее риторический, нежели в практической плоскости, как замена PowerSDR mrx, ввиду платности Zeus и бесплатности PSDR mRX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 905] Автор : RC7H Дата : 31.10.2017 16:26 Привет Всем!!! Ну во первых даже здесь есть разница ,просто программа например для платы redpitaya и программа для трансивера на базе redpitaya. В программе от зеус нет полноценной поддержки puresignal,но автор программы изучив вопрос,максимально постарался в сигнале реализовать эту функцию програмно,для этого есть инструкция,те уже заложены програмные функции ,которые при определенном уровне выхода программа обрабатывает и максимально приближает сигнал на подобие puresignal,те это исксуственная эмуляция предискажений ну если понятней (как псевдостерео). Надо сказать она неплохо себя показываtт,но это не есть полноценный puresignal. Да и например я не могу запустить прошивку от Павла(тезки) на своем трансивере хотя в основе одна плата. Тут нужно шарить в програмировании.... Вот поэтому и просил у Него помощи!!!! Ну как то так.. С Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 906] Автор : Kia2700d Дата : 31.10.2017 17:36 максимально приближает сигнал на подобие puresignal,те это исксуственная эмуляция предискажений я бы не сказал что это эмуляция. в зевсе коэффициенты вычисляются не в реальном времени как в puresignal, а задаются заранее для конкретного выходного тракта. преимущество в том что не нужен ответвитель и дуплекс, но непонятно как составить таблицу коэф. в домашних условиях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 907] Автор : RC7H Дата : 05.11.2017 12:50 Привет всем!!! Извините заранее за оффтоп Мне часто пишут по поводу использования с этим трансивером лога ur5eqf(это действительно так(у меня сейчас 3-и трансивера (приходится делать сообщения в 3-х темах). Может это и кому то пригодится!!!! Ну не часто мы посещаем темы смежные и поэтому помощь здесь,уж не обессудте Для тех кто пользуется им инфо ,обновилась программа callbook. Не забудте ,что для полноценного использования программы callbook на сайте qrz.ru Нужно сначала указать логин и пароль здесь(в личном кабинете,он любой выбраный Вами(не относиться к регистрации на сайте!!!). http://www.cqham.ru/forum/attachment.php?attachmentid=274343&d=1509873556&thumb=1 (http://www.cqham.ru/forum/attachment.php?attachmentid=274343&d=1509873556) Да и программа обновилась(просто замените файлами из архива) https://yadi.sk/d/gvMlWVOB3PRPph Не забудте указать логин и пароль в самой программе callbook!!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 908] Автор : Kia2700d Дата : 05.11.2017 13:54 Это не оффтоп, а кросспостинг совершенно случайного бреда. В след раз можно воздержаться. :) С уважением, Киа!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 909] Автор : RC7H Дата : 05.11.2017 14:13 Я правда не пойму в чем тут бред,просто действительно многие не такие как вы продвинутые и считаю им будет интересно.. Буквально сейчас был звонок ,я ответил,я непойму зная Вас скажу ну не все такие (продвинутые),как Вы,ну и пост только с желанием помочь Адресован не Вам ,зачем же сразу осуждать!!!! Я знаю и вижу Вы то следите за всеми темами,а многим просто установили и забили только одну тему ,поэтому звонят и спрашивают. так что зря ВЫ..... Ссылку опубликовал у себя ведь не ради наживы ,а что бы смогли ребята быстро скачать для себя. Это просто репост с обьяснением!!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 910] Автор : DH1KLM Дата : 05.11.2017 14:48 Привет, меня зовут Sigi, я создал SDR Standalone TRX с KW / VHF на основе Red Pitaya. Теперь у меня, очевидно, проблема с выходной мощностью RP. Обычно это должно быть около 10 дБм. Этого достижения было достаточно для привода моего преобразователя, который затем составлял около 10 Вт. В течение некоторого времени это уже невозможно, я попадаю на измеритель SWR / мощности нет выходной мощности. Приемник управления слышит только низкий сигнал в диапазоне ОВЧ. К сожалению, у меня нет измерительного прибора для измерения характеристик в милливаттном диапазоне. KW-PA, я не могу использовать в настоящий момент, к сожалению, как расширенный и разобранный для обслуживания. Можно ли измерить выходную мощность от redpitaya с redpitaya, используя приложение с рынка? Я не думаю, что преобразователь сломан. У меня есть две части, одна абсолютно новая, Он прибыл несколько дней назад. Это преобразователь UR3LMZ. Если да, то как это можно сделать? Надеюсь, что перевод будет в порядке. заранее спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 911] Автор : RC7H Дата : 05.11.2017 15:27 Sigi Здравствуйте!!!! Ну я думаю ,что проблема у Вас не с платой red pitaya,если конечно это относится и к приему,то здесь нужно внимательно смотреть!!!! Просто скорее всего вылетел один из каскадов ум,как правило один из транзисторов сборки ум или конкретно один из транзисторов выходных,в зависимости от схемы ум. Если это касается конкретно ум трансивера на базе redpitaya от фирмы hamlab ,то смело меняйте сборку выходную и все восстановите!!!! Просто скорее всего Вы использовали программу не от фирмы hamlab,а другую подобную,нужно особо обращать здесь внимание во всех программах 274358 Если Вы используете другую схему,то все равно иногда выход из строя однго из плеч выходного каскада приводит к полной потере мощности на выходе(все зависит от схемы УМ) Если бы у Вас не работала мс выходная ,то и приема не было бы!!!! Чип то один и на прием и на передачу!!!! С Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 912] Автор : DH1KLM Дата : 05.11.2017 16:39 Привет, Павел, спасибо за ответ. Это то, что я сделал до сих пор. RP теперь удаляется из автономного корпуса и временно подключается только к одному реле для преобразователя PTT. В пути передачи нет другого фильтра, реле и т. Д. Я измерил все соединительные кабели с разъемом SMA, все в порядке. PTT включен, TRV включен и начинает передачу. Я установил текущую ОС «стабильную версию», а также попытался Pavels Alpine. Ни с текущим App 1.0-20171025 он не работает, ни с Старая версия APP sdr_transceiver_hpsd r-0.94-1298. Я использую PowerSDR mrx PS 2.4.2. PA Gain на VHF1 установлен на 38,8 дБ. Я также попробовал приложение Android openHPSDR. Я также не могу измерить rf-выход. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 913] Автор : RC7H Дата : 06.11.2017 14:06 Sigi я так понял у Вас прием работает ,не работает передача и нечем замерять выход платы? Можно простенький пробник спаять на вч диодах с удвоением и головку 100-200микроампер(можно и простой усилитель постоянного тока добавить,хотя и так должно показать). К сожалению у меня трансивер от фирмы hamlab,а не самодельный на базе redpitaya. Ну может кто прочитает и поможет Вам,кто сам собирал. C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 914] Автор : DH1KLM Дата : 06.11.2017 22:54 Пол, ты прав. приемник работает. Передача работает только на очень низком уровне. На измерителе КСВ движение иглы отсутствует. Я нашел старика, у которого есть все необходимое оборудование (R & S RF Analyzer и многое другое) и отличные навыки для измерения Red Pitaya. Завтра мы сделаем некоторые измерения, и я расскажу вам о том, что мы нашли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 915] Автор : RC7H Дата : 08.11.2017 16:16 Sigi ну и что получилось напиши!!!! С Уважением Павел, no Pol(Pavel)!!!!! Переводчик работает неправильно ,переводит мое имя как то вообще однобоко, Pavel мое имя!!!!! Ну встречал при заказах с Китая ,там тоже путают мое имя(Pavel) это правильно!!!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 916] Автор : DH1KLM Дата : 08.11.2017 21:11 Привет, Pavel иногда переводы Google немного странные. Итак, вот результаты вчерашних измерений. Таким образом, RP не кажется сломанным, вот несколько (плохих) изображений. Преобразователь 28 - 144: По-видимому, MOSFET (RD15HVF1) неисправен в обоих конвертерах. BIAS нормальна при 2.8V у ворот, GND у источника и 13.8V на Drain. Однако ток равен 0 А. Транзистор также не нагревается, в отличие от водителя. В конце концов, выход преобразователя меньше мощности при подаче от РП с частотой 28 МГц. Я отключу MOSFET и попытаюсь измерить контакты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 917] Автор : RC7H Дата : 09.11.2017 14:22 Sigi Привет!!! Ну я с самого начала Вам писал ,что это выходной каскад УМ!!!! Так .что рад за Вас!!! С Уважением Pavel!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 918] Автор : RC7H Дата : 10.11.2017 13:00 Sigi Привет!!! Нужно в первую очередь смотреть токи покоя выходных каскадов,БЕЗ ПОДАЧИ ВЧ напряжения(с REDPITAYA) и при включении передачи(педаль ,ключ,tune(в программе) и тогда смотреть либо это не работают цепочки смещения ,либо менять транзисторы. Как правило выходит из строя один из транзисторов (коротит затвор на исток)и тогда даже второй исправный транзистор не будет работать,так как напряжение для тока покоя подается на 2-а транзистора. Отпаяв затворы транзисторов протестируйте тестером затвор-исток каждого из них,где короткое тот и неисправен(иногда бывает не короткое ,а некое сопротивление ,такой транзистор не выкидывайте ,он еще работоспособен,но цепь смещения для него становится оч низкоомной для постоянного напряжения). Почему такое могло произойти,я приводил уже таблицу ,где выбор выхода передачи redpitaya,там нужно просто увеличить значения!!!! C Уважением Pavel!!! Добавлено через 7 минут(ы): Ну или просто ограничить значение tune не 100%(в программе )!!!! В ssb оставить так же!!!! Нужно очень внимательно к этому подходить,оч внимательно..... Иначе замучитесь менять транзисторы выходного каскада!!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 919] Автор : DH1KLM Дата : 12.11.2017 20:26 Привет Pavel, Сегодня я припаял RD15VHF от конвертера и протестировал его с помощью Китайский компонентный тестер. Измерение выглядит нормально, но я заметил трещину между охлаждающим фланцем и корпусом транзистора. Я заказал новые транзисторы, надеясь, что они появятся на этой неделе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 920] Автор : RC7H Дата : 16.11.2017 17:03 Sigi Привет!!! Я уже писал ,(у меня есть такой же прибор!!!). Он не покажет неполный пробой затвор-исток(хотя наверно по параметрам это и можно заметить на нем сравнив с эталоном!!!). Я писал уже об этом,поэтому сравните с новым приобретенным транзистором и запомните параметры. Проверять лучше именно омметром!!! С Уважением Pavel!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 921] Автор : rz3qs Дата : 18.11.2017 07:15 Хорошая кнопка появилась, можно оперативно менять приемную антенну на передающую. Понятно, что это работает только с платой PCA9555. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 922] Автор : UA3VBD Дата : 18.11.2017 09:43 Интересно узнать назначение прямоугольника - маркера на панораме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 923] Автор : rz3qs Дата : 18.11.2017 10:40 Интересно узнать Место для указателя мыши, с левым зажатием меняем AGC Gain. Когда стоит медленное АРУ, как на картинке, то можно выбрать уровни, с разным временем отработки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 924] Автор : Serg Дата : 18.11.2017 10:42 А полный список нововведений с последнем повер-сдр есть? Как-то вслепую качать-ставить не интересно. То уа3жбд - маркер - это визуальный уровень усиления АРУ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 925] Автор : rz3qs Дата : 18.11.2017 11:08 А полный список нововведений В теме HERMES (http://www.cqham.ru/forum/showthread.php?12532-%D2%F0%E0%ED%F1%E8%E2%E5%F0-HERMES/page346). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 926] Автор : RC7H Дата : 19.11.2017 16:06 Привет Всем!!! Ну до сих пор нет обновления на трансивер от hamlab!!! Если бы не Тезка до сих пор сидел бы без diversity. Попробовал прогу оч понравилась ну не знаю на какой основе делалась предыдущая программа для трансивера от hamlab. Но эта в режиме HERMES все четко работает,что выявил это более прямоугольные скаты фильтров в ssb,более оптимизирован микрофон по vac. Что достает в отличии от программы от hamlab именно для трансивера от этой фирмы и программы для него. В трансивере от hamlab есть два каскада предусиления,они включаются по очереди в сочетании и получается от -24db до +24lдб,те от 0 +6+12+24дб и обратно. Здесь же только 0-10-20-30,те получается(это заметно),что эти 2-ва каскада предусиления недоступны,что оч плохо у меня сочитается с diversity. Т.Е. как ну при работе в режиме diversity,общее усиление снижается и порой значительно,включаю усилитель +6ДБ ,обычно этого хватает ,что бы компенсировать потери в общем сигнале. Если не будет нормальной поддержки,придется для данной программы сделать подключение этих каскадов усиления вручную,пока не трогаю ,но чувствуется придется влезть!!!! С Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 927] Автор : rz3qs Дата : 30.11.2017 15:46 Релиз от Павла Демина. https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20171130 Теперь RP работает при выборе железа Anan 7000DLE. Чуть подробнее вечером в ролике покажу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 928] Автор : rz3qs Дата : 30.11.2017 18:21 Чуть подробнее https://youtu.be/RVTDxvkd4YE -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 929] Автор : RC7H Дата : 16.12.2017 11:07 Для трансивера от hamlab,новая версия sdr(прошивки),ставится путем копирования файлов из архива с заменой файлов на флешку. http://downloads.redpitaya.com/downloads/hamlab_0.97/ecosystem-0.97-170-1fb0868.zip C Уважением Павел!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 930] Автор : Balaganoff Дата : 17.12.2017 19:24 Зачем такие сложности? Если ваша питайя имеет выход в инет, то должна обновится штатно, через свою страничку управления (по локальному адресу) не вынимая флешку и не перезаписывая ничего руками. Или я не прав? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 931] Автор : RC7H Дата : 18.12.2017 13:31 Да в принципе должно быть так,но у меня пишет нет обновлений,вот такая бяка. Приходится вручную!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 932] Автор : DH1AKF Дата : 23.12.2017 16:24 277541 277542 Дорогие друзья радио, я хотел бы представить мою версию автономного трансивера. Павел Демин был достаточно любезен, чтобы написать мне индивидуальную программу для Red Pitaya. http://www.wkiefer.de/x28/Red%20Pitaya.htm -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 933] Автор : RC7H Дата : 27.12.2017 13:16 Привет Всем и с Наступающим!!!! Я решил ,не буду здесь приводить фото итд. Получилось так(напомню у меня трансивер от hamlab 10вт),что вылетел у меня оконечник ( AFT05MS031NR1 AFT05MS031N 05MS031NR1 AFT5M31N ( 5M31N )это разное обозначение практически одного транзистора). Я конечно сам виноват,там в проге есть уровень trx,он разный в зависимости от версий и реализаций программ на базе powersdr. Ну а я не глядя поставил прогу ну и результат оказался плачевным,для данного оч (нежного (оказалось)транзистора). Я конечно заказал 3-и таких на всяк случай в китае еще ранее,но это оч долго, но не так уж и дорого(1954р 3шт). Но на кону Новый год ,куча времени и без трансивера,жутковатая перспектива..... Посмотрел реально собранную схему(2-ва каскада)предварительный питание 5в и оконечный. Короче вместо этого транзистора воткнул rd70hhf1,особо не ламая и переделывая конструктив,ток покоя выставил порядка 1.5-1.7a Что то близкое режиму А получилось(для того ,что бы выравнять на вч диапазонах). Короче говоря 10-14 вт при том же imd3 спокойно отдает,а перегрузок вообще не боится по входу и выходу. Специально пытался (убить),не получилось. Так что правда,не могу понять,почему производитель выбрал для своего трансивера такой ненадежный транзистор и такой достаточно редкий(видимо из за дешевизны). C Уважением Павел!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 934] Автор : RX3AQ Дата : 27.12.2017 14:54 [QUOTE=DH1AKF;1476121 Дорогие друзья радио, я хотел бы представить мою версию автономного трансивера. [/QUOTE] Добрый день! А схему соединений Disco и Red Pitaya можно посмотреть? 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 935] Автор : DH1AKF Дата : 27.12.2017 18:21 Вот презентация, а также обзор соединений отдельных модулей. http://wkiefer.bplaced.net/funk/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 936] Автор : RU6AI Дата : 11.01.2018 11:52 Новый релиз просто скопировать на SD ,удалив старые файлы ? Не запускается почему то на новом релизе..Пробовал оставить старые файлы, дописав с заменой новые..То же самое.Скачивал https://github.com/pavel-demin/red-p...s/tag/20171130 (https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20171130) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 937] Автор : R6YY Дата : 11.01.2018 12:23 У меня без проблем. На microSD распаковать содержимое архива https://github.com/pavel-demin/red-pitaya-notes/releases/download/20171130/red-pitaya-alpine-3.6-armhf-20171130.zip. Далее включение РП, секунд через 30 в браузере вызвать ресурс 198.192.1.100. Появится меню всех поддерживаемых П.Дёминым программ. Выбрать желаемое. Жёлтая лампа при этом перестаёт мигать. Далее запуск выбранной программы. Для переключения на остальные программы нет необходимости перегружать РП, достаточно в браузере сделать соответсвуюющий выбор. Пользуясь случаем Павлу Дёмину новогодний привет, огромная благодарность и пожелания творческих успехов. 279074 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 938] Автор : RU6AI Дата : 11.01.2018 13:14 Нашел еще в мануале дополнение - что бы через браузер каждый раз не выбирать- При желании, чтобы запустить приложение автоматически во время загрузки, скопируйте его файл start.sh из приложений / sdr_transceiver_hpsdr в самый верхний каталог на SD-карте. Скопировал файлик-все запускается. Спасиюо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 939] Автор : UA3RW Дата : 11.01.2018 13:39 192.168.1.100 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 940] Автор : R6YY Дата : 11.01.2018 17:11 Да, действительно так. Опечатался, sri. Но на скрине верно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 941] Автор : Balaganoff Дата : 15.02.2018 21:14 До УКВ ни у кого дело не дошло? Без трансвертера, в третьей(?) зоне найквиста. Что-то энтузиазм стих в этой теме.:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 942] Автор : WladN Дата : 21.02.2018 07:43 На прием можно делать,а на передачу в третьей зоне резко ухудшаются параметры по шумам и спурам.. Пример можете глянуть здесь. Так что,если хотим порядочные параметры на передачу,прийдется все же переносить сигнал с первой зоны с помощью смесителя. Если абы как,или просто для пробы,можно и как в статье описано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 943] Автор : pavel-demin Дата : 27.02.2018 12:47 До УКВ ни у кого дело не дошло? Без трансвертера, в третьей(?) зоне найквиста. Некоторые результаты тестов на прием и передачу до 1.3 ГГц можно найти в разделе 4.7 по ссылке: https://www.qrz.com/db/ON7YI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 944] Автор : Balaganoff Дата : 27.02.2018 14:26 Понятно. Теоретически и лабораторно (кроме дырки в 70см диапазоне) можно, но практически автор закупился Украинскими трансвертерами и готовыми диапазонными фильтрами для построения аппарата;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 945] Автор : WladN Дата : 27.02.2018 15:20 Теоретически и лабораторно (кроме дырки в 70см диапазоне) можно На 70 см совсем не стоит пытать,ничего путного не получится,не отфильтруете. Только трансвертер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 946] Автор : EU1SW Дата : 27.02.2018 15:58 Что-то энтузиазм стих в этой теме. Потому что гладко и хорошо только на бумаге, видимо как стали измерять, так и поутихло все... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 947] Автор : rz3qs Дата : 27.02.2018 16:49 что гладко и хорошо только на бумаге И в эфире все нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 948] Автор : Balaganoff Дата : 14.03.2018 23:15 Я правильно понял, что эта красная штука превратилась в трансивер только благодаря усилиям Павла Дёмина? Или кто-то еще написал для этой платки? Может Павел заглянет к нам и пояснит. И в эфире все нормально. Если не сложно в двух словах как у вас все сложилось? Как построен аппарат, какой софт? Просто глядя на древний PowerSdr с его форками плакать хочется ;-) Вон ребята в соседних темах на микропроцессорах такую красоту и удобства рисуют, а тут имея всю мощь процессоров и видеокарт такое не современное. Привязывают все больше и больше костылей и в итоге чтобы розобраться в настройках - темный лес. Поймите меня правильно - я лично вообще не в состоянии что-то написать кроме этого поста и то наверняка с ошибками:ржач: Есть ли на горизонте конкуренты ExpertSDR и PowerSDR, с которыми народ работает, а не ставит чтобы посмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 949] Автор : pavel-demin Дата : 15.03.2018 12:49 Я правильно понял, что эта красная штука превратилась в трансивер только благодаря усилиям Павла Дёмина? На мой взгляд вопрос поставлен не совсем корректно. Без открытого проекта HPSDR, их программы PowerSDR mRX PS и подробной документации у меня бы ничего не получилось. Вклад всех радиолюбителей тестировавших мои программы для Red Pitaya и присылавших исправления и пожелания тоже очень важен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 950] Автор : EU1SW Дата : 15.03.2018 14:22 Поймите меня правильно - я лично вообще не в состоянии что-то написать кроме этого поста и то наверняка с ошибками Да, это понятно, и не требует уточнения... И в эфире все нормально Это видимо очень зависит от того как смотреть. Я исследовал сигнал ЦАПа в разных зонах Найквиста ) И как бы мне не хотелось - таки трансвертер попаять надо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 951] Автор : rz3qs Дата : 15.03.2018 17:48 И как бы мне не хотелось - таки трансвертер попаять надо Я об этом говорил ранее, только трансвертер с переносом 144 и 430 в 12-22 МГц, 1296 можно в 40-50 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 952] Автор : Kia2700d Дата : 15.03.2018 17:48 древний PowerSdr не смотря на то что в поверсдр "классическая" оболочка, алгоритмы и начинка ДСП одна из лучших доступных радиолюбителям https://github.com/TAPR/OpenHPSDR-wdsp/blob/master/WDSP%20Guide.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 953] Автор : rz3qs Дата : 30.03.2018 23:38 Эксклюзивный файл "прошивки". Обратная связь для PS идет на вход IN1. http://host.justlan.net/get/5abe9ee6ec021/PS_IN1.RAR https://youtu.be/jB2BerT1Nz8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 954] Автор : rz3qs Дата : 24.05.2018 21:15 Новый релиз от Павла Демина. Можно самому назначать вход обратной связи для PS. Нужно в редакторе открыть файл "start.sh" в папке "apps\sdr_transceiver_hpsdr", под себя выбрать вход IN1 и сохранить. На скрине для IN1 (RX1). https://github.com/pavel-demin/red-pitaya-notes/releases http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/#configuring-inputs-and-outputs -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 955] Автор : R6YY Дата : 04.08.2018 19:56 В посте #45 сообщалось: "в режиме HPSDR звук можно выводить на USB звуковую карту подключенную к плате". Я попытался, у меня не получилось. Кто-либо из коллег может поделиться положительным (или отрицательным:-) опытом использования USB аудио кодека? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 956] Автор : pavel-demin Дата : 04.08.2018 21:03 USB звук работал не очень стабильно и поэтому был удален в декабре 2016 года. Вот ссылка на соответствующий коммит: https://github.com/pavel-demin/red-pitaya-notes/commit/f6461973afd5ee82a7a57f934dfd343e68428477 I2S кодек работает заметно лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 957] Автор : R6YY Дата : 04.08.2018 22:07 USB звук работал не очень стабильно и поэтому был удален... Павел, спасибо. Очень приятно, что Вы здесь с нами. Это воодушевляет! Но тем не менее, где-то есть Ваш софт "до удаления" с целью попробывать (версия (0.94-1165) трансивера SDR)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 958] Автор : pavel-demin Дата : 05.08.2018 08:56 Но тем не менее, где-то есть Ваш софт "до удаления" с целью попробывать (версия (0.94-1165) трансивера SDR)? Вот ссылка на версию 0.94-1398 от 30 ноября 2016 года: https://www.dropbox.com/sh/5fy49wae6xwxa8a/AABhsICSGFZas1oBpUvk_fWAa/sdr/sdr_transceiver_hpsdr-0.94-1398.zip?dl=1 Это последняя версия перед удалением USB звука. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 959] Автор : R6YY Дата : 05.08.2018 11:29 ...Это последняя версия перед удалением USB звука. Увы, у меня запуск не получился. Скорее всего, я не знаю, как правильно использовать этот архив. Я пытался вставить его и в red-pitaya-alpine-3.6-armhf-20180523\apps\ и в образ redpitaya_ubuntu_17-11-35_01-sep-2017. Что я делаю не так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 960] Автор : pavel-demin Дата : 05.08.2018 17:10 То что не работает с red-pitaya-alpine это нормально. С alpine в 2016 году я еще не работал. Возможно, что в redpitaya_ubuntu нет драйверов для USB звука. Попробуйте образ red-pitaya-ecosystem-0.95-debian-8.6-armhf-20161219.zip: https://docs.google.com/uc?id=0B-t5klOOymMNaWJfN2p1aFNZQlE -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 961] Автор : R6YY Дата : 05.08.2018 18:39 ...Попробуйте образ red-pitaya-ecosystem-0.95-debian-8.6-armhf-20161219.zip... Павел, в этом образе нет СДР программ, только "осцилогафы/генераторы". Как в этот образ включить предложенный Вами ранее архив, моих познаний линукса явно недостаточно. Возможно где-то сохранился полный образ тех лет, включающий СДР трансивер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 962] Автор : pavel-demin Дата : 05.08.2018 19:47 Как в этот образ включить предложенный Вами ранее архив, моих познаний линукса явно недостаточно. Достаточно распаковать .zip файл в папку apps на SD карточке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 963] Автор : R6YY Дата : 05.08.2018 20:11 При установке имиджа red-pitaya-ecosystem-0.95-debian-8.6-armhf-20161219 на флэшку, изменяется файловая система на линуксовую. Устанавливал при помощи Win32 Disk Imager. В проводнике я вижу только это и не более. 293839 Поэтому я ничего сделать не смог. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 964] Автор : pavel-demin Дата : 05.08.2018 20:55 Действительно, я забыл, что папку apps не видно из-под Windows. Вот ссылка на тот же образ с добавленным SDR приложением: https://docs.google.com/uc?id=17tlyU-mKZS-V2Bc2heDc40y1PHjcPn_I -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 965] Автор : R6YY Дата : 05.08.2018 21:44 ...образ с добавленным SDR приложением... Образ успешно установился и загрузился 293843 293844 Но далее последовало фиаско - ПоверСДР отказался запускаться 293845 Что-то опять не так. Прошу совета. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 966] Автор : pavel-demin Дата : 06.08.2018 08:57 Странно. Я смогу протестировать этот образ через несколько дней. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 967] Автор : R6YY Дата : 06.08.2018 10:47 Павел! Спасибо за участие. Попутно вопрос: возможно-ли встроить поддержку USB аудио в существующую Alpine. Мб в ущерб некоторым иным малоиспользуемым приложениям, мб сделать эксклюзивный образ только для HPSDR трансивера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 968] Автор : pavel-demin Дата : 06.08.2018 19:34 Попутно вопрос: возможно-ли встроить поддержку USB аудио в существующую Alpine. Да, возможно. Все нужные драйвера, библиотеки и программы на Alpine образе уже есть. Непонятно только, зачем HPSDR трансиверу нестабильно работающий USB звук. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 969] Автор : R6YY Дата : 06.08.2018 19:53 ...зачем HPSDR трансиверу нестабильно работающий USB звук. Мб я зря в поиске, но хочется узнать, в чём выражалась эта нестабильность? Это был случай единичной нестабильности или это закономерность? И в чём кроются причины нестабильности? Ведь в ноутах, десктопах это устройство нареканий не вызывает. Почему мне хочется USB кодек? Он в разы дешевле. Он проще в "употреблении". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 970] Автор : pavel-demin Дата : 07.08.2018 17:25 Мб я зря в поиске, но хочется узнать, в чём выражалась эта нестабильность? Это был случай единичной нестабильности или это закономерность? Некоторые радиолюбители сообщали, что у них пропадал звук. Вот например: https://github.com/pavel-demin/red-pitaya-notes/issues/305 У меня сложилось впечатление, что все те немногие радиолюбители тестировавшие HPSDR трансивер с USB звуком находили в нем какие-то проблемы. Почему мне хочется USB кодек? Он в разы дешевле. Дешевле чего? Насколько я понимаю самым простым, качественным и дешевым вариантом является вывод звука через звуковую карту компьютера. К тому же, при наличии ASIO драйвера это вариант обеспечивает наименьшие задержки звука. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 971] Автор : R6YY Дата : 07.08.2018 18:34 Некоторые радиолюбители сообщали, что у них пропадал звук. Вот например: https://github.com/pavel-demin/red-pitaya-notes/issues/305 Прочёл, выводы понятны. Свой вопрос снимаю. Павел, большое спасибо за разъяснение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 972] Автор : RN3KK Дата : 31.08.2018 15:36 Есть в избытке одна плата в корпусе. За подробностями в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 973] Автор : Balaganoff Дата : 13.09.2018 11:03 pavel-demin, а Вы пока не собираетесь продолжить работу над этим http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-ft8/ ? Чтобы автоматом работало как в Multiband WSPR transceiver. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 974] Автор : pavel-demin Дата : 21.10.2018 01:05 Я добавил multiband FT8 transceiver в новый релиз: https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20181020 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 975] Автор : pavel-demin Дата : 25.11.2018 20:22 На сайте Red Pitaya появились характеристики следующей версии платы: https://www.redpitaya.com/n86/new-stemlab-sdr Список улучшений: 16-бит АЦП (LTC2185) в 2.5-3 раза больше ресурсов на ПЛИС (Zynq-7020) осциллятор 122.88 МГц 50-омные входы и выходы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 976] Автор : RZ1ZR Дата : 25.11.2018 21:49 На сайте Red Pitaya появились характеристики следующей версии платы: Павел, на протокол версии 2 не собираетесь переходить ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 977] Автор : pavel-demin Дата : 25.11.2018 23:03 Не то чтобы не собираюсь, но все еще не вижу смысла в переходе на новый протокол. PowerSDR mRX PS все еще продолжает обновляться. Thetis все еще в бета версии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 978] Автор : RZ1ZR Дата : 26.11.2018 14:09 PowerSDR mRX PS все еще продолжает обновляться. Thetis все еще в бета версии. "Console SDR v3" больше всего интересна.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 979] Автор : RZ1ZR Дата : 28.11.2018 07:48 Simon Brown G4ELI, автор "Console SDR v3", заинтересовался redpitaya >>Looks very interesting. Anyone from red Pitaya here? I can’t find the API documentation… -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 980] Автор : pavel-demin Дата : 28.11.2018 09:28 Странный вопрос про API. API для Red Pitaya описано на сайте Console SDR v3: https://www.sdr-radio.com/Radios/RedPitaya -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 981] Автор : Алкс1963 Дата : 07.02.2019 22:45 Мануал для HAMlab: https://trcvr.ru/wp-content/uploads/2019/02/Red-Pitaya-HAMlab-Doc-eng-1.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 982] Автор : pavel-demin Дата : 08.02.2019 19:42 Документацию на Red Pitaya HAMlab в формате PDF можно также скачать напрямую с сайта readthedocs.org: https://media.readthedocs.org/pdf/hamlabdoc/latest/hamlabdoc.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 983] Автор : Алкс1963 Дата : 09.02.2019 15:34 Ну и pdf мануал к устройству Red Pitaya STEMlab (правленый): https://civil.trcvr.ru/2019/02/09/мануалы-для-red-pitaya-stemlab/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 984] Автор : pavel-demin Дата : 17.02.2019 00:18 На форуме CQ-NRW.de появились первые результаты тестов новой платы Red Pitaya с 16-бит АЦП (LTC2185). http://forum.cq-nrw.de/viewtopic.php?f=76&p=2484 Чувствительность на уровне -122 дБм в полосе 500 Гц. Динамический диапазон 119 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 985] Автор : Kia2700d Дата : 17.02.2019 01:45 Red Pitaya с 16-бит АЦП похоже что изменили частоту опорного генератора на более стандартную для программ совместимых с HPSDR 122.88. теперь не нужны сложные каскадные схемы для достижения нужного рейта. также нет анти-алиасного фильтра, т.е. открывается возможность для приема на УКВ, улучшилось разделение между каналами приема (в моем старом экземпляре был пролаз на уровне -30-35 дб). Отличная штука наверное STEMlab 122.88-16 SDR ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 986] Автор : RZ1ZR Дата : 17.02.2019 13:49 Red Pitaya с 16-бит эхх.. была бы поддержка протокола 2, купил бы не задумываясь... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 987] Автор : EU1SW Дата : 17.02.2019 14:11 Естественно купили бы, железки на которых стоит шильдик 16-бит продают сами себя... неважно, что это только название, ENOB порядка 12 бит ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 988] Автор : Kia2700d Дата : 17.02.2019 17:09 была бы поддержка протокола 2 немного отстал от темы, напомните что дает P2 по сравнению с протоколом 1, кроме возможности запустить бета версию Тетиса? Насколько мне известно "классический" PowerSDR все еще поддерживается как и ряд других программ использующих P1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 989] Автор : RZ1ZR Дата : 17.02.2019 20:02 напомните что дает P2 использовать программу "Console SDR V3" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 990] Автор : rz3qs Дата : 01.03.2019 12:13 RP с драйвером АЦП и без. https://youtu.be/e80kBPpD_1Q -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 991] Автор : Balaganoff Дата : 03.03.2019 19:30 Я добавил multiband FT8 transceiver в новый релиз: https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20181020 Сегодня наконец-то выделил время на хобби. Попробовал крайнюю версию, но что-то передачи я там не обнаружил.:-| Только прием. (не исключаю, что я криворукий) WSPR transceiver из Вашей сборки отлично работает в обе стороны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 992] Автор : pavel-demin Дата : 03.03.2019 22:46 Передачу я пока не доделал. Мне пока непонятно, что передавать. В протоколе FT8 режим маяка не предусмотрен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 993] Автор : Balaganoff Дата : 04.03.2019 08:06 Передачу я пока не доделал. Мне пока непонятно, что передавать. В протоколе FT8 режим маяка не предусмотрен. Согласен, надо проверять хотя-бы на повторы в каком-то периоде, иначе может получится, что будет вызывать все время одного корреспондента. Т.е. нужна программа-клиент в связке с журналом. А в таком случае теряется весь смысл автономности и самодостаточности, т.к. придется подключать большого брата :) (т.е. компьютер) Добавлю. Хотя, если в режиме работы на общий вызов, то наверное вариант, т.к. проверка повторов будет на совести отвечающих )) А свой журнал можно будет отправлять на какой-нить онлайн ресурс типа hamlog. Зарядил в cron расписание частот по времени прохождения и забыл. Пускай железка клепает связи. Все равно в этих видах связи участие оператора минимально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 994] Автор : Модест Петрович Дата : 04.03.2019 08:36 Все равно в этих видах связи участие оператора минимально. хоть один человек правду сказал! а то любители "бесовской моды" рубаху на груди рвут, как же это сложно и ничуть не легче, чем к примеру CW :). только они понять не хотят, что принимает/декодирует/передает кампутер (в связке с трансивером конечно). Пускай железка клепает связи. железка с железкой. к сожалению к этому все ведет... зачем? для чего такая связь? романтизьму нет... не-по-нят-но... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 995] Автор : Kia2700d Дата : 06.03.2019 07:34 журнал можно будет отправлять на какой-нить онлайн ресурс типа hamlog онлайн лог скорее всего будет работать медленно и ненадежно. наверное лучше записывать QSO в локальный файл типа SQLite с индексом по позывному и бенду. такая база получится компактная и с мгновенным поиском на повторы, почти без ограничений по к-ву внесенных QSO. оставить такую питаю на чердаке на месяц и будет сработан 5 Band DXCC без участия человека. вот это я понимаю прогресс! :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 996] Автор : rz3qs Дата : 07.04.2019 15:50 Вчера приехала RP 16 Bit. Обкатываю и делаю измерения разные. Видео будет на YouTube. Также с Китая едет печатка управлением BPF RX1 RX2 TX, ATT RX1 RX2 и многим еще чем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 997] Автор : sgk Дата : 07.04.2019 16:08 Вчера приехала RP 16 Bit. Если можно, название АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 998] Автор : rz3qs Дата : 07.04.2019 16:25 Если можно Можно, только со слов, чтобы прочитать, нужно снять радиатор, LTC2185. Добавлено через 11 минут(ы): КСВ по входу RX RP16Bit. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 999] Автор : RZ1ZR Дата : 07.04.2019 17:08 КСВ по входу RX RP16Bit. а что, не плохо, интересно и другие параметры... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1000] Автор : rz3qs Дата : 08.04.2019 20:47 RP 16 Bit. Измерение IMD3, DBR и RMDR. https://youtu.be/rYndCf-V5iA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1001] Автор : EU1SW Дата : 08.04.2019 20:53 а просто 3 цифры не затруднит выложить, что б не тратить 10 минут жизни? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1002] Автор : sgk Дата : 08.04.2019 21:00 Спасибо за измерения. Тестовые генераторы точно имеют намного меньшие фазовые и амплитудные шумы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1003] Автор : rz3qs Дата : 08.04.2019 21:03 а просто 3 Не получится 3-мя цифрами, а если нет времени, просто не смотрите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1004] Автор : RZ1ZR Дата : 08.04.2019 21:08 Евгений, а в двух словах сравните с 14 битной версией ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1005] Автор : EU1SW Дата : 08.04.2019 21:09 если нет времени, просто не смотрите Так и сделаю, спасибо за совет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1006] Автор : rz3qs Дата : 08.04.2019 21:18 Тестовые генераторы точно имеют намного меньшие фазовые Да, синтез на AD9951, опора 100 МГц плюс кварцевый фильтр с такой (http://www.cqham.ru/forum/attachment.php?attachmentid=309351&d=1552345673) АЧХ. в двух словах сравните с 14 Правильно сделан вход RX. Сейчас смотрю передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1007] Автор : UT4LW Дата : 08.04.2019 21:24 Забитие и RMDR - отлично! Что-то IMD3 великоваты на средних уровнях. А что по входу стоит? Драйвер, или сразу трансформатор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1008] Автор : RZ1ZR Дата : 08.04.2019 21:24 Правильно сделан вход RX. по динамике интересно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1009] Автор : rz3qs Дата : 08.04.2019 21:37 Что-то IMD3 великоваты на средних уровнях. Какие есть, инструментальные. Есть ролик с измерением IMD 3-мя тонами, там все ближе к эфиру, чуть позже залью на ЮТ. Драйвер, или сразу трансформатор? От входа RX стоит симметрирующий трансформатор, затем второй, не знаю К трансформации и вход АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1010] Автор : rz3qs Дата : 09.04.2019 11:05 RP 16 Bit Измерение ИМД тремя тонами. https://youtu.be/8zq-O2PHNLk -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1011] Автор : rz3qs Дата : 09.04.2019 14:57 RP 16 Bit Развязка между RX1 и RX2 на данной частоте. Для работы фазового подавителя помех вполне достаточно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1012] Автор : rz3qs Дата : 11.04.2019 19:12 RP 16 Bit Измерения передачи. https://youtu.be/lEhlx9TDEVE -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1013] Автор : Kia2700d Дата : 12.04.2019 02:14 Измерения передачи а интермод -66 дб это с участием PureSignal или без? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1014] Автор : rz3qs Дата : 12.04.2019 08:35 или без? Без, чисто выход TX с платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1015] Автор : sgk Дата : 13.04.2019 18:25 RP 16 Bit Измерение ИМД тремя тонами. Можно ли в Вашей программе (программе в которой делали измерения тремя тонами) включить функцию АЦП "Randomizer"? Включение этой функции приводило к уменьшению уровня ИМД3 искажений в АЦП 2208. Попробуйте если это возможно в Вашей программе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1016] Автор : Kia2700d Дата : 13.04.2019 19:59 АЦП "Randomizer"? Включение этой функции приводило к уменьшению уровня ИМД3 искажений в АЦП 2208 в LTC2208 на измерения ИМД в основном влиял дизер a не рандомайзер. открыл даташит на LTC2185. Функции дизер нет как таковой, а рандомайзер включается программным способом, в отличие от LTC2208 у которой отдельные пины для управления дизера и рандом. скорее всего эти опции в powersdrmrx просто игнорируются в реализации трансивера HPSDR на RP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1017] Автор : sgk Дата : 14.04.2019 11:41 в LTC2208 на измерения ИМД в основном влиял дизер a не рандомайзер. Влияет функция "Randomizer" ​http://www.cqham.ru/forum/showthread.php?5734-%D7%F2%EE-%EC%EE%E6%E5%F2-%E7%E2%F3%EA%EE%E2%E0%FF-%EA%E0%F0%F2%E0&p=1189116&viewfull=1#post1189116 открыл даташит на LTC2185. Функции дизер нет как таковой, а рандомайзер включается программным способом Для нас, единственный вариант узнать о влиянии функции в 16 разрядной RP, это просить rz3qs провести измерения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1018] Автор : rn6xc Дата : 14.04.2019 13:03 Влияет функция "Randomizer" Рендомизация нужна в том случае, если в следствии неверной разводки РСВ, или иных ошибок, шина FPGA-ADC начинает давать шпоры, помехи в виде дискретных спектральных продуктов. Рендамизация делает случайными переключения разрядов выходного кода, тем самым мы наблюдаем то, что Вы показали тут ​http://www.cqham.ru/forum/showthread...=1#post1189116 (http://www.cqham.ru/forum/showthread.php?5734-%D7%F2%EE-%EC%EE%E6%E5%F2-%E7%E2%F3%EA%EE%E2%E0%FF-%EA%E0%F0%F2%E0&p=1189116&viewfull=1#post1189116) , все верно. Но не следует забывать, что при этом сама наводка никуда не денется, если она все же есть и велика, но вот размазать шум по спектру мы этим способом безусловно можем. Дизер же наоборот, зашумляет полезный входной сигнал ADC, что именно требуется при измерениях. В обычном эфире дизер не имеет смысла. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1019] Автор : Kia2700d Дата : 14.04.2019 20:38 Влияет функция "Randomizer" ​http://www.cqham.ru/forum/showthread...=1#post1189116 по этим рисункам такое впечатление что в где-то ПО (или в прошивке ПЛИСА) перепутались местами функции дизер и рандом, включение пинов 20 и 63 на LTC2208. такое бывает, т.к. программисты хоть и редко но ошибаются :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1020] Автор : rz3qs Дата : 15.04.2019 21:24 Можно ли в Вашей программе (программе в которой делали измерения тремя тонами) включить функцию АЦП "Randomizer"? Такой возможности нет, от этого я и показал три тона. В обычном эфире дизер не имеет смысла. За 5 лет работы в реальном эфире (в тестах тоже) на прямой цифре, без этих аппаратных примочек, ни разу не было эффекта малого ИМД3 на средних уровнях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1021] Автор : rn6xc Дата : 16.04.2019 01:44 За 5 лет работы в реальном эфире (в тестах тоже) на прямой цифре, без этих аппаратных примочек, ни разу не было эффекта малого ИМД3И не будет, т.к. шум эфира уже достаточен для зашумления младших бит входного кода ADC, что является идеальным вариантом дизера с оптимальной спектральной плотностью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1022] Автор : rz3qs Дата : 18.04.2019 00:43 RP 16 Bit. Для любителей статистики и критики, измерения в одном файле. Чуть позже будет показана работа платы RP на диапазоне 2 м. и с VNA. Русский (https://www.dropbox.com/sh/a1yecde4hs91ji0/AAAD2dgrfv60W2ybQIgOhYEMa/test/RP16Bit_rus.pdf?dl=1) вариант и английский (https://www.dropbox.com/sh/a1yecde4hs91ji0/AAAM9QNyKQ1n3b2zBLpKdJ7fa/test/RP16Bit_eng.pdf?dl=1). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1023] Автор : rz3qs Дата : 20.04.2019 13:43 Пришли платки управления для RP. На неделе запаяю и увижу, а может и нет, ошибки свои. Почти готова для заказа плата ДПФ (кольца Т68), УВЧ и АТТ. Если есть интерес, пишите на почту. На базе RP16Bit надеюсь собрать трансивер с документацией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1024] Автор : rz3qs Дата : 22.04.2019 17:01 Первое включение платки управления. Полет нормальный. Три адреса PCA9555 работают. Реальные реле переключаются. Проверяю все варианты управляющих линий, а их 46 штук, то, что сейчас выдает HPSDR, плюс все привязано к диапазонам RX1,RX2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1025] Автор : rz3qs Дата : 22.04.2019 23:52 Плата управления в большем формате. Прошелся по всем комбинациям, все работает. Правда нашел ошибку, на схеме не нарисовал две линии управления УВЧ RX1 и RX2, этот вариант работает по адресу 3, соответственно на печати их нет. Две тонкие перемычки закрыли вопрос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1026] Автор : rz3qs Дата : 18.05.2019 20:26 Тихо сам с собой. Не очень меня устраивал УНЧ на TDA7297. Заменил на проверенные TDA2030. Отличная стыковка с кодеком и чистый, громкий звук на всю комнату, для наушников добавлен АТТ. Удобная стыковка со звуковой картой для измерений. Потихоньку развожу плату ДПФ, УВЧ, две ступени АТТ, коммутация вход/выход RP. Таких плат в трансивере будет три, для RX1, RX2 и выхода TX RP. По УНЧ, очень нереальная цена (https://ru.aliexpress.com/item/TDA2030-Module-Power-Supply-TDA2030-Audio-Amplifier-Board-Module-TDA2030A-6-12V-Single/32744078584.html?spm=a2g0s.9042311.0.0.349b33edIc2NLQ), но работает отлично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1027] Автор : Kia2700d Дата : 27.07.2019 21:32 RP 16 Bit. сегодня случайно обнаружил что RP-16 имеет не только 16 бит но и 16 каналов приема. На плате можно запустить два виртуальных 8-ми канальных Гермеса, каждый со своим IP адресом. прсто фантастика какая-то. Прочел про это свойство вот тут: http://lists.contesting.com/pipermail/skimmertalk/2019-June/002520.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1028] Автор : rz3qs Дата : 27.07.2019 22:42 сегодня случайно обнаружил что RP-16 имеет не только 16 бит но и 16 каналов приема Если бы один IP был трансивером, а другой приемником, с двумя диапазонами и своей программой, тогда да, фантастика. А так получается только приемник для скиммера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1029] Автор : Kia2700d Дата : 28.07.2019 18:54 Если бы один IP был трансивером, а другой приемником для этого нужно скрестить - SDR transceiver compatible with HPSDR (http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/) с - SDR receiver compatible with HPSDR (http://pavel-demin.github.io/red-pitaya-notes/sdr-receiver-hpsdr/) скорее всего не тривиальная задача по программированию -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1030] Автор : rz3qs Дата : 21.07.2020 14:08 Павел Демин добавил управление аттенюаторов с шагом 1 дБ для RX1 и RX2. По 5 пинов на каждый АТТ (1-2-4-8-16). PCA9555 по адресу 4. В режиме PS работает авто-АТТ по RX1, обратный уровень изменяется с шагом 1 дБ. Прошивка с таким вариантом АТТ будет в следующем релизе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1031] Автор : UA3RW Дата : 21.07.2020 17:11 Пришли платки управления для RP. На неделе запаяю и увижу, а может и нет, ошибки свои. Почти готова для заказа плата ДПФ (кольца Т68), УВЧ и АТТ. Если есть интерес, пишите на почту. На базе RP16Bit надеюсь собрать трансивер с документацией. Какие успехи с платой ДПФ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1032] Автор : rz3qs Дата : 21.07.2020 18:42 Какие успехи с платой ДПФ? В свете появления АТТ с шагом 1 дБ, то "успехи" резко уменьшились. Нужно править. Еще меня сдерживал выбор ДПФ на 3-х или 4-х кольцах. Как все быстро дойдет до заказа у китайцев сказать не могу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1033] Автор : DH1AKF Дата : 08.09.2020 15:13 Дорогие друзья Красной Питайи! Хочу познакомить вас с моей версией автономного трансивера с Red Pitaya: http://www.wkiefer.de/x28/Red%20Pitaya.htm Радиолюбитель из Сербии только что добился успеха со своей репликой: https://www.facebook.com/profile.php?id=100015745301943 Я публиковал свои программы на GitHub. При необходимости также есть дополнительная информация, особенно по проводке. https://github.com/ted051/DiscoRedTRX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1034] Автор : rz3qs Дата : 09.10.2020 01:43 Изучаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1035] Автор : rz3qs Дата : 26.10.2020 09:10 Плата управления трансивером в новом виде. Павлу Демину огромное спасибо за поддержку данного варианта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1036] Автор : RD4D Дата : 11.11.2020 06:09 Всем доброго дня, сообщаю что Redpitaya уже может работать с новым софтом для Sdr Thetis. Скачать свежую версию можно на githab. Лично проверил все работает отлично! Отлично!!!!!! Как можно скачать эту прошивку? Доброго дня! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1037] Автор : ew7ba Дата : 24.11.2020 21:53 Вариант платы с микроконтролером на борту очень интересен, упрощает плату, но добавляет необходимость прошивки. Евгений, отпишите потом результаты испытаний и заодно перспективу заказать такую себе. Очень хочется попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1038] Автор : rz3qs Дата : 24.11.2020 23:16 отпишите потом результаты испытаний Все работает, пока замечаний нет. Что есть на выходных пинах платы показал. 80 выходов, мощных, прямое подключение реле. Задействовано 77 выходов, три остались в резерве. Управление АТТ RX1, RX2 3.3 В, у меня стоит PE4302, но можно и реле повесить, на плате стоят 2 микросхемы 54564 для этого и не только. Все управление строилось для работы на передачу в одном диапазоне, при этом идет прием на другом в момент этой передачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1039] Автор : ew7ba Дата : 02.12.2020 10:28 Евгений спасибо за ответ. Такой же аттенюатор еще до пандемии успел получить из Китая, как и RF swith PE4259 и PE42641. Коммутаторы планирую попробовать на слаботочных цепях, сейчас все на релюшках. А вот управление пока на макетке, потому и заинтересовал ваш вариант. SO2R то же обязательное условие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1040] Автор : DH1KLM Дата : 04.12.2020 09:53 Протокол 2 теперь также доступен для Red Pitaya / STEMlab 14/16 бит. Об этом было объявлено на dl0sdr.de. Программное обеспечение было существенно переписано на основе исходников Павла и работает с последней версией Thetis, piHPSDR, LinHPSDR и SDR-Console V3, Вы можете найти его на https://github.com/dk5qh/SDR_Transceiver_Firmware_Stemlab 73 de Sigi/DH1KLM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1041] Автор : DH1KLM Дата : 04.12.2020 21:42 Надо сказать, что звук через Thetis VAC 1 у меня не работает. если у кого-нибудь есть для меня чаевые, буду очень признателен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1042] Автор : DH1KLM Дата : 05.12.2020 14:56 Причина моей проблемы теперь найдена. Плата CODEC абсолютно необходима для работы с протоколом 2. Программисты укажут на это в readme на странице Github. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1043] Автор : DH1KLM Дата : 08.12.2020 17:02 Из-за разногласий между Павлом и Эрнстом проект был удален с Github. Если кого-то интересует протокол 2 для STEMlab / Red Pitaya, пожалуйста, свяжитесь с DL0SDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1044] Автор : rz3qs Дата : 28.12.2020 14:12 Подключил к плате управления блок реле антенн. Попикал на диапазоне, все нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1045] Автор : PA8MM Дата : 07.02.2021 15:43 Подскажите, возможна ли конфигурация 16ти битной версии RP, когда RX1 используется для скимера, а RX2 для трансивера (одновременно) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1046] Автор : r4ii Дата : 07.02.2021 22:17 RX1 используется для скимера Виктор, добрый день! Подскажите, как подключить CW Skimmer к Red Pitaya? У меня 14 битная версия и PowerSDR mRX PS, аппаратный журнал DXLog. Спасибо! Владимир. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1047] Автор : R8CT Дата : 12.02.2021 09:54 Добавлено через 57 минут(ы): Информация для Владимира R4II Простите, что вмешиваюсь.. Возможно, это (https://hamsci.org/n6tv-red-pitaya-combine-cw-rtty-skimmer-hdsdr) поможет Вам. По крайней мере, у меня по этому описанию настроено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1048] Автор : r4ii Дата : 16.02.2021 17:52 Олег, привет! Состыковал CW Skimmer с DXLog c Red Pitaya , прямая стыковка возможна только одно диапазонный вариант. Много диапазонный вариант состыковал DXLog c Red Pitaya пока только через Skimmer Server и кластер RBN. Из-за того, что приемная антенна яга на ВЧ 3 диапазона, споты идут на 40,20,21 при rate 48 kHz/ Владимир -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1049] Автор : R8CT Дата : 16.02.2021 20:23 Олег, привет! Состыковал CW Skimmer с DXLog c Red Pitaya , прямая стыковка возможна только одно диапазонный вариант. Много диапазонный вариант состыковал DXLog c Red Pitaya пока только через Skimmer Server и кластер RBN. Из-за того, что приемная антенна яга на ВЧ 3 диапазона, споты идут на 40,20,21 при rate 48 kHz/ Владимир Владимир, приветствую! Рад, что получилось. Как у вас сделана коммутация антенны на вход сдр приемника и трансивера? Во время передачи вход - коротится на землю? На вход приемника трансформатор 9:1- поставили? В моем случае полоса обзора 192 кГц, полет нормальный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1050] Автор : r4ii Дата : 17.02.2021 21:14 Олег, привет! При передачи вход замыкаю на землю реле РН-33, который управляется по отдельному РТТ для этого реле. Для сдр будет использоваться отдельная антенна, может даже активная. Трансформатор 9:1 по входу стоит. При полосах обзора 96 и 192 кГц работает только на одном диапазоне. Разбираюсь - почему так? В Red Pitaya есть два физических приемника, есть желание использовать один для скиммера. Пока такое разделение не получается. Одновременно изучаю SDC от UT4LW, может и не стоит городить огород с Red Pitaya. Владимир. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1051] Автор : rz3qs Дата : 30.03.2021 13:07 RP и Thetis (protocol 1). Функционал платы управления работает. Авто АТТ по обратной связи в режиме PS. Спасибо Павлу Демину. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1052] Автор : rz3qs Дата : 02.04.2021 22:55 Глаза проводят связи в цифре, при этом уши, свободные, заняты новостями про урожай картошки. Мелочь, а приятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1053] Автор : rz3qs Дата : 27.04.2021 13:19 Между делом можно и FM диапазон послушать. Но это не главное. Вопрос в том, что не нужно забывать про вторую зону Найквиста. За 30 км у меня вещалки идут с уровнем ++ и на КВ диапазонах сразу можно не понять, что за шум присутствует. Полезно измерять реальное подавление с антенного входа трансивера зону 100 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1054] Автор : rz3qs Дата : 28.07.2021 23:22 Определился с ДПФ для трансивера, проведя ряд практических изысканий. Для реальной проверки моделей сделал на 20 м фильтр на кольцах Т37-6, большего размера, Т50-Т68, пока нет, но и на них получилось нормально. Даже IP3 не такой плохой, как предполагал, что такой размер не вытянет это дело. Два тона на входе фильтра по +24 dBm каждый дали IMD3 -48 dBc, соответственно OIP3 +47 dBm. Можно заканчивать разводку платы. Если кому интересно, файл с моделями и с Т37. А трансивер работает. Может кто еще не видел крайний вариант программы. https://www.youtube.com/watch?v=BeIJxQDM3xw -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1055] Автор : UA4HNU Дата : 29.07.2021 13:59 какой программой считали ДПФ ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1056] Автор : rz3qs Дата : 29.07.2021 23:39 какой программой AWR Design Environment -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1057] Автор : rz3qs Дата : 16.08.2021 01:27 ДПФ для RP. Пока картинка. На днях отправлю файл китайцам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1058] Автор : rz3qs Дата : 07.09.2021 21:41 Приехали платы. Осталось дело за малым, запаять элементы и установить в трансивер. Если не будет ожидаемого результата, то демонтаж этих элементов и заход на второй круг. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1059] Автор : UT0UM Дата : 10.11.2021 19:05 Спрошу здесь Есть Red Pitaya На ней запускается hpsdr совместимый приемник (http://pavel-demin.github.io/red-pitaya-notes/sdr-receiver-hpsdr/) Который поддерживает 8 приемников (ну по крайней мере со скиммером так) Соответственно в файле start.sh можно прописать антенный вход для каждого приемника А как запустить эти все 8 приемников на одном компе и слушать их? Ну или хотя бы два, чтобы один на одну антенну слушал, а второй на вторую -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1060] Автор : rz3qs Дата : 23.11.2021 20:27 Результат удовлетворительный. Теперь можно паять еще две штуки и готовить место в трансивере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1061] Автор : rz3qs Дата : 09.02.2022 11:00 Один в теме остался, картинка никому не помешает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1062] Автор : RT5D Дата : 09.02.2022 12:19 Здравствуйте! А что означает этот квадратик, обвел красным? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1063] Автор : EU1SW Дата : 09.02.2022 12:39 Вроде как пропуски или задержки пакетов, но это не точно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1064] Автор : rz3qs Дата : 09.02.2022 13:21 что означает этот квадратик Про вариант на вашем скрине точно не скажу, когда загорался квадрат, забываю уже, но все с ним работало, сейчас другая версия программы. Вот в ней все видно, делаю перебор по FPS и загорается квадрат с возвратом на 60 кадров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1065] Автор : RT5D Дата : 09.02.2022 14:26 А это не перегруз АЦП случайно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1066] Автор : ua3rmb Дата : 09.02.2022 14:36 А это не перегруз АЦП случайно? При перегрузке АЦП надпись выскакивает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1067] Автор : Sysert Дата : 09.02.2022 14:40 Если отключить вертикальную синхронизацию то и при 80 кадрах квадратик мигать перестанет :smile: 370251 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1068] Автор : rz3qs Дата : 09.02.2022 15:49 Если отключить Да, дошел до 144, все нормально, но скорее всего это уже лишнее. Мне еще интересно, почему никто не прокомментировал мою картинку с поддержкой Thetis протокола TCP/IP, никому это не надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1069] Автор : RT5D Дата : 09.02.2022 17:08 Мне еще интересно, почему никто не прокомментировал мою картинку с поддержкой Thetis протокола TCP/IP, никому это не надо. Если бы объяснили, для чего это и чем едят..?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1070] Автор : rz3qs Дата : 09.02.2022 17:20 для чего это Обмен данными трансивера с другими программами. У себя дли проверки CAT команды погонял. Еще цитата с форума. "Кроме того, если вы хотите отправить спектр в N1MM, вы можете использовать параметры спектра Thetis UDP в пользовательском интерфейсе CAT. Вы можете использовать 255:255:255:255:13064 для трансляции на всю сеть и на весь компьютер, и он появляется на нескольких машинах. Проверено нормально." -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1071] Автор : RT5D Дата : 09.02.2022 18:14 Да, спасибо, понял, но наверное к сожалению, в КВ тестах практически не активничаю. А вот кто пробовал с помощью "волшебной фазы" таки побороть помеху и принять неслышимую до этого станцию? Сколько видео на ютубе пересмотрел, но так и не понял, реально работает-ли? Есть у кого 2 антенны, чтобы продемонстрировать "В-А-У!" - эффект в живом эфире на дальней, практически неслышимой станции? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1072] Автор : rz3qs Дата : 09.02.2022 18:30 Есть у кого 2 антенны, чтобы продемонстрировать "В-А-У!" Система работает. Понятно все зависит от ваших антенн, направления помехи, направление на DX. Система вырезает одно направление. Если помехи с разных сторон, то результат падает или его совсем нет. Мне помогало, когда лучше, когда хуже. Но запись тогда не делал, не до этого в тот момент было. Есть запись на местных станциях, до 100 Км. Только нужно внимательно смотреть и слушатью. На частоте контрольный сигнал RA3QSV и два музыканта с разных направлений. https://www.youtube.com/watch?v=2adv-ZoiieA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1073] Автор : RT5D Дата : 09.02.2022 19:12 Ну вот для чистоты видео не хватает демонстрации что слышно на одну антенну и что на вторую.. А эти вещалки в РЛ диапазоне вроде русскоязычные.. они откуда возникли, из реального эфира или какие-то комбинационные помехи? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1074] Автор : rz3qs Дата : 09.02.2022 19:26 что слышно на одну антенну и что на вторую.. Все видно и слышно. или какие-то комбинационные помехи Какие комбинации. Есть у нас любители музыку в SSB транслировать. Смотрите внимательно. Все по порядку. Стоял музыкант, я попросил RA3QSV стать на него, для теста. Но, как всегда, нашелся в это время еще один музыкант, и тоже стал на эту частоту, его направление совпало с RA3QSV, поначалу я даже не понял, когда музыка пошла по музыке. Но музыканты с разных направлений и слышна разная музыка на одной частоте. Подавление работает, это главное, а дальше уже детали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1075] Автор : RT5D Дата : 09.02.2022 19:42 Все видно и слышно. Евгений, на видео включается и выключается кнопка DIVERSITY, а прием при этом ведется на обе антенны.. Нет на видео ANT1 -> ANT2 -> MIX ->DIVERSITY.. Хотя я может не совсем разобрался с физическим действием этой кнопки. Самому ужасно интересно, надо как-то выехать в поле какое для экспериментов, дома возможности не имею. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1076] Автор : rz3qs Дата : 09.02.2022 20:49 Хотя я может не совсем разобрался Когда выбрано RX1+RX2 и включена кнопка, то идет суммирование по фазе двух антенн. Чуть ниже RX1 и RX2, при включенном подавлении, слушаем либо одну антенну, либо другую без баланса. А еще ниже, Reference Source, тут я меняю местами подавление и полезный сигнал, что и слышно на музыкантах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1077] Автор : rz3qs Дата : 16.02.2022 15:53 Продвигается потихоньку программа Thetis. Можно почти одновременно работать SSB и FT8, при желании. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1078] Автор : RT5D Дата : 17.02.2022 12:37 Уже довольно давно вышел релиз Thetis v2.8.12, кто-то пробовал, что изменилось? https://github.com/mi0bot/OpenHPSDR-Thetis/releases/tag/v2.8.12 (https://github.com/mi0bot/OpenHPSDR-Thetis/releases/tag/v2.8.12) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1079] Автор : rz3qs Дата : 17.02.2022 12:59 кто-то пробовал Для Hermes Lite, но может быть и будет работать с RP. Я не ставил. Для RP ближе ANAN7000. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1080] Автор : ua3rmb Дата : 17.02.2022 16:24 Да... Вот 21к9 нужно поставить, там столько плюшек ;-) - ЕЕ отдыхают. Чем они вообще занимаются, кроме обещаний? Немцы молодцы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1081] Автор : rz3qs Дата : 17.02.2022 16:48 Немцы молодцы. Не только, там много народа. ЕЕ отдыхают. Да нет, у них по TCI звук идет, а здесь пока нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1082] Автор : ua3rmb Дата : 17.02.2022 17:07 там много народа И это самое ценное. Закрытые конторы типа ЕЕ не имеют будущего, ибо они ограничены своим узким кругом и народу приходится пинать их в ..., но толку от этого никакого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1083] Автор : RZ1ZR Дата : 17.02.2022 19:13 Да... Вот 21к9 нужно поставить, там столько плюшек а что это, чуть подробнее пожалуйста ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1084] Автор : ua3rmb Дата : 17.02.2022 19:28 Версия Тетиса 2.8.11, ссылку может Евгений дать или я, но попозже, занят сейчас. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1085] Автор : RU6AI Дата : 17.02.2022 19:33 https://apache-labs.com/community/viewtopic.php?f=9&t=3817 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1086] Автор : ua3rmb Дата : 17.02.2022 19:50 https://www.dk1wp.de/03-02-2022-schneller-ueberblick-auf-die-kommende-version-thetis-2_8_11-21k9-pre/ Вот эта ссылка. Да нет, у них по TCI звук идет, а здесь пока нет. Всё ещё впереди, TCI уже запустили и допилят гораздо быстрее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1087] Автор : rz3qs Дата : 17.02.2022 19:58 TCI уже запустили Владельцы техники EE уже давненько пользуются этим удобством. Но ничего, будет и у нас это счастье. Вот маленькое видео как есть сейчас. https://youtu.be/ijkQQk9qbQI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1088] Автор : ua3rmb Дата : 17.02.2022 20:03 Лог + скиммер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1089] Автор : rz3qs Дата : 17.02.2022 20:07 Лог + скиммер? У меня только лог. Скиммер думаю с помощью SDC, пока некогда, но попробую чуть позже. В видео с нета скиммер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1090] Автор : ua3rmb Дата : 17.02.2022 20:09 Понятно, лог + кластер. Удачи! + -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1091] Автор : RU6AI Дата : 17.02.2022 20:39 Немцы молодцы Занимается в основном Richard MW0LGE . На немецком сайте просто анонс новой версии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1092] Автор : ua3rmb Дата : 17.02.2022 20:40 Я в курсе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1093] Автор : RU6AI Дата : 17.02.2022 20:44 Без обид- просто уточнил..:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1094] Автор : ua3rmb Дата : 17.02.2022 20:48 Нет проблем. У соседей - полно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1095] Автор : rz3qs Дата : 03.05.2022 11:32 Thetis и Red Pitaya на сегодня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1096] Автор : R8CT Дата : 03.05.2022 18:22 Вот маленькое видео как есть сейчас. https://youtu.be/ijkQQk9qbQI В настоящее время видео не доступно. Есть другой ресурс, на котором можно посмотреть данное видео? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1097] Автор : rz3qs Дата : 03.05.2022 19:16 Есть другой ресурс Нет, видео я удалил. Если вопрос по TCI, то работает, пока без аудио. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1098] Автор : R8CT Дата : 03.05.2022 19:28 Если вопрос по TCI, то работает да, именно... хотел посмотреть, как это выглядит с кластером. ну... значит, ждем-с... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1099] Автор : ua3rmb Дата : 03.05.2022 19:30 Я выкладывал в теме про Одиссей 2, правда, скриншоты, а не видео. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1100] Автор : r4ii Дата : 06.05.2022 20:54 Всем привет! Переустановил WIN 10 (64), после чего REd Pitaya перестал соединяться напрямую кабелем по LAN. Через роутер работает нормально. Посоветуйте, что можно сделать. Спасибо! Владимир, R4II -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1101] Автор : R8CT Дата : 07.05.2022 05:37 Переустановил WIN 10 (64), после чего REd Pitaya перестал соединяться напрямую кабелем по LAN. Через роутер работает нормально. Ищите причину в настройках безопасности вин10. У меня подобное было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1102] Автор : r4ii Дата : 07.05.2022 16:49 Олег, привет! В защите и безопасности все отключил. В реестре тоже отключил Microsoft Defender. Тупик. :cry::cry::cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1103] Автор : EU1SW Дата : 07.05.2022 17:03 возможно рутер назначает железке IP адрес по DHCP, а виндовый хост не назначает, они берут IP, если берут, из диапазона 254........ ну и как следствие уныние и расстройство, у меня этой железки нет, но ситуация в принципе типичная -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1104] Автор : rz3qs Дата : 07.05.2022 17:20 Сетевая карта под RP, сделал давно и другие варианты не проверял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1105] Автор : r4ii Дата : 07.05.2022 18:20 Сергей спасибо за инфо! 254... к какой группе IP относится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1106] Автор : EU1SW Дата : 07.05.2022 18:40 сорри, память немного подвела, переставила байты местами, 169.254... а если у аппарата фиксированный IP, то вы оказываетесь в разных подсетях, и широковещательный пакет не транслируется в другую подсеть назначение фиксированног IP для сетевой ПК, как выше подсказал Евгений, может пофиксить проблему Что такое APIPA? Служба Automatic Private IP Addressing (APIPA) позволяет клиентам DHCP автоматически настраивать IP-адрес и маску подсети, когда недоступен сервер DHCP. Устройству назначается IP-адрес в диапазоне от 169.254.1.0 до 169.254.254.255. Маске подсети автоматически присваивается значение 255.255.0.0, а шлюзу - 0.0.0.0. По умолчанию протокол APIPA включен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1107] Автор : R8CT Дата : 07.05.2022 19:03 Нужно определить ip адрес своей RP, возможно он изменился. https://redpitaya.readthedocs.io/en/latest/quickStart/connect/connect.html в моей конфигурации - вин10-64 - RP пингуется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1108] Автор : rz3qs Дата : 07.05.2022 19:43 Если RP будет трансивером, то залить на флешку крайний вариант "прошивки". https://github.com/pavel-demin/red-pitaya-notes/releases -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1109] Автор : R8CT Дата : 07.05.2022 20:09 Нужно определить ip адрес своей RP, возможно он изменился. https://redpitaya.readthedocs.io/en/...t/connect.html в моей конфигурации - вин10-64 - RP пингуется. Это для случая, когда RP напрямую соединен с компом по езернет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1110] Автор : r4ii Дата : 09.05.2022 20:56 Всем привет! С Днем Победы, 9 мая! Ethernet adapter Ethernet: Connection-specific DNS Suffix . : Description . . . . . . . . . . . : Realtek PCIe GbE Family Controller Physical Address. . . . . . . . . : 30-9C-23-84-06-7E DHCP Enabled. . . . . . . . . . . : Yes Autoconfiguration Enabled . . . . : Yes Link-local IPv6 Address . . . . . : fe80::593b:c3a9:9767:fe83%6(Preferred) Autoconfiguration IPv4 Address. . : 169.254.254.131(Preferred) Subnet Mask . . . . . . . . . . . : 255.255.0.0 Default Gateway . . . . . . . . . : DHCPv6 IAID . . . . . . . . . . . : 103848995 DHCPv6 Client DUID. . . . . . . . : 00-01-00-01-2A-0A-6A-67-30-9C-23-84-06-7E DNS Servers . . . . . . . . . . . : fec0:0:0:ffff::1%1 fec0:0:0:ffff::2%1 fec0:0:0:ffff::3%1 NetBIOS over Tcpip. . . . . . . . : Enabled А у меня пока за два дня нет победы на компом. Ни как не хочет работать Red Pitaya напрямую. Сергей (EU1WS), проверил работу APIPA, работает. во вложенном файле копия с командрой строки после команды ipconfig/all. Пробывал разные варианты записи IP и шлюза. Red Pitaya подключен к Ethernet (без номера) В компе стоит сетевая карта в файле под # 2, к ней подключен Интернет. Евгений(RZ3QS) скачал по указанной ссылке https://github.com/pavel-demin/red-p...notes/releases (https://github.com/pavel-demin/red-pitaya-notes/releases), но не смог создать образ SD программой win32disk. Подскажите как запустить эту * прошивку* под Win. Как-то так. Спасибо за любую инфо! 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1111] Автор : rz3qs Дата : 29.05.2022 18:48 Ред Питая 16 бит АЦП с платой ДПФ. (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1868370&viewfull=1#post1868370) На картинках MDS/500 Hz и IMD3 2 kHz. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1112] Автор : ua3rmb Дата : 29.05.2022 18:53 Рекламируешь? Сменил уже? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1113] Автор : rz3qs Дата : 07.06.2022 12:41 Про фазовое подавление мешающего сигнала. https://youtu.be/Cg0ZYni2ScQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1114] Автор : RV3DLX Дата : 07.11.2022 10:26 Появился в продаже трансивер TRX-DUO, утверждается что он сделан на основе Red Pitaya. Судя по фото сделан хорошо, да и цена вполне "демократичная". Интересно, что могут про это устройство сказать пользователи Red Pitaya? Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1115] Автор : rz3qs Дата : 08.11.2022 23:11 Интересно, что могут про это устройство сказать пользователи Red Pitaya? По питанию ест больше RP. Пока слушаю диапазоны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1116] Автор : ua3rmb Дата : 09.11.2022 10:02 Евгений, как он по чувствительности? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1117] Автор : UA4HNU Дата : 09.11.2022 12:21 ждем test передачи -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1118] Автор : RC3ZQ Дата : 11.11.2022 21:35 По питанию ест больше RP. Пока слушаю диапазоны. Добрый вечер. Как первые тесты этого устройства? Какие отрицательные моменты были замечены? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1119] Автор : rz3qs Дата : 11.11.2022 21:44 Как первые тесты этого устройства? Нормальные тесты. Какие отрицательные моменты были замечены? Для такой цены не вижу этих моментов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1120] Автор : RC3ZQ Дата : 11.11.2022 21:48 rz3qs, Евгений, собираюсь преобрести сие устройство. Интересно много подводных камней при установке софта с карты? Ещё пару вопросов такого плана: есть ли перед АЦП преампы? И как реально ли реализовать коммутацию BPF через порты ввода/вывода на базе этого устройства? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1121] Автор : rz3qs Дата : 11.11.2022 21:55 много подводных камней при установке софта с карты? Мало. есть ли перед АЦП преампы? Нет. И как реально ли реализовать коммутацию BPF через порты ввода/вывода Вариантов много. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1122] Автор : RC3ZQ Дата : 11.11.2022 21:59 rz3qs, Eвгений, я так понимаю на плате и аттенюаторов нет переключаемых? Перед трансформаторами по входам реализована какая либо защита от статики и т. д? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1123] Автор : rz3qs Дата : 11.11.2022 22:06 я так понимаю на плате и аттенюаторов нет переключаемых? Правильно понимаете. У меня они стоят, на плате ДПФ, и еще есть плата управления всей периферией. по входам реализована какая либо защита от статики и т. д? Не знаю, еще не дошел до этого. Для меня это не важно, на плате ДПФ все есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1124] Автор : RC3ZQ Дата : 11.11.2022 22:15 rz3qs, Спасибо. Уже немного информации для себя почерпнул. Посмотрите пожалуйста ЛС -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1125] Автор : R4DM Дата : 12.11.2022 11:50 rz3qs,плата ДПФ в приемнике установлена? На фото приемника с Али я что то не увидел ее. Где установлена плата управления периферией? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1126] Автор : RV3DLX Дата : 12.11.2022 12:25 R4DM, Да нет там никаких плат, ни ДПФ не платы управления. Все это внешнее, как и в классической Red Pitaiya. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1127] Автор : R4DM Дата : 12.11.2022 18:24 В таком случае получим набор коробочек ( трансивер, ДПФ )+ УМ с ФНЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1128] Автор : RW6MGO Дата : 06.12.2022 20:57 Здравствуйте! Подскажите какие настройки звука установить в программе PowerSDR mRX PS? Панорама есть звука нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1129] Автор : RW6MGO Дата : 07.12.2022 18:20 Да тишина в теме, кто знает как запустить работу в цифре? Какие настройки в wsjt-x? Звук запустил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1130] Автор : ua3rmb Дата : 07.12.2022 18:44 Устанавливаете VAC и com0com и вперёд. До, ещё на выбор что-нибудь для цифры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1131] Автор : RW6MGO Дата : 07.12.2022 20:30 Спасибо за ответ, это в какой проге сделать? и какое радио выбрать? Связь с компом идет по кабелю Ethernet, Далек от этой темы. Пробую заниматься. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1132] Автор : ua3rmb Дата : 07.12.2022 21:30 В обоих. Слишком много писать. Есть руководство по jtdx от 2018го года, найдите и прочитайте. Изложено подробно. Есть ещё поиск в интернете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1133] Автор : rz3qs Дата : 07.12.2022 21:38 Пробую заниматься. Удачи. Все получится. CAT по TCI. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1134] Автор : RK4CI Дата : 07.12.2022 22:19 На картинках MDS/500 Hz и IMD3 2 kHz.А линейность собственного формирователя не проверяли? Интересуют возможности платы не только как трансивера, но и в качестве прибора. У меня Гермес на выходе, после пары каскадов усиления, около -70 дБс показывает. А что получится у платы RP при прямом подключении формирователя сигнала на вход приёмника? Может делали подобные замеры? 382020 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1135] Автор : rz3qs Дата : 07.12.2022 22:47 Может делали подобные замеры? 21МГц ИМД3 на выходном разъеме платы при пиковом уровне +2 дБм -68 дБн. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1136] Автор : RW6MGO Дата : 08.12.2022 10:41 Значения IP и TCI устанавливать как у вас? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1137] Автор : RK4CI Дата : 08.12.2022 13:48 21МГц ИМД3 на выходном разъеме платы при пиковом уровне +2 дБм -68 дБн.Спасибо. Надеялся что без каскадов усиления будет получше чем у Гермеса. Но похоже сама микросхема синтезатора сигналов несколько другая. И как я понял у вас используется именно китайская версия RP. Дюже цена в той стороне привлекательная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1138] Автор : RW6MGO Дата : 08.12.2022 14:10 Никак не могу подружить PowerSDR mRX PS с JTDX. Помогите. Версия прошивки на SD 0.95 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1139] Автор : ua3rmb Дата : 08.12.2022 14:29 VAC установлен? Без него не будет приёма и передачи НЧ сигнала. com0com установлен? Без него не будет переходить на передачу. TCI это всего лишь для связи с логом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1140] Автор : RW6MGO Дата : 08.12.2022 17:33 Да установлен, прием и передача есть, проблемы с цифровыми видами связи.что такое com0com? Если можете, какие установки надо сделать в программах PowerSDR mRX PS и JTDX для работы цифрой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1141] Автор : ua3rmb Дата : 08.12.2022 18:21 1. Проверьте ваши настройки VAC. 2. Установите программу com0com. В ней создайте два порта ( у меня это com6 и com7 ). 3. В PSDR выберите com7, ptt control - RTS, затем активируйте ( галку в Enable PTT ). 4. В JTDX установите управление РТТ на com6, РТТ - RTS, нажмите Test PTT. PSDR должна перейти на передачу. Это самый простой вариант. Все связи сохраняются в логе самой JTDX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1142] Автор : rz3qs Дата : 08.12.2022 18:50 Это самый простой вариант. Если Thetis и JTDX com0com не нужен. CAT идет по TCI. Частота и RX/TX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1143] Автор : ua3rmb Дата : 08.12.2022 19:26 А РТТ тоже через TCI? Плюс у него не Тетис, а Поверсдр. А она TCI не поддерживает. :cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1144] Автор : rz3qs Дата : 08.12.2022 19:35 А РТТ тоже Да. С PWRSDR только через VCOM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1145] Автор : ua3rmb Дата : 08.12.2022 20:13 Да. Не поддерживает Поверсдр TCI, не сподобилась и уже точно не сподобится. С PWRSDR только через VCOM. Дело вкуса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1146] Автор : RW6MGO Дата : 09.12.2022 16:32 Всем спасибо, пробую все эти проги собрать до кучи. Настройки VAC382105 у меня такие. Звук идет через комп.VCOM прогу надо скачивать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1147] Автор : ua3rmb Дата : 09.12.2022 18:30 Это не те настройки, что вам нужны. Через эти настройки звук идёт в комп, но не в jtdx. Скачайте VAC 4.15 и настройте, как я вам показал на скриншотах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1148] Автор : RW6MGO Дата : 12.12.2022 12:40 Спасибо! Для передачи какие то настройки нужны? Включил в программе тон, стал осликом на OUT1, а там тишина. Сколько примерно должно быть на выходе платы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1149] Автор : RW6MGO Дата : 12.12.2022 15:47 Еще вопрос ....на самой плате есть светодиодная индикация режима передачи? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1150] Автор : RW6MGO Дата : 12.12.2022 20:00 ДА Тишина, Прием нормальный, что в проге настроить не знаю, на выходе платы должно быть 0,28 В в режиме тон. Может на CD не та прошивка?Если есть образ CD скиньте пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1151] Автор : RK4CI Дата : 12.12.2022 21:04 Ред Питая 16 бит АЦП с платой ДПФ. Ещё вопрос по измерениям платы RP. У меня в трансивере потихоньку умирает плата "Гермеса", и начинаю подыскивать замену этой платы. Применяется ли УВЧ при измерениях. Установлен ли он на самой плате, или добавлен во внешней обвязке. Ну и раз плата с 16 битной АЦП, то приобретена скорее всего в Китае. Ссылку на продавца не дадите? И описание самой платы и работающих с ней программ, на русском языке, в природе вообще существует. А то вроде бы и у нашего соотечественника, а все описания, которые попадаются, на английском... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1152] Автор : RW6MGO Дата : 12.12.2022 21:43 Ещё вопрос по измерениям платы RP. У меня в трансивере потихоньку умирает плата "Гермеса", и начинаю подыскивать замену этой платы. https://aliexpress.ru/item/1005004771437846.html?sku_id=12000030415986083 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1153] Автор : RK4CI Дата : 12.12.2022 21:57 Спасибо. Будем посмотреть. А по описанию ничего нет? Сам продавец что то с этой платой присылает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1154] Автор : RW6MGO Дата : 12.12.2022 22:14 Спасибо. Будем посмотреть. А по описанию ничего нет? Сам продавец что то с этой платой присылает? Чего не знаю того не знаю, у меня оригинальная 14 бит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1155] Автор : RC3ZQ Дата : 12.12.2022 22:44 Тоже ожидаю duo. Пока только изучаю материал. Управление фильтрами думаю через pca9555 сделать, Гребёнка PLS правда в duo не распаяна. УВЧ как и АТТ там тоже нет. Всё это внешнее нужно цеплять. Так же там можно прикрутить аудио кодек. На алике есть готовые модули. Что в целом получиться пока трудно сказать. Но тем не менее по тестам Rz3QS очень даже хорошее радио должно получиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1156] Автор : R4DM Дата : 12.12.2022 23:52 Дешевле купить Hermes Lite-2 или КИТ Одиссей UC8U. Без всяких танцев с бубном. В обоих случаях два приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1157] Автор : RK4CI Дата : 13.12.2022 02:32 Дешевле купить Hermes Lite-2 или КИТ Одиссей UC8U.Да что то не особо вижу что бы предлагали именно отдельную плату. Ну и в Гермес лайт, 14 битный АЦП. Одиссей вообще в продаже не попадался. Да и не искал пока активно. Гермес до конца не умер... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1158] Автор : RC3ZQ Дата : 13.12.2022 02:41 RK4CI, То что предлагается на базе Одиссея имеет тот же АЦП что и hiqsdr mini. Плюсы то что на борту аудио кодек и без танцев с бубном управляет периферией . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1159] Автор : RU6AI Дата : 13.12.2022 08:07 У меня в трансивере потихоньку умирает плата "Гермеса" Посмотрите на этот вариант https://eu2av.ru/viewtopic.php?f=4&t=141 Поменял Гермес на Анвелину-ни разу не пожалел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1160] Автор : R4DM Дата : 13.12.2022 08:27 RK4CI,КИТ Одиссей недавно был в продаже. Можно написать Александру UC8U и заказать комплект. Hermes-Lite 2 можно заказать . Адрес есть в Телеграм " Hermes Lite". Это два варианта бюджетных СДР трансиверов. Анвелина , конечно, более продвинутый СДР трансивер и более затратный. Но он того стоит. Тут не поспоришь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1161] Автор : UA6CT Дата : 13.12.2022 12:12 https://aliexpress.ru/item/100500477...00030415986083 Там же, дешевле на полторы тысячи (https://aliexpress.ru/item/1005004824395538.html?spm=a2g2w.detail.pers_rcmd.7.4492158bDrn0D6&_evo_buckets=165609,165598,188873,194275,299288&sku_id=12000030624609104&gps-id=pcDetailBottomMoreOtherSeller&scm=1007.34525.285258.0&scm_id=1007.34525.285258.0&scm-url=1007.34525.285258.0&pvid=b5b8cc9e-94bf-4e95-b893-4451752ce299&_t=gps-id:pcDetailBottomMoreOtherSeller,scm-url:1007.34525.285258.0,pvid:b5b8cc9e-94bf-4e95-b893-4451752ce299,tpp_buckets:24525%230%23285258%2316_21387%230%23233228%236_21387%239507%23434558%233_4452%230%23226710%230_4452%233474%2316498%23778_4452%234862%23443515%23892_4452%233098%239599%23872_4452%235105%2323438%23486_4452%233564%2316062%23409&ru_algo_pv_id=b5b8cc9e-94bf-4e95-b893-4451752ce299&scenario=pcDetailBottomMoreOtherSeller&tpp_rcmd_bucket_id=285258&traffic_source=recommendation). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1162] Автор : RK4CI Дата : 13.12.2022 13:09 Анвелина , конечно, более продвинутый СДР трансивер и более затратный. Но он того стоит.Анвелину ещё не смотрел. Из остального, пока самое привлекательное именно RP. Вспомнил что такое "Одиссей". Даже переписывался с автором на тему приобретения. Но подвернулась плата "Гермеса" по очень привлекательной цене, взял её. А насчёт танцев. Так у меня готовы плата ДПФ и на приём, и отдельно на передачу. Линейка усиления со 100 мВ. Даже управление всеми ДПФ могу завести аналоговое. Вернее уже заведено, но есть возможность выбора канала. И даже НЧ выход с платы не обязателен. Сейчас из программы PowerSDR сигнал идёт на компьютер, а затем через коммутатор выхода на усилитель НЧ. Конечно желательно что бы и все фильтра управлялись из программы СДР. Но с этим можно постепенно разобраться. Основное, параметры платы, ну и её размеры. Делалось всё именно под плату Гермеса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1163] Автор : RW6MGO Дата : 13.12.2022 17:15 Здравствуйте. Так никто и не ответил. Запустил ред питайю, прием нормальный, включаю тон в программе, становлюсь осциллом,а на выходах платы сигнала нет. Может нужны какие то настройки на ТХ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1164] Автор : RK4CI Дата : 13.12.2022 17:21 прием нормальный, включаю тон в программе, Ну по идее, тон это просто один из видов режима передачи. Так что бы сигнал появился на выходе, надо эту передачу включить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1165] Автор : rz3qs Дата : 13.12.2022 17:58 Так никто и не ответил. Нужно хоть немного почитать мануал на программу. Нажали кнопку TUN. Движок Drive 100 % и еще заклада, где идет коррекция уровня на передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1166] Автор : RW6MGO Дата : 13.12.2022 20:20 Спасибо! А в PowerSDR mRX PS такой закладки не нашел. Добавлено через 10 минут(ы): Все установил на ослике банан! Добавлено через 5 минут(ы): Ну по идее, тон это просто один из видов режима передачи. Так что бы сигнал появился на выходе, надо эту передачу включить. Еще бы знать как! В проге вроде все выставил. В проге все красиво и на микрофон реагирует. А с железа 0. Добавлено через 33 минут(ы): Может надо заходить в прогу через 192.168.1.100 и там выбирать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1167] Автор : rz3qs Дата : 13.12.2022 21:19 А в PowerSDR mRX PS такой закладки не нашел. Плохо, она есть. И плате на 2 выхода TX, измеряете там где надо. Уровень в режиме тональника не меньше 0 дБм (0.2 В). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1168] Автор : RW6MGO Дата : 13.12.2022 23:38 Плохо, она есть. И плате на 2 выхода TX, измеряете там где надо. Уровень в режиме тональника не меньше 0 дБм (0.2 В). Спасибо. Как эти выходы TX активировать? В режиме тона что на одном ,что на другом "0" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1169] Автор : rz3qs Дата : 13.12.2022 23:55 Как эти выходы TX активировать? Трудно понять, что и как именно Вы понимаете. Активировать ничего не нужно. Записали прошивку от Павла Демина на флешку. На ней установили выборку HPSDR трансивер, чтобы после старта RP становилась в режим работы с трансивером. Вставили флешку в RP и все работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1170] Автор : RC3ZQ Дата : 14.12.2022 00:18 rz3qs, Добрый час. Как понять "выборку"? "На ней установили выборку HPSDR трансивер"??? Я читал что там нужно вытащить из каталога исполнительный файл start.sh в корень диска(верхний каталог флешки) , что бы при автозагрузке был режим работы с PSDR. Там ещё что то через web- интерфейс нужно сделать??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1171] Автор : rz3qs Дата : 14.12.2022 00:40 Я читал что там нужно вытащить из каталога исполнительный файл start.sh в корень диска(верхний каталог флешки) Все правильно. Там ещё что то через web- интерфейс нужно сделать??? Если к примеру выбрать VNA, чтобы работать с прогой анализатора, или SDR transceiver, чтобы запустить в режиме приема с HDSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1172] Автор : RC3ZQ Дата : 14.12.2022 00:58 Все правильно. Если к примеру выбрать VNA, чтобы работать с прогой анализатора, или SDR transceiver, чтобы запустить в режиме приема с HDSDR. Простите, тут что то я туплю. Изначально для работы с программой PSDR нужно вытащить из папки "sdr_transceiver_hpsdr_122_88" файл start. sh который как я понимаю всегда при загрузке устройства будет подгружать работу устройства для PSDR. Верно? Что бы например перевести устройство в режим работы VNA нам нужно остановить всё процессы работы устройства под PSDR (напримерудаленно через PuTTY Telnet выполнив из под root команду остановки - файл stop. sh. А затем опять запустить start. sh который запустит процессы для работы как VNA? Только вот вопрос какую программу под виндой юзать как для VNA? Да и какой клок в клоне Дуо 122.88? Правильный конфиг sdr_transceiver_hpsdr_122_88 для старта под PSDR? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1173] Автор : rz3qs Дата : 14.12.2022 01:13 Изначально для работы с программой PSDR нужно вытащить из папки "sdr_transceiver_hps dr_122_88" файл start. sh который как я понимаю всегда при загрузке устройства будет подгружать работу устройства для PSDR. Верно? Верно. И с Thetis тоже. А дальше, закрываете PWRSDR, питание с RP не снимаете. По адресу 192.168.1.100 открывается картинка, где и выбираете скажем VNA. Программа там, где и прошивка. https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20220322 Да и какой клок в клоне Дуо 122.88? Правильный конфиг sdr_transceiver_hpsd r_122_88 для старта под PSDR? 125 МГц. apps\sdr_transceiver_hpsdr -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1174] Автор : RC3ZQ Дата : 14.12.2022 01:16 rz3qs, Понятно. Тоесть режимы работы RP можно прям с web- морды менять без каких либо командных строк и т. д и т. п? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1175] Автор : rz3qs Дата : 14.12.2022 01:45 Тоесть Да. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1176] Автор : RK4CI Дата : 14.12.2022 02:09 Программа там, где и прошивка.А прошивку менять не надо, что бы работать с этой программой? И где там прошивки, а где программы. Хотя надеюсь, прошивку менять не придётся... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1177] Автор : RC3ZQ Дата : 14.12.2022 02:18 RK4CI, Прошивка red-pitaya-alpine-3.14-armv7-20220322.zip Программа VNA под винду vna-win32-20220322.zip Хотя надеюсь, прошивку менять не придётся... Там все в комплексе, не придётся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1178] Автор : RK4CI Дата : 14.12.2022 03:08 Там все в комплексеВ комплексе похоже для тех, кто сам и изготовит, и прошьёт. Я же собираюсь приобретать готовую плату. И менять прошивку для меня может стать проблемой. Программа VNA под виндуА эта программа VNA с "Гермесом" работать не будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1179] Автор : RC3ZQ Дата : 14.12.2022 03:15 RK4CI, Изначально в устройстве уже есть прошивка. Устройство работает под управлением OS из семейства Linux. В устройстве есть свой контроллер. Мы только единоразово закидуем дополнительный софт от Павла Дёмина на флешку и устанавливаем её в устройство. Всё остальные манипуляции с изменением режимов работы устройства делаются из браузера на ПК. Это я к тому что трудностей возникнуть собственно не должно у Вас. А эта программа VNA с "Гермесом" работать не будет? Сомневаюсь что будет. Там чуть по иному в железе заложено. https://pavel--demin-github-io.translate.goog/red-pitaya-notes/sdr-transceiver-hpsdr/?_x_tr_sch=http&_x_tr_sl=auto&_x_tr_tl=ru&_x_tr_hl=ru Наверное из за этого Основная проблема при эмуляции оборудования HPSDR с помощью Red Pitaya заключается в том, что частота дискретизации АЦП Red Pitaya составляет 125 MSPS, а частота дискретизации АЦП HPSDR — 122,88 MSPS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1180] Автор : RK4CI Дата : 14.12.2022 03:29 Сомневаюсь что будет.Жаль. Вроде и для Гермеса подобная программа существует. И даже была у меня. Но проверить не успел. Потерял при переустановке виндовса. Раньше каждые пол года-год приходилось это делать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1181] Автор : RC3ZQ Дата : 14.12.2022 03:50 RK4CI, Посмотрите тут https://github.com/softerhardware/Hermes-Lite2/wiki/Software Наверное Quisk VNA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1182] Автор : RW6MGO Дата : 14.12.2022 19:24 Здравствуйте! Спасибо за советы, передача пошла, был мой косяк, на флэшку стартовый файл перенес из приемника. Теперь вопрос , стоит ли приобретать плату кодека или работать через комп? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1183] Автор : RC3ZQ Дата : 14.12.2022 19:32 RW6MGO, Если вы любитель CW то думаю однозначно стоит! Я ожидаю модуль с алика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1184] Автор : RW6MGO Дата : 14.12.2022 19:38 Да CW иногда работаю. Заказал плату. ULM 2003 для коммутации планирую пристроить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1185] Автор : RC3ZQ Дата : 14.12.2022 19:53 Заказал плату. Эту https://aliexpress.ru/item/32775839699.html?spm=a2g2w.orderdetail.0.0.78ef4aa6eSObbw&sku_id=62460367725 ? ULM 2003 для коммутации планирую пристроить Дык перед ней нужен например расширитель портов или плата Alex. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1186] Автор : RW6MGO Дата : 14.12.2022 19:55 Эту https://aliexpress.ru/item/32775839699.html?spm=a2g2w.orderdetail.0.0.78ef4aa6eSObbw&sku_id=62460367725 ? Дык перед ней нужен например расширитель портов или плата Alex. Планирую 4 диапазона, УВЧ и АТТ -20Дб, ДПФ не будет, на прием ФНЧ до 50 мГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1187] Автор : RC3ZQ Дата : 14.12.2022 20:36 ДПФ не будет А зря. "Плохие" дпф конечно тоже могут навредить, но тем не менее... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1188] Автор : RW6MGO Дата : 16.12.2022 13:01 Здравствуйте. Настроил, передача идет, как CAT синхронизировать? с JTDX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1189] Автор : ua3rmb Дата : 16.12.2022 13:16 Пост 1133 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1190] Автор : RW6MGO Дата : 16.12.2022 14:03 В программе Thetis все получилось CAT и PTT работают звук как загнать туда и оттуда ?. В Powersdr по вашим рекомендациям PTT есть, нет CAT. У меня ноут Thetis не тянет загрузка 90% -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1191] Автор : ua3rmb Дата : 16.12.2022 16:45 Ну я же вам приводил скриншоты выше с настройками виртуального аудиокабеля. Настройки для тетиса и поверсдр аналогочны абсолютно. С такой загрузкой ноутбука работать в цифре не получится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1192] Автор : RU6AI Дата : 16.12.2022 20:02 Тетис работает по 2 му протоколу а поверсдр по первому..Насколько я знаю, нужно прошивку менять для работы по первому протоколу..(владельцы поточнее подскажут- сам настраивал давно другу, когда еще не было прошивки под Тетис, друг так и работает на старой прошивке с поверсдр на слабом компе) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1193] Автор : ua3rmb Дата : 16.12.2022 22:22 Тетис работает по любому протоколу, в зависимости от прошивки устройства. Что за ноутбук, на котором загрузка 90%? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1194] Автор : RU6AI Дата : 17.12.2022 06:58 Тетис работает по любому протоколу, в зависимости от прошивки устройства. Извиняюсь, так и есть..Тетис работает по обеим..Но OpenHPSDR ведь только по первому протоколу работает. Прошивка питайи позволяет работать сразу по двум? Подозреваю,что нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1195] Автор : ua3rmb Дата : 17.12.2022 07:02 Понятия не имею, по двум или по одному. У меня вообще Одиссей-2. Человек просил помощи с настройками, а им - настройкам - по фигу какой протокол. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1196] Автор : RU6AI Дата : 17.12.2022 07:55 Понятно..Но я к чему про протоколы написал- если у него Тетис работает а поверсдр нет- может дело в том, что прошивка ,которая у него сейчас, именно под второй протокол...Но это предположение- точно может сказать владелец Питайи.... ( В Одиссее 2- прошивки под протоколы ,насколько помню, переключаются оперативно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1197] Автор : ua3rmb Дата : 17.12.2022 08:26 Работает у него и Тетис, и повер - как он пишет. Не вижу повода для дискуссии, как и предмета для оной. Речь идёт только о настройках. Всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1198] Автор : RU6AI Дата : 17.12.2022 08:31 Ну ,раз так категорично,тогда я пас..( до этого то же были нюансы-что то работает, а что то нет..Пока в сообщении #1182 что то на флэшке не поправил ) . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1199] Автор : RT5D Дата : 17.12.2022 12:10 У меня ноут Thetis не тянет загрузка 90% Вот от этого движка сильно зависит прожорливость Тетиса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1200] Автор : RW6MGO Дата : 17.12.2022 16:17 Тетис работает по любому протоколу, в зависимости от прошивки устройства. Что за ноутбук, на котором загрузка 90%? Ноут самый обычный HP Pavilion g series проц 1.9 гГц оперативка 6 гигабайт. По поводу Thetis , у меня вопрос там тоже надо виртуальные кабели создавать или нет? или все гнать по TCI, в пауверсдр в связке с JTDX PTT работает но частоту не видит т.е нет CAT. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1201] Автор : ua3rmb Дата : 17.12.2022 17:36 Да, надо создавать. Я, честно, уже устал писать одно и то же. В посту со скриншотами показаны все настройки. Нет, не пойдёт звук через TCI. Как вам ещё объяснить? Не годится ваш ноут с такой загрузкой для цифры. И тот ползунок вам не поможет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1202] Автор : RV3DLX Дата : 17.12.2022 21:37 Вот от этого движка сильно зависит прожорливость ТетисаУ меня этот движок совершенно не влияет на загрузку процессора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1203] Автор : rz3qs Дата : 25.12.2022 17:01 Sigi DH1KLM добавил всплывающие кнопки выбора 2-х антенн для второго приемника. Стало очень удобно и главное быстро управлять антеннами второго приемника. Все работает с этой платой управления (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1776440&viewfull=1#post1776440) для RP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1204] Автор : rz3qs Дата : 26.12.2022 16:44 Приятно, когда железо и программа поют в унисон. Огромная благодарность Павлу Демину и Sigi DH1KLM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1205] Автор : UA4HQS Дата : 26.12.2022 20:56 Евгений, подскажите, из Вашего опыта, кулер действительно необходим на sdr duo? Оригинальная питайа обходится вроде как без него. Жду duo, планирую заменить плату hiqsdr-mini в своем аппарате, и не хотелось бы втыкать вентилятор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1206] Автор : RC3ZQ Дата : 26.12.2022 21:30 UA4HQS, Я тоже ожидаю duo, смотрел ролики и говорилось то что родной кулер очень шумный. Сами по себе АЦП не очень то и холодные, на оба нужно будет клеить радиаторы . На процессор и плис думаю тоже не помешает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1207] Автор : rz3qs Дата : 26.12.2022 22:28 кулер действительно необходим на sdr duo? Не знаю, такой анализ не делал. Нужно измерять температуру всех элементов. Продувает плату довольно прилично. На RP у меня стоит большего размера кулер и работает на малых оборотах, его не слышно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1208] Автор : RT5D Дата : 27.12.2022 10:33 Всем доброго времени суток и с наступающим новым годом! Интересно, а к этому DUO можно прикрутить какой-нибудь аудио кодек? И ещё, в cw на передачу и тетиса получается нкт самоконтроля? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1209] Автор : RC3ZQ Дата : 27.12.2022 10:39 RT5D, На GitHub у Павла Дёмина есть такая информация: Поддерживаемые аудиокодеки I2S: TLV320AIC23B и WM8731 . Аудиокодеки I2S должны синхронизироваться с кварцевым генератором с частотой 12,288 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1210] Автор : RW6MGO Дата : 02.01.2023 18:52 Здравствуйте! Поздравляю с Новым Годом! Вопрос по плате. У меня на разъеме DIO7_P почему то присутствуют +3.3 В, от программы никак не зависит, подаю питание и на этом разъеме +3.3 В, Все остальные разъемы DIO0_P по DIO6_P все нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1211] Автор : RC3ZQ Дата : 02.01.2023 20:30 RW6MGO, С Новым Годом! А как Вы поняли что остальные pin's в порядке? По этому pin FPGA написано что voltage lavel 3,3v . Может всё таки программно там такой уровень? З. Ы пока ещё не на чем посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1212] Автор : RW6MGO Дата : 03.01.2023 07:42 RW6MGO, С Новым Годом! А как Вы поняли что остальные pin's в порядке? По этому pin FPGA написано что voltage lavel 3,3v . Может всё таки программно там такой уровень? З. Ы пока ещё не на чем посмотреть. Спасибо за ответ! По всем остальным пинам все в норме. Эти пины DIO4_P по DIO7_P планировал использовать в качестве BCD кода для ULN2003 переключения ПДФ. ОСТАЛЬНЫЕ ПИНЫ ПЕРЕКЛЮЧАЮТ rx/tx есть. Ставишь галочки в проге, появляется 3.3 В. Видимо придется вешать PCA9555. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1213] Автор : RW6MGO Дата : 03.01.2023 13:57 Подключил PCA9555 согласно схеме по адресу 0, т.е на 21 вывод +3.3 В. На выводах подключенных к ULN2003 0.35 В какой бы ни был диапазон выбран, галка в проге стоит ALEX. Я так понимаю надо еще файл какой то менять на CD карте. Какой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1214] Автор : ra3gn Дата : 03.01.2023 16:57 rw6mgo в сообщении 258 на 26 странице этой ветке есть информация , может быть она вам поможет. Добавлено через 35 минут(ы): Может быть адрес 0, это ao, a1, a2 нужно установить в 0, это же двоичная логика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1215] Автор : RW6MGO Дата : 03.01.2023 17:04 rw6mgo в сообщении 258 на 26 странице этой ветке есть информация , может быть она вам поможет. Добавлено через 35 минут(ы): Может быть адрес 0, это ao, a1, a2 нужно установить в 0, это же двоичная логика. У меня A0 включен подачей на этот вывод 3.3 В, A1 и A2 висят в воздухе. Что интересно, прием отличный, FT8 настроил, все работает. А из за того,что DIO7_P под напряжением 3.3 В и никак не могу активировать PCA, приходится ФНЧ и ПДФ переключать вручную. Все уже перечитал....только толку.....Не может же именно один этот порт FPGA быть пробит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1216] Автор : rz3qs Дата : 03.01.2023 17:40 У меня A0 включен подачей на этот вывод 3.3 В Т.е. реально PCA стоит с адресом 1, а нужен 0. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1217] Автор : rz3qs Дата : 03.01.2023 18:59 Вопрос по адресам PCA поднимался пять лет назад. https://www.youtube.com/watch?v=mwrWy02k1N0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1218] Автор : RW6MGO Дата : 03.01.2023 19:00 Т.е. реально PCA стоит с адресом 1, а нужен 0. Какой адрес тогда подключить? Т.е на какую ножку подать питание 3.3 В.? Значит Чтобы получить нулевой адрес. необходимо A0,A1,A2 на землю через резистор 4.7 кОм? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1219] Автор : ra3gn Дата : 03.01.2023 19:11 rw6mgo Вам не хватает подписи, сделайте обязательно, кто вы по профессии, очень интересно, я , например сантехник, отвечу на ваш вопрос, соединить все выводы с землей, напряжение никуда не подавать. Тут знания не нужны, нужно уметь разгадывать "ребус". Вы его почти разгадали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1220] Автор : RW6MGO Дата : 03.01.2023 20:02 rw6mgo Вам не хватает подписи, сделайте обязательно, кто вы по профессии, очень интересно, я , например сантехник, отвечу на ваш вопрос, соединить все выводы с землей, напряжение никуда не подавать. Тут знания не нужны, нужно уметь разгадывать "ребус". Вы его почти разгадали. Спасибо. Все получилось. Ранее с PCA и трансиверами прямой оцифровки дела не имел. Причем тут профессия, в конце концов разобрался бы, думал для того и существует форум, чтобы спросить совета у тех людей которые "ребус" разгадали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1221] Автор : RC3ZQ Дата : 03.01.2023 20:03 RW6MGO, Почитайте документ https://www.dropbox.com/sh/5fy49wae6xwxa8a/AABuxJW6dpV50d6QPvUQNCUza/sdr/Hermes_and_Alex_outputs.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1222] Автор : RW6MGO Дата : 03.01.2023 21:52 RW6MGO, Почитайте документ https://www.dropbox.com/sh/5fy49wae6xwxa8a/AABuxJW6dpV50d6QPvUQNCUza/sdr/Hermes_and_Alex_outputs.pdf Я его читал. Просто не правильно понял перевод. Сейчас все работает по I2C. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1223] Автор : rz3qs Дата : 04.01.2023 21:13 У кого есть интерес. На сегодня самый оптимальный вариант Thetis для RP. Устанавливаем. Затем распаковываем второй файл в папку Thetis. Модель трансивера выбираем ANAN-7000DLE https://github.com/DH1KLM/Thetis-2.9.0.x/releases/download/2.9.0.x/Thetis-v2.9.0.x64-yevgeni.msi https://github.com/DH1KLM/Thetis-2.9.0.x/releases/download/2.9.0.x/Thetis-installfolder-files-yevgeni.zip -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1224] Автор : RT5D Дата : 08.01.2023 15:25 RZ3QS, Евгений, подскажите, а как можно использовать 2 TX выхода в TRX-DUO? Они как-то программно переключаются? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1225] Автор : rz3qs Дата : 08.01.2023 15:40 Они как-то программно переключаются? Только так (https://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/). Если и есть другой вариант, то я про него не знаю. Смотрим "Configuring inputs and outputs". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1226] Автор : Вячеслав Б Дата : 09.01.2023 14:13 Добрый день. Прочитал 123 страницы, но так и не нашёл ответ. Дело вот в чём. Люблю конструировать и паять и вот (когда больная голова покоя не даёт)решил поменять свой ГЕРМЕС на РЕД ПИТАЙЯ. Не нашёл ответ на вопрос по вот этой ЖЕЛЕЗКЕ:HIFI TRX-duo совместим с Red Pitaya SDR Dual 16bit ADC ZYNQ7010 (https://aliexpress.ru/item/1005004727498477.html?sku_id=12000030250297416). Так я так и не смог понять работает ли эта плата с вторым протоколом. Подскажите где можно подчерпнуть эту информацию. Я работаю в ТЕТИСЕ и не хочу с него уходить. Спасибо за поддержку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1227] Автор : RU6AI Дата : 09.01.2023 14:28 Тетис работает с обоими протоколами..У продавца на фото Тетис запущен..Правда по 1 му протоколу..На прошлой неделе подключал старую (14 битную) плату Питайи к Тетис..Прошивку залил последнюю. Все работало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1228] Автор : rz3qs Дата : 09.01.2023 14:40 Так я так и не смог понять работает ли эта плата с вторым протоколом. Если вариант от Павла Демина, то только первый протокол. Выше давал ссылку на Thetis, но похоже мало кому это надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1229] Автор : RU6AI Дата : 09.01.2023 14:43 Владельцы Питайи наверняка воспользовались ссылкой. Вам - спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1230] Автор : r4ii Дата : 09.01.2023 19:42 RZ3QS, Евгений, завил проги по Вашей ссылке, все работает отлично. Я использую только RX1, плата BPF от EU2AV управляется непосредственно с RED PITAYA. Диапазонные фильтры переключатся, а вот УВЧ не могу заствить работать. До загрузки Вашей заливки использовал версию 2.9.0.3 , картина была тоже самая. Может подскажете, что можно сделать. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1231] Автор : rz3qs Дата : 10.01.2023 00:55 а вот УВЧ не могу заствить работать. УВЧ с RP включен при АТТ=0. Есть немного разные комбинации (УВЧ-АТТ) от выбранной модели радио. У себя я остановился на варианте с постоянно включенным УВЧ и АТТ 0-31 дБ. При такой комбинации нет проблем с калибровкой S-метра и авто регулировкой уровня обратной связи при активации PS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1232] Автор : RC3ZQ Дата : 10.01.2023 03:49 rz3qs, Здравствуйте. А какой Вы шаг используете для АТТ PE? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1233] Автор : rz3qs Дата : 10.01.2023 03:57 А какой Вы шаг используете для АТТ PE? Какой есть в Thetis. Если выбран режим АТТ, то шаг 10 дБ, если S-ATT, то 1 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1234] Автор : RC3ZQ Дата : 10.01.2023 04:16 если S-ATT, то 1 дБ. А такой шаг через pca9555 реально реализовать или только как у Вас через доп. контроллер по i2C с выводом с него кода АТТ на PE' шки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1235] Автор : rz3qs Дата : 10.01.2023 04:34 А такой шаг через pca9555 реально реализовать Только 10 дБ. Для этого и много еще чего была сделана новая плата, а Павел Демин написал для нее код. Только повторили ее единицы. Одним словом в массы не пошла. Хотя там все просто, запаял исправные детали, залил прошивку и все работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1236] Автор : r4ii Дата : 10.01.2023 20:45 Евгений, RZ3QS. Все заработало, спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1237] Автор : RC3ZQ Дата : 11.01.2023 08:22 в массы не пошла.. Евгений, добрый час. Я прикидывал стоимость этой платы , микросхемы хорошо уже вес имеют, плюс второй блок BPF для Rx2. Пал выбор на три pca9555+uln2803. Я думаю для RX1 задействовать полноценные полосовики+ УВЧ+атт, а для Rx2 только фнч 7го порядка+увч +атт. Меня волнует будет ли нормально работать фазировка антенн если по входу rx2 фнч??? И ещё вопрос есть ли по входам у sdr-duo фнч на плате? Это я к тому можно ли юзать зоны Найквиста для приёма 144мГц? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1238] Автор : rz3qs Дата : 11.01.2023 08:42 будет ли нормально работать фазировка антенн Да. можно ли юзать зоны Найквиста для приёма 144мГц Если верить комментариям к видео (https://www.youtube.com/watch?v=7L2YCLOqzAI), то можно. На плате стоят дополнительные разъемы. есть ли по входам у sdr-duo фнч на плате Глядя на КСВ по входу, то есть, но параметры не проверял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1239] Автор : Вячеслав Б Дата : 11.01.2023 19:23 Добрый день. Ссылка на тетис это хорошо, но я спрашивал про РП работает ли эта плата со вторым протоколом. Есть ли прошивка этой платы под второй протокол. Со вторым протоколом я и ГЕРМЕС запускал. Всё работает, но в ГЕРМЕСЕ нет таких возможностей как у этой платы. Так есть ли прошивка для этой платы под второй протокол? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1240] Автор : rz3qs Дата : 11.01.2023 19:47 Так есть ли прошивка для этой платы под второй протокол? Я не знаю, может где и есть. Но если не секрет, что даст вам второй протокол. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1241] Автор : Вячеслав Б Дата : 11.01.2023 22:07 Даст обзор,300 с лишним К, заработает полный обзор всего диапазона, который видит АЦП. Более пока не знаю так как работал со вторым протоколом на ГЕРМЕСе, а там физического приёмника нет и канал передачи только один. На китайском варианте РП два полноценных физических АЦП и два канала передачи. Если посмотреть видео, то там ПУР сигнал то бишь чистый сигнал под -80 Dbm. Пока всё. А вообще думаю просто по мере возможности надо двигаться вперёд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1242] Автор : rz3qs Дата : 11.01.2023 23:18 Даст обзор,300 с лишним К 384 кГц есть сейчас с первым протоколом. заработает полный обзор всего диапазона, который видит АЦП Да, полезно для измерений, для удобства работы в эфире пользы не заметил. С HDSDR RP имеет обзор 1500 кГц, для быстрого просмотра всего диапазона АЦП достаточно. и два канала передачи Двойной ЦАП, но в трансивере работает один канал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1243] Автор : cimos Дата : 12.01.2023 07:39 rz3qs, Не подскажете, в китайской реплике RP насколько хороши параметры опоры? Ее реальная стабильность? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1244] Автор : rz3qs Дата : 12.01.2023 08:02 насколько хороши параметры опоры? Ее реальная стабильность? Терпимо. Стабильность нормальная, на слух и глаз. Инструментально стабильность не измерял. Но никто не мешает заменить эту опору, правда цена так руб на 3000 будет больше. Тут надо понимать, какие решать задачи и соответственно под них иметь определенные параметры. Кроме опоры много всяких факторов срабатывает. Смысл иметь высокие цифры, а в реальной жизни они могут быть и не востребованы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1245] Автор : cimos Дата : 12.01.2023 11:39 Терпимо. Стабильность нормальная, на слух и глаз 0.5 ppm будет ориентировочно? Просто в описании ничего не нашел. Может и есть где-то подробное, но мне не встречалось пока. На представленных китайцами фото, разглядеть данные бессмысленно. Вот и задал Вам вопрос, т.к. имеете непосредственное дело с этой платкой. Что за опора вообще там используется? Кроме опоры много всяких факторов срабатывает. Согласен. Но на данный момент интересует именно состояние фазовых шумов. Насколько хорош, сравнительно, будет RMDR. Хочу себе прикупить. Все же дешевле Pitaya получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1246] Автор : rz3qs Дата : 12.01.2023 11:57 Насколько хорош, сравнительно, будет RMDR. В видео (https://www.youtube.com/watch?v=7L2YCLOqzAI) все показано. Решайте сами, мало это для Вас или достаточно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1247] Автор : RK4CI Дата : 12.01.2023 13:11 интересует именно состояние фазовых шумов.Скрин из видео как раз момента измерения фазовых шумов. 383530 Сигнал +0,2 дБм. Шумы в полосе 500 Гц, при отстройке 10 кГц около -111 дБм. При пересчёте к 1 Гц, это -138 дБм/Гц. Тот же момент для платы Гермеса. 383531 Сигнал -9,2 дБм. Шумы в полосе 500 Гц -127,2 дБм, при пересчёте к 1 Гц это -145 дБм/Гц. С другим генератором видел до -147 дБм/Гц. Так что возможно, влияют и шумы самого КГ. У меня двух тональный КГ, и что бы измерять один тон, просто срывается генерация 2 КГ. Но шумы тракта остаются. Но это что есть по Гермесу. Нашёл у себя ещё одну картинку на ту же тему. Измерение шумов в другой программе. Вроде чуть веселее, но не совсем понятна полоса пропускания приёмника в режиме CW. 383546 Сигнал -8 дБм. Циферки над панорамой справа. Шумы в полосе -137 дБм, это показания S метра. Если брать отсчёт от полосы 500 Гц, то -156 дБс/Гц. Генератор вроде тот же... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1248] Автор : rz3qs Дата : 12.01.2023 13:30 Тот же момент для платы Гермеса. Только нужно добавить. Hermes $900, TRX-DUO $250. Даже Hermes-Lite дороже. Каждый имеет возможность выбора... дБм/Гц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1249] Автор : RK4CI Дата : 12.01.2023 14:35 Hermes $900, TRX-DUO $250. Так кто бы спорил. Так ещё именно сейчас, плату Гермеса найти в продаже достаточно проблематично, а TRX-DUO предложений десятками. Да и чисто РедПитайя можно приобрести не слишком дорого... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1250] Автор : R4DM Дата : 12.01.2023 15:02 КИТ Hermes Lite реально сейчас купить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1251] Автор : RN4CZ Дата : 12.01.2023 19:21 Заказы начинают отгружаться с 5 октября в порядке живой очереди, вы получите от нас электронное письмо, как только ваш заказ появится в очереди. Apache Labs НЕ принимает заказы на карты Hermes Card и 10W PA. https://apache-labs.com/productImages/T500_1014_1022_OpenHPSDR-Hermes-Transceiver-Card-(Assembled-&-Tested).jpg (https://apache-labs.com/productImages/1014_1022_OpenHPSDR-Hermes-Transceiver-Card-(Assembled-&-Tested).jpg) Плата приемопередатчика Open HPSDR Hermes (14-битный АЦП, EP3C25) (собрана и протестирована) $389,00 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1252] Автор : UA9OAL Дата : 13.01.2023 17:40 Устанавливаем. Затем распаковываем второй файл в папку Thetis. Модель трансивера выбираем ANAN-7000DLE Не могу TRX DUO запустить, пишет Error starting SDR hardware. Выбрал ANAN-7000DLE, IP отметил точкой. Может еще что упустил? Может видео есть с настройкой? При распаковке архива все распаковывается, но пишет есть предупреждения - "есть данные после конца блока полезных данных". В HDSDR работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1253] Автор : R7MU Дата : 13.01.2023 18:51 Попробуйте другой архиватор, например 7-Zip -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1254] Автор : rz3qs Дата : 13.01.2023 20:22 В HDSDR работает. А Thetis работает, 2.9.0.6 (https://github.com/ramdor/Thetis-2.9.0/releases/tag/v2.9.0.6). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1255] Автор : UA9OAL Дата : 14.01.2023 09:22 Разархивировал WinRAR, ошибки нет. В Thetis не работает, 2.8 версию ставил, не коннектится. 383668 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1256] Автор : RC3ZQ Дата : 14.01.2023 09:37 UA9OAL, Отсюда apps\sdr_transceiver _hpsdr запускаете с помощью sh ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1257] Автор : UA9OAL Дата : 14.01.2023 09:55 Отсюда apps\sdr_transceiver _hpsdr запускаете с помощью sh ? Не понял вопрос. У меня HDSDR программа установлена как обычно. Запускаю ее с ярлыка на рабочем столе. Предварительно в папку с HDSDR положил файл ExtIO_RedPitaya_TRX.dll. Thetis тоже установил как обычно, распаковал архив в папку с программой на диске С. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1258] Автор : RC3ZQ Дата : 14.01.2023 10:17 UA9OAL, В каком режиме сам красный питай запущен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1259] Автор : RU6AI Дата : 14.01.2023 10:18 У вас прошивка свежая ? ( https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20220322 ну и из папки apps\sdr_transceiver _hpsdr файл start.sh скопировать в корень флэшки.. Товарищь приносил свою питайю, я прошил ему и проверил на своем Тетисе ( он настроен на Анвелину) - все работало.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1260] Автор : UA9OAL Дата : 14.01.2023 10:52 из папки apps\sdr_transceiver _hpsdr файл start.sh скопировать в корень флэшки.. Весь компьютер перерыл, а папку apps\sdr_transceiver _hpsdr в итоге нашел на флешке :), файл start.sh скопировал в корень и все законнектилось! Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1261] Автор : RC3ZQ Дата : 14.01.2023 12:13 У кого есть интерес. На сегодня самый оптимальный вариант Thetis для RP. Устанавливаем. Затем распаковываем второй файл в папку Thetis. Модель трансивера выбираем ANAN-7000DLE https://github.com/DH1KLM/Thetis-2.9.0.x/releases/download/2.9.0.x/Thetis-v2.9.0.x64-yevgeni.msi https://github.com/DH1KLM/Thetis-2.9.0.x/releases/download/2.9.0.x/Thetis-installfolder-files-yevgeni.zip Евгений, доброго дня и с Новым Годом по старому стилю! А Вы не устанавливали Thetis модифицированный так что бы обычный релиз не обновить? Дело в том что у меня есть ещё один гермесоподобный трансивер и не хотелось бы перенастраивать программу туда сюда. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1262] Автор : rz3qs Дата : 14.01.2023 12:58 не хотелось бы перенастраивать программу туда сюда. Программа создает при установке папки Thetis и Thetis-x64. Изменяем имена папок, к примеру, ThetisA и Thetis-x64A. Устанавливаем любой вариант программы и с ней работаем. Чтобы перейти назад-смена имен. Новый ThetisB Thetis-x64B, а с раннего варианта убираем А. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1263] Автор : RW6MGO Дата : 14.01.2023 15:31 Здравствуйте. Получил плату аудиокодека, надо ли ее в программе как то активировать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1264] Автор : RC3ZQ Дата : 14.01.2023 17:33 а с раннего варианта убираем А. Спасибо так и сделал. Запустил сегодня дао,пока полет ок. Установил тетис модификации https://github.com/DH1KLM/Thetis-2.9.0-Red-Pitaya-DH1KLM и все скины которые у него есть. Показалась программа тормознутая относительно стандартной сборки а тут еще на водопаде какой то stend up for .... это не вирь случайно? Для чего его кликать то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1265] Автор : rz3qs Дата : 14.01.2023 17:43 Установил тетис модификации Зачем ставить устаревший вариант, когда есть новый. Если только новый не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1266] Автор : RC3ZQ Дата : 14.01.2023 18:08 rz3qs, А новый какую версию Вы имеете в виду? Этот же под питая заточён, ранее Вы ссылку на него здесь давали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1267] Автор : rz3qs Дата : 14.01.2023 18:33 ранее Вы ссылку на него здесь давали Вы ссылку смотрели мою , там совсем другой адрес. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1268] Автор : RC3ZQ Дата : 14.01.2023 18:36 Вы ссылку смотрели мою , там совсем другой адрес. Простите,запутался. Эта верная? https://github.com/ramdor/Thetis-2.9.0/releases/tag/v2.9.0.6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1269] Автор : rz3qs Дата : 14.01.2023 18:58 Простите,запутался. Бывает. (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1936808&viewfull=1#post1936808) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1270] Автор : cimos Дата : 14.01.2023 19:14 Стабильность нормальная, на слух и глаз. Инструментально стабильность не измерял. Но никто не мешает заменить эту опору, правда цена так руб на 3000 будет больше. Евгений, а Вы можете фото дать этой опоры, что на платке имеется? Интересует ее подробный тип. Вариантов замены не так уж и много. C Digi Key сейчас ничего не вытянуть, но у китайцев есть неплохие предложения (https://aliexpress.ru/item/33005980719.html?spm=a2g2w.detail.seller_rcmd.0.32bc7766XYOrJK&_evo_buckets=165609,165598,188873,299288&gps-id=pcDetailBottomMoreThisSeller&scm=1007.13339.291025.0&scm_id=1007.13339.291025.0&scm-url=1007.13339.291025.0&pvid=33e1b0fd-6ecd-4203-9f89-f8be4c6871f4&_t=gps-id:pcDetailBottomMoreThisSeller,scm-url:1007.13339.291025.0,pvid:33e1b0fd-6ecd-4203-9f89-f8be4c6871f4,tpp_buckets:21387%230%23233228%234_21387%2314014%23453667%236_21387%239507%23434562%237&sku_id=67060098066). Пусть будет внешний вариант, но с неплохими параметрами по фазовым шумам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1271] Автор : rz3qs Дата : 14.01.2023 19:58 можете фото дать этой опоры Не могу, плата пошла по рукам, как вернется, будет фото. Но может кто и другой сделает, плата уже не только у меня есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1272] Автор : cimos Дата : 14.01.2023 21:02 как вернется, будет фото Хорошо бы. А Вы на вскидку не помните что за выход там по сигналу? LVDS? Но может кто и другой сделает, плата уже не только у меня есть. Так уже сделали бы, значит нет желания у них корпус вскрывать :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1273] Автор : UA4HQS Дата : 15.01.2023 08:43 фото дать этой опоры, что на платке имеется? 383702 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1274] Автор : RC3ZQ Дата : 15.01.2023 12:37 Бывает. (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1936808&viewfull=1#post1936808) Евгений, доброго дня. Спасибо за программу, аналоговые s-metr' ы интересная штука:super: На этих версиях программ я обнаружил старую проблему которая была более на старших версиях. Проблема в том что после перезапуска программы vac1 и vac2 оказываются выключенными и приходится их снова включать или из настроек или в интерфейсе программы. Интересно как Вы победили эту беду? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1275] Автор : DH1KLM Дата : 15.01.2023 13:11 Вам необходимо сохранить профиль передачи с включенным VAC1. Есть много вариантов, которые сохраняются в вашем профиле Transmit. Вы можете отобразить эти параметры, нажав «Выделить элементы сохранения профиля TX» на странице «Настройка / Transmit». Все необязательные сохраненные элементы выделены желтым цветом. Некоторые элементы выделены в графическом интерфейсе, но большинство из них вы найдете в меню «Настройка/Аудио», «DSP», «Transmit». -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1276] Автор : rz3qs Дата : 15.01.2023 13:14 Интересно как Вы победили эту беду? Для разных видов модуляции свой Band Stack и сохранение Transmit Profiles. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1277] Автор : cimos Дата : 15.01.2023 14:08 UA4HQS, Спасибо! Вот теперь ясно по какой причине RMDR LTC2208 показал не свой реальный результат. Китайцы сэкономили на том, на чем не стоило. Параметры этой опоры (https://www.infinite-electronic.ru/datasheet/ce-NX7031E0125.000000.pdf) относительно уж очень скромные, особенно в отношении стабильности. Даже если учесть все допуски : "**Stability includes all combinations of Operating Temperature, Load changes, rated Input (Supply) Voltage changes, Initial Calibration Tolerance (25°C), Aging (1 years at 25°C Average Effective Ambient Temperature), Shock and Vibration." Интересно, есть ли варианты ее замены реальные, которые можно на свободном рынке найти? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1278] Автор : UA9OAL Дата : 15.01.2023 18:11 Подскажите в чем может быть проблема. При запуске HDSDR панорамы нет, не идет сигнал с TRX-DUO. Пишет383730 Иногда несколько раз запустишь - закроешь HDSDR, панорама появляется. Пытаюсь найти закономерность включения, и вроде нашел - появляется сигнал после открытия IP адреса TRX-DUO в браузере. Что с этим можно сделать? Пока играюсь с TRX-DUO в HDSDR, все там работает, синхронизировал с трансивером. А вот в Тетис сделал такие настройки, но данные передаются только из трансивера в Тетис, а если мышкой щелкать по панораме, трансивер не перестраивается и на панораме Тетис частота не может измениться, трансивер не дает. Видимо еще где-то еще есть настройки САТ. 383729 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1279] Автор : Вячеслав Б Дата : 16.01.2023 18:43 Евгений, а мы можем пообщаться допустим в телеграмм? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1280] Автор : RW6MGO Дата : 20.01.2023 20:57 Так никто и не ответил! Нужно ли как то в программе активировать плату кодека? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1281] Автор : RC3ZQ Дата : 20.01.2023 21:10 RW6MGO, В PSDR и в Thetis вроди ничего такого там не нужно. У меня пока до кодека руки не дошли. Покажите какой Вы модуль кодека приобрели? Подключили его к duo? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1282] Автор : Вячеслав Б Дата : 20.01.2023 21:57 А какой кодек используете? Я пытаюсь набрать инфу по этому изделию и перейти на него с ГЕРМЕСА. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1283] Автор : RC3ZQ Дата : 20.01.2023 22:30 Вячеслав Б, На Github у Павла Демина написано: Поддерживаемые аудиокодеки I2S: TLV320AIC23B и WM8731 . Аудиокодеки I2S должны синхронизироваться с кварцевым генератором с частотой 12,288 МГц. Интерфейс I2S должен быть подключен к разъему расширения E1 , как показано на схеме выше. Интерфейс I2C должен быть подключен к контактам I2C разъема расширения E2 . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1284] Автор : RU6AI Дата : 21.01.2023 08:41 Зачем ее активировать- подключил- и работает.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1285] Автор : RC3ZQ Дата : 21.01.2023 08:44 RU6AI, Здравствуйте! Пример есть какой кодек(модуль) используете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1286] Автор : RU6AI Дата : 21.01.2023 08:48 Али удалил архив заказов ранее 2020 г. Не могу сказать конкретно. Другу заказал давно ..Не помню в каком году, по инструкции подключил- все заработало и работает по сей день. Там есть нюанс- на кодаке должен быть генератор 12288----- http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1360184&highlight=%EA%EE%E4%E5%EA#post1360184 Припоминаю- взяли дешевый кодек- заменили кварц на 12288 и все заработало.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1287] Автор : RC3ZQ Дата : 21.01.2023 08:51 RU6AI, Спасибо. У друга слайдов не осталось на эту тему случайно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1288] Автор : RU6AI Дата : 21.01.2023 09:28 У друга точно нет..Делал все я..Такой модуль https://aliexpress.ru/item/32825272093.html?spm=a2g2w.productlist.search_results.0.3a062f8fMPbbHP&sku_id=64960629100 Точно помню- заказывали кварц на 12288 ,заменили, подключили и все заработало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1289] Автор : RW6MGO Дата : 21.01.2023 11:11 383991 Купил такой. Кварц уже стоит 12288. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1290] Автор : ra3gn Дата : 21.01.2023 13:46 rw6mgo Можете выложить ссылку на модуль с кварцем на 12.288? Вы подключайте плату к трансиверу, если не будет работать, тогда нужно копать дальше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1291] Автор : RC3ZQ Дата : 21.01.2023 13:52 RW6MGO, У меня точно такой же, Вы его подключили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1292] Автор : UA4HQS Дата : 21.01.2023 13:57 Работает этот модуль, не переживайте ) 383994 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1293] Автор : ra3gn Дата : 21.01.2023 14:03 ua4hqs Интересно, как вы планируете оформлять все это в корпус? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1294] Автор : UA4HQS Дата : 21.01.2023 14:27 ua4hqs Интересно, как вы планируете оформлять все это в корпус? :ржач: Я же не собираюсь внутрь этой маленькой коробочки запихивать кодек, дпф, усилитель.. Все элементарно помещается в подходящий sff компьютерный корпус. И даже думаю компьютерный бп использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1295] Автор : UA3RW Дата : 21.01.2023 15:01 Вот так у меня сделано с Redpitaya. Аудиакодек самодельный (купить не намного дороже). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1296] Автор : ra3gn Дата : 21.01.2023 15:55 r4hm Тоже подумал, что в эту маленькую коробочку не поместиться, даже подумал, вдруг саморезами и - к полированному столу. ua3rw Сергей, получилось солидно, законченное изделие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1297] Автор : UA3RW Дата : 21.01.2023 16:54 Владимир! Да это законченное изделие. Поработал на нем немного. Если кому надо отдам по цене затрат на детали из за того ,что уже им не пользуюсь. Это не реклама для продажи. Потратил на изделие много времени. Об этом не жалею. Познакомился с новой техникой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1298] Автор : RW6MGO Дата : 21.01.2023 17:53 rw6mgo Можете выложить ссылку на модуль с кварцем на 12.288? Вы подключайте плату к трансиверу, если не будет работать, тогда нужно копать дальше. https://aliexpress.ru/item/32775839699.html?spm=a2g2w.orderdetail.0.0.3e704aa63v3T07&sku_id=62460367725 Вот ссылка ,где я брал. Подключаю плату к конт. DIO_4N......DIO_7N разъем Е1 и I2C к разъему Е2. Пока в наушниках тишина. Что то опять не так. может усилитель подключить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1299] Автор : RC3ZQ Дата : 21.01.2023 18:14 RW6MGO, У этого аудио кодека выход не маленький, в наушниках должно хорошо слышно. Движок в программе master gain (вроди так) на всю стоит? Может UA4HQS Вам лучшее фото сделает подключения. Мне бы тоже пригодилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1300] Автор : RW6MGO Дата : 21.01.2023 18:18 384013 Собрал в таком корпусе. В FT8 работает отлично. 15 Вт. Усилитель и ФНЧ от трансивера FT-890. Автотюнер N7DDC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1301] Автор : ra3gn Дата : 21.01.2023 18:27 rl6lx В подключении сложно сделать ошибку: вы не написали про подачу питания на кодек(1-gnd, 2-5v), усилитель точно не нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1302] Автор : RW6MGO Дата : 21.01.2023 18:39 Питаю 5 В на платке есть свой стабилизатор 3.3 В. Меня мучают сомнения т.к на контакте DIO7_N висит постоянно 3.3 В. На остальных 0в. Хотя через комп все работает. контакты 39 и 40 не задействовал. 384015 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1303] Автор : ra3gn Дата : 21.01.2023 18:50 Тогда r4hm должен выдать хитрость, например прибавить уровень громкости в программе. Тестер не поможет в наладке на сигнальных выводах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1304] Автор : RW6MGO Дата : 21.01.2023 19:47 Т.е там вполне может быть и 3.3 В? это уровень логической 1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1305] Автор : UA4HQS Дата : 21.01.2023 23:33 Питаю 5 В на платке есть свой стабилизатор 3.3 В. Меня мучают сомнения т.к на контакте DIO7_N висит постоянно 3.3 В. На остальных 0в. Хотя через комп все работает. контакты 39 и 40 не задействовал. 384015 У меня пинаут другой 384025 выдать хитрость, например прибавить уровень громкости в программе. УНЧ нужен однозначно. Мне уровня с 8731 мало в наушниках для комфортного прослушивания при максимуме всех регуляторов в Thetis. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1306] Автор : RC3ZQ Дата : 21.01.2023 23:50 UA4HQS, Мне продаван аудио кодека тоже как у коллеги табличку присылал. Странно. Хотя схема соответствует Вашим скринам. Если не составит труда набросайте схемку соединения по пинам кодек<->дау ? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1307] Автор : UA4HQS Дата : 22.01.2023 00:05 Я на хабре, кажется, находил распиновку. В любом случае, по плате видно все элементарно. Там три с половиной резистора, запутаться сложно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1308] Автор : UA9OAL Дата : 22.01.2023 10:51 Помогите настроить связь Thetis - TRX DUO - трансивер Кенвуд TS-590 - LogHX. TRX DUO подключил, все работает. Запускаю Thetis, запускаю LogHX, настроил их по TCI. Все работает, споты из лога отображаются в Thetis. Меняю частоту в логе, - частота меняется в Thetis, меняю в частоту в Thetis - частота меняется в логе. Все удобно и хорошо. Я хочу принимать с Thetis, а передавать с Кенвуд TS-590. Включаю трансивер, через Омни Риг настраиваю САТ, также настраиваю САТ в Thetis (через сплиттер СОМ портов). Почти ничего не меняется в работе программ, но перестает меняться частота при щелканье мышкой по водопаду. То есть частоту в Thetis можно менять только крутя валкодер трансивера или щелкая по бэндмапу в LogHX. Пытаюсь тянуть полосу приема мышкой куда-нибудь в сторону - не получается, она стоит на месте. А вот в программе HDSDR эта связка программ и железа работает. В настройках там установлены отметки на Sync to Rig и Sync from Rig. Кручу трансивер - частота меняется и в логе и в HDSDR. Мышкой щелкаю по водопаду - трансивер перестраивается на частоту, куда щелкнул. Как добиться такой работы в Thetis ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1309] Автор : ra3gn Дата : 22.01.2023 11:00 схема подключения кодека: 384040 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1310] Автор : EU1SW Дата : 22.01.2023 12:56 Друзья мои, не кажется ли вам, что использование кодека в режиме мастера шины в данном случае есть немного "не торт"? ПЛИС задает все частоты и должна быть мастером, иначе никак не достичь синхронизации, кварц на кодеке не должен быть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1311] Автор : pavel-demin Дата : 22.01.2023 13:54 Да, есть такая проблема. Нет синхронизации с кодеком. Та же проблема со звуковой картой компьютера. При достаточно длинных буферах щелчки из-за рассинхронизации случаются не слишком часто. В случае с Red Pitaya проблема в том, как из 125 МГц получить 3,072 МГц чтобы тактировать кодек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1312] Автор : EU1SW Дата : 22.01.2023 13:54 точно! я забыл об этом, что там не 122,88 :-( может быть реализовать мод, под перепайку опорника на 122,88? это бы сильно улучшило ситуацию -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1313] Автор : pavel-demin Дата : 22.01.2023 14:21 Мне кажется, проблема не настолько серьезна, чтобы заморачиваться с перепайкой кварца. При рассинхронизации 10 ppm и частоте 48 кГц, из-за рассинхронизации теряется или добавляется только около одного сэмпла в секунду (48e3*(1+/-10e-6)). При размере буфера в 1000 сэмплов, буфер переполняется или опустошается раз в примерно 15 минут. Т.е. кодек щелкает из-за рассинхронизации примерно каждые 15 минут. По-моему терпимо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1314] Автор : EU1SW Дата : 22.01.2023 14:22 ну, я просто предложил, что бы феншуй соблюсти ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1315] Автор : RW6MGO Дата : 22.01.2023 14:31 схема подключения кодека: 384040 Я подключал к DIO4_N.....DIO7_N, У Вас плата подключена к DIO4_P.....DIO7_P, может поэтому и не работает, распиновка у меня как и у UA4HQS посмотрел по плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1316] Автор : EU1SW Дата : 22.01.2023 14:31 122,88 МГц делить на 2560 будет 48000 Гц 125 МГЦ делить на 2560 будет 48828 Гц где я ошибаюсь? ни в коем случае не глум, хочу понять -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1317] Автор : pavel-demin Дата : 22.01.2023 15:35 Вроде все правильно. Только непонятно, зачем делить 125 МГц на 2560. Я получаю 48 кГц из 125 МГц следующим образом: 125 МГц /25 *24 /5 *4 /1000 /2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1318] Автор : EU1SW Дата : 22.01.2023 15:45 ок, понял но всеж на передачу боковые лан, сорян, ваше дело -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1319] Автор : rz3qs Дата : 22.01.2023 21:50 С одной RP программа трансивера и программа приемника работают сами по себе. Пока режим тестирования. Замечаний не вижу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1320] Автор : pavel-demin Дата : 23.01.2023 11:05 но всеж на передачу боковые Я не совсем уверен, что понимаю, о чем речь. Не могли бы вы рассказать об этом подробнее? В чем проблема? Бывает ли лучше в других СДР? Как это можно исправить в случае с Red Pitaya? Единственное, что приходит в голову, так это следующая проблема: https://github.com/pavel-demin/red-pitaya-notes/issues/1059 Всё никак не соберусь заняться этой проблемой. Все конструктивные предложения более чем приветствуются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1321] Автор : Вячеслав Б Дата : 23.01.2023 19:51 Добрый вечер всем. Я вот тоже жду китайскую DUO и так как пока не имею объёма данных по ред питайя хотел просить уже опытных пользователей написать инструкцию по запуску нового изделия от начала и до конца. Эта инструкция будет полезна , я думаю, очень многим. К примеру: вот получил новое устройство, надо скачать последнюю версию для запуска. Конкретно адрес ссылки. Скачал. Далее, что и где нужно переставить на флешке или в компе. Конкретно, что и куда перекидывать. Сделали. Вставить карту памяти в устройство. Что и какую программу установить на компе (допустим Thetis). Появится значёк на рабочем столе. Запустить его и что дальше. Это я описал примерно то, что я предполагаю по образу и подобию с Гермесом. только пожалуйста не тыкайте носом на ту или другую страницу. Всем спасибо. Сделайте знатоки и это в зипе будет очень полезно многим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1322] Автор : RU6AI Дата : 23.01.2023 20:25 Добрый вечер! по поводу кодека- вспомнил--раньше, на старой прошивке (2017 года ) кодек работал отлично..Недавно прошил свежей прошивкой- и друг стал жаловаться на пропадание звука..Выключит после этого комп и питайю- включит- все работает..Может в прошивке что то связанное с кодеком изменилось? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1323] Автор : ra3gn Дата : 23.01.2023 21:12 Вячеслав, эта ветка и есть инструкция, которая вам нужна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1324] Автор : RC3ZQ Дата : 23.01.2023 21:45 RU6AI, Тут есть информация по быстрому старту https://youtu.be/teks8v3u8F0 под видео есть нужные Вам ссылки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1325] Автор : RU6AI Дата : 23.01.2023 22:46 Не понял? Быстрый старт я сделал в 2017 году...Когда друг приобрел пиайю...И прошивку тогда 2017 года поставил..Друг захотел прошивку посвежее, я поставил эту https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20220322 Все работает, но иногда начал пропадать звук через кодак..Лечится перезагрузкой . под видео есть нужные Вам ссылки Нужные -для чего? Я вроде не просил никакой помощи..Просто поделился инфо..Друга все устраивает..Меня тем более. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1326] Автор : RC3ZQ Дата : 23.01.2023 22:58 RU6AI, Пардон! Ошибься. Выше сообщение от коллеги Вячеслава. Ему ссылку дал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1327] Автор : RW6MGO Дата : 24.01.2023 14:44 Подскажите , в чем причина, скачал прошивку по ссылке выше, но питайя стартует только с программы sdr_transceiver_hpsdr, и sdr_receiver_hpsdr. остальные не получается синий светодиод молчит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1328] Автор : RC3ZQ Дата : 24.01.2023 14:50 RW6MGO, Что значит "остальные"? Анализатор спектра? Вы процесс hpsdr останавливаете? Стартует он у Вас в автомате поскольку в корень диска start. sh "положили", а stop. sh Вы исполняете через web морду питая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1329] Автор : RW6MGO Дата : 24.01.2023 15:03 RW6MGO, Что значит "остальные"? Анализатор спектра? Вы процесс hpsdr останавливаете? Стартует он у Вас в автомате поскольку в корень диска start. sh "положили", а stop. sh Вы исполняете через web морду питая? Пытался стартовать с другой флэшки программу sdr_transceiver_hpsdr_122_88 процесс не пошел. stop. sh никак не исполняю. У меня постоянно еа выводах DIO7_P, DIO7_N постоянно висит 3.3 В. если я использую alex и управляю с этих выводов реле, то как бы я галку в проге не менял. 3.3 в висит постоянно. Поставил 9555 по I2C все управляется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1330] Автор : RC3ZQ Дата : 24.01.2023 15:30 RW6MGO, Какой Alex? Вам нужe н Hermes Ctrl. АЛЕКС соединения Модуль ALEX можно подключить к контактам DIO4_N (последовательные данные), DIO5_N (часы), DIO6_N (строб RX) и DIO7_N (строб TX) разъема расширения E1 . Плата и протокол описаны в руководстве ALEX . Alex работает по шине i2s , а Вы хотите просто без интерфейса напрямую через ключи управлять релюхами фильтров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1331] Автор : Вячеслав Б Дата : 24.01.2023 15:50 Спасибо за такую ссылку. Всё бы ничего если бы только знать язык и понимать, что и как. Я вел разговор не об этом. Прочитать все 133 страницы я догадался, а вот как быть с тем, что пока в 1330 сообщения прочтёшь и найдёшь то, что именно нужно, то можно скорую вызывать и в дурку ехать. Но всё равно большое спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1332] Автор : ra3gn Дата : 24.01.2023 16:01 Вячеслав, решил узнать про волшебный трансивер красная питая 2 недели назад, уже прочел ветку 4 раза , кстати, здоровье еще в норме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1333] Автор : Вячеслав Б Дата : 24.01.2023 16:18 Здоровье в норме это здорово. Я вам завидую. Хотите я вам составлю инструкцию по запуску и переустановке ГЕРМЕСа. Я с ним работаю уже более 10-ти лет. И никаких ссылок не надо будет на непонятных языках. Мне нравится общаться с умными людьми, но к большому сожалению что бы понять порой умных надо самому быть как минимум умным или даже умнее их. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1334] Автор : RC3ZQ Дата : 24.01.2023 16:22 Вячеслав Б, Переводчик может очень помочь, например гугл. Программа Thetis или PSDR mrx это отдельная тема. Я сам её знаю на 10% максимум. Поэтому познать можно в процессе работы. Например сам на днях подключив к Rx2 магнитную рамку и открыв фазовый подавитель помех так и не смог понять как это работает и как управлять. Тема очень большая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1335] Автор : Вячеслав Б Дата : 24.01.2023 16:36 ПО фазовому подавителю есть очень хорошее видео где человек рассказывает показывает, что как и от чего происходит, но всё зависит от условий в которых мы находимся. У меня всё для этого есть, но индустриальные помехи такие, что ничего не помогает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1336] Автор : RW6MGO Дата : 24.01.2023 16:50 RW6MGO, Какой Alex? Вам нужe н Hermes Ctrl. Alex работает по шине i2s , а Вы хотите просто без интерфейса напрямую через ключи управлять релюхами фильтров. Все верно, у меня сейчас по I2C вся коммутация и работает через 9555 и ULN2003. Вопрос в другом, изначально я хотел пойти по простому варианту, коммутировать 4 диапазона через ULN 2003, снимая сигналы с конт. DIO4_P....DIO7_P. но т.к на DIO7_P висит 3.3 в, не зависимо как галка стоит в Hermes Ctrl то с одним диапазоном пролет. Вопрос то у меня в этом напряжении. Все остальное работает. Все коммутируется и управляется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1337] Автор : RC3ZQ Дата : 24.01.2023 17:02 RW6MGO, Пока ещё гребёнку не распаивал, поэтому не могу сказать есть ли там Hi DIO7_P. Может порт убит у вашей дао? Например статикой при пайке гребёнки pls. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1338] Автор : RW6MGO Дата : 24.01.2023 17:15 RW6MGO, Пока ещё гребёнку не распаивал, поэтому не могу сказать есть ли там Hi DIO7_P. Может порт убит у вашей дао? Например статикой при пайке гребёнки pls. У меня ред питайя 125-14 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1339] Автор : RC3ZQ Дата : 24.01.2023 17:18 RW6MGO, Тогда ждите может кто то подскажет. А чем через pca9555 Вас не устраивает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1340] Автор : RW6MGO Дата : 24.01.2023 17:22 RW6MGO, Тогда ждите может кто то подскажет. А чем через pca9555 Вас не устраивает? Все устраивает, кодек из за этого не работает. Приходится через звуковуху компа работать. И еще вопрос sdr_transceiver_hpsdr_122_88 Это что за прошивка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1341] Автор : RC3ZQ Дата : 24.01.2023 17:52 RW6MGO, Поддерживаемые аудиокодеки I2S: TLV320AIC23B и WM8731 . Аудиокодеки I2S должны синхронизироваться с кварцевым генератором с частотой 12,288 МГц. и I2C-соединения Этот интерфейс разработан Питером DC2PD. Сервер sdr-transceiver-hpsdr.c взаимодействует с одной или двумя микросхемами PCA9555 , подключенными к контактам I2C разъема расширения E2 . Вы улавливаете разницу в i2s и i2c ???? И ещё ответ на Ваш вопрос: Основная проблема при эмуляции оборудования HPSDR с помощью Red Pitaya заключается в том, что частота дискретизации АЦП Red Pitaya составляет 125 MSPS, а частота дискретизации АЦП HPSDR — 122,88 MSPS. Чтобы решить эту проблему, эта версия содержит набор КИХ-фильтров для дробного преобразования частоты дискретизации. Результирующая скорость передачи данных I/Q настраивается, и доступны четыре настройки: 48, 96, 192, 384 kSPS. А теперь посмотрите на частоту кварцевого генератора на плате. З. Ы может конечно и я что то не понимаю, но всё таки кодек и pca9555 находятся на разных шинах и работать они должны оба. А вот если кодек и модуль Alex то да, или одно или другое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1342] Автор : RW6MGO Дата : 24.01.2023 19:16 На плате кодекаS кварц стоит 12.288 мГц. Плата подключена параллельно выходам на РСА9555. Модуля ALEX у меня нет. Так на разъеме E2 только I2S конт. 9, 10. (SDA, SCL) к которым и подключены РСА9555 и плата кодека. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1343] Автор : RC3ZQ Дата : 24.01.2023 19:17 RW6MGO, На плате питая что у Вас за генератор? Частота?Интерфейс i2s находится на разъёме Е1, а интерфейс i2c находится на разъёме Е2. К интерфейсу по i2s подключатся кодек, к интерфейсу по i2c подключаются расширители портов pca9555. Вы меня услышали??? З. Ы Видимо у Павла Дёмина какие-то другая ревизия описана, сейчас посмотрел распиновку. Вы правы. Тогда я пасс... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1344] Автор : rz3qs Дата : 24.01.2023 20:23 К интерфейсу по i2s подключатся кодек, к интерфейсу по i2c подключаются расширители портов pca9555. У кодека по I2S идет звук, по I2C управление. Адреса I2C у кодека и PCA9555 разные, они спокойно работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1345] Автор : RV6LCT Дата : 24.01.2023 20:31 Все верно, у меня сейчас по I2C вся коммутация и работает через 9555 и ULN2003. Вопрос в другом, изначально я хотел пойти по простому варианту, коммутировать 4 диапазона через ULN 2003, снимая сигналы с конт. DIO4_P....DIO7_P. но т.к на DIO7_P висит 3.3 в, не зависимо как галка стоит в Hermes Ctrl то с одним диапазоном пролет. Вопрос то у меня в этом напряжении. Все остальное работает. Все коммутируется и управляется. В режиме ANAN-7000DLE и в Hermes пин DIO7_P управляется. У Вас индикаторы Hardware Pin State загораются? 384103 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1346] Автор : RC3ZQ Дата : 24.01.2023 21:14 У кодека по I2S идет звук, по I2C управление. Адреса I2C у кодека и PCA9555 разные, они спокойно работают. Евгений, доброго вечера. Кодек и pca9555 подключаются к разным пинам разъёмов? Так веть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1347] Автор : RW6MGO Дата : 24.01.2023 21:23 Да все загорается, но пин DIO7_P не реагирует, остальные норм. Добавлено через 6 минут(ы): Евгений, доброго вечера. Кодек и pca9555 подключаются к разным пинам разъёмов? Так веть? Управление кодеком и РСА9555 идет через Е2 (SDA, SCL), а звук на кодек через Е1 DIO_4......DIO_7. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1348] Автор : RC3ZQ Дата : 25.01.2023 10:09 Доброго дня всем! У кого либо получилось подружить RedPitay с программой SDR Console. В поиске устройств не находит трансивер как устройство Anan или hermes. Ссылается на фаервол, который ни как не фильтрует запросы (отключал ..все разрешено). Может SDR Console не понимает первый протокол Hermes ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1349] Автор : DH1KLM Дата : 25.01.2023 11:30 Протокол 2 требуется для консоли SDR. RX возможен без платы кодека. Для TX требуется плата кодека. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1350] Автор : ra3gn Дата : 25.01.2023 12:57 dh1klm Есть опыт использования другого кодека , чем wm8731? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1351] Автор : DH1KLM Дата : 25.01.2023 15:01 Нет, к сожалению, у меня нет опыта работы с другими кодеками. 73 de Sigi / DH1KLM Добавлено через 10 минут(ы): Забыл упомянуть, что piHPSDR можно принимать с Red Pitaya по протоколу 2 даже без платы кодека. Опять же, для TX требуется плата кодека. Для использования Thetis и Red Pitaya с Protokol 2 требуется плата кодека для RX и TX. Не работает через "VAC" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1352] Автор : RC3ZQ Дата : 25.01.2023 16:15 Протокол 2 требуется для консоли SDR. RX возможен без платы кодека. Для TX требуется плата кодека. Дело в том что программа sdr console не находит red pitay. Нет, к сожалению, у меня нет опыта работы с другими кодеками. 73 de Sigi / DH1KLM Добавлено через 10 минут(ы): Забыл упомянуть, что piHPSDR можно принимать с Red Pitaya по протоколу 2 даже без платы кодека. Опять же, для TX требуется плата кодека. У Вас нет опыта работы с программой PIHSDR под операционной системой ArmBian на микрокомпьютере Orange Pi5? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1353] Автор : DH1KLM Дата : 25.01.2023 16:41 как уже было сказано, вам нужно запустить прошивку протокола 2 на Red Pitaya, тогда SDR Console заработает. Могу предоставить протокол 2. Я использую piHPSDR только на Win 10/11. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1354] Автор : Вячеслав Б Дата : 25.01.2023 17:48 Добрый вечер. Я бы тоже с удовольствием скачал протокол 2. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1355] Автор : RC3ZQ Дата : 25.01.2023 18:33 DH1KLM, Спасибо! Дайте пожалуйста прошивку и дистрибутив программы piHSDR для win10/11! Я не находил такой информации в интернете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1356] Автор : UA4HQS Дата : 29.01.2023 19:55 383991 Купил такой. Кварц уже стоит 12288. Вот кстати, на моем таком же модуле резисторы подтяжки на i2c стояли 10к, в результате оно работало через раз. Поставил 1к, работает стабильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1357] Автор : ra3gn Дата : 30.01.2023 10:47 Привет любителям трансивера красная питаи. Вот написано в док на кр. пит.: Интерфейс I2S использует общие контакты(dio4n-dio7n) с интерфейсом ALEX. Таким образом, два не могут быть использованы одновременно. Странно, последнее сообщение от r4hm Резисторы подтяжки обычно имеют номинал 4,7-10к, в модернизации кодека - от ua3reo написано: 1к заменить на 10к. По поводу адреса pca9555: адрес 0 означает , что это 0х20 адрес, тогда почему нельзя поставить pcf 8575? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1358] Автор : UA4HQS Дата : 30.01.2023 11:33 Резисторы подтяжки обычно имеют номинал 4,7-10к, в модернизации кодека - от ua3reo написано: 1к заменить на 10к. У меня с 10к кодек инитился через два раза на третий. Вот тут (https://19dx.ru/2014/08/vliyanie-nominala-podtyagivayushhih-rezistorov-na-signal-shiny-i2c/) про подтягивающие резисторы очень хорошо написано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1359] Автор : ra3gn Дата : 30.01.2023 13:38 Думаю, нужно убрать галку в этом месте: 384327 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1360] Автор : UA4HQS Дата : 30.01.2023 15:12 А где поставить галку, чтобы в Тетисе CW самоконтроль появился? Галка в sidetone не помогает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1361] Автор : kgleb Дата : 30.01.2023 16:05 Самоконтроль в Тетисе только через кодек подключенный к SDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1362] Автор : UA4HQS Дата : 30.01.2023 16:47 Самоконтроль в Тетисе только через кодек подключенный к SDR. Про это я уже читал где-то. Но у меня нет самоконтроля и через кодек. По крайней мере, cw макросы отрабатывают молча. Ключ не подключал, не сделал опторазвяку еще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1363] Автор : r4ii Дата : 30.01.2023 18:33 Здравствуйте! Ищу схему платы коммутации RZ3QS 2019 V1.1 для SDR Red Pitaya. Фото прилагается. Можно сбросить на r4ii@bk.ru Спасибо!384342 73! Владимир, R4II. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1364] Автор : RU6AI Дата : 30.01.2023 18:37 Самоконтроль в CW -нажать на MON -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1365] Автор : cimos Дата : 30.01.2023 20:01 r4ii, Вы заказывали плату? Где можно такую сейчас приобрести? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1366] Автор : r4ii Дата : 30.01.2023 20:29 Приобрел по случаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1367] Автор : UA4HQS Дата : 30.01.2023 22:31 Ну картина такая получается. На SDR DUO у меня нет передачи CW. Не самоконтроля. Именно передачи. Ни в Thetis, ни в PowerSDR. Голосовые моды нормально. Кнопка TUNE работает, несущую выдает. Но телеграфа нет ( Тот же Thetis с hiqsdr mini работает нормально, передача CW есть. Похоже, китайсы напортачили где-то. У кого DUO есть, работает у вас CW? upd: манипулятор, подключенный к DUO все-таки работает. Не работают макросы и манипуляция из CwType/лога. Пошел искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1368] Автор : rz3qs Дата : 31.01.2023 12:42 Только 10 дБ. Извините, моя ошибка, просто забыл, два года прошло. PCA9555 с адресом 4 управляет АТТ с шагом 1 дБ. Так было (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1756940&viewfull=1#post1756940), думаю и осталось, но проверить надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1369] Автор : UA4HQS Дата : 31.01.2023 12:45 rz3qs, Евгений, у Вас на RP работает CW манипуляция из лога? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1370] Автор : rz3qs Дата : 31.01.2023 12:52 работает CW манипуляция из лога? Да, через физический COM порт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1371] Автор : UA4HQS Дата : 31.01.2023 12:56 Да, через физический COM порт. Т.е. порт подключен к пинам на RP? И в коде Павла, получается, нет программного формирования манипулирования CW? Я вот про это: 384360 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1372] Автор : r4ii Дата : 06.02.2023 20:41 384666Всем, здравия! Использую RP 125-14, плату полосового фильтра от EW2AV, купленную в Китае платку с PCA9555 и THETIS последнюю версиия от Евгения RZ3QS. С одним приемником фильтра на BPF переключаются без проблем с пином самой RP и с пинов PCA9555 с адресом A0. Пытаюсь подлючить BPF от EW2AV к RX2 через 9555 с адресом A3 ни чего не получаеся. В программе переключается LPF в RX1, а BPF2 в RX2. Вошел в ступор, помогите.384664384665 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1373] Автор : rz3qs Дата : 07.02.2023 04:33 помогите В Thetis ничего делать не надо. По адресу 3 PCA9555 выходит код BCD RX1 RX2. Другой вопрос, как понимает его плата БПФ от EU2AV. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1374] Автор : r4ii Дата : 07.02.2023 12:40 Сергей, спасибо за ответ! Проверил BCD коды по присланной миниатюре. Светодиоды на платке PCA9555 переключаются согласно кодам, на синхронно по пинам Р0-Р3 и Р4-Р7. Они управляются только с RX1. Удалил все галочки с закладки "OC control"- переключение по пинам выполняются. Thetis и RP перезагружал. 384695384696384697Проблемы прежние остались. Добавлено через 16 минут(ы): Сергей, сейчас проверил работу в PowerSDR. По адресу А3 диоды на платке переключатся согласно присланной Вам миниатюте. Т.е управляются раздельно, как надо, RX1 по пинам Р0-Р3, RX2- по пинам P4-P7. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1375] Автор : r4ii Дата : 07.02.2023 13:53 Сергей, спасибо за ответ! Проверил BCD коды по присланной миниатюре. Светодиоды на платке PCA9555 переключаются согласно кодам, на синхронно по пинам Р0-Р3 и Р4-Р7. Они управляются только с RX1. Удалил все галочки с закладки "OC control"- переключение по пинам выполняются. Thetis и RP перезагружал. 384695384696384697Проблемы прежние остались. Добавлено через 16 минут(ы): Сергей, сейчас проверил работу в PowerSDR. По адресу А3 диоды на платке переключатся согласно присланной Вам миниатюте. Т.е управляются раздельно, как надо, RX1 по пинам Р0-Р3, RX2- по пинам P4-P7. Евгений! Извините за мою оплошность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1376] Автор : rz3qs Дата : 07.02.2023 21:29 Такие теперь S-метры. Для настройки звука SSB стало удобней, видны все блоки обработки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1377] Автор : Вячеслав Б Дата : 07.02.2023 22:07 ребята, добрый вечер. Где это можно скачать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1378] Автор : RK4CI Дата : 07.02.2023 23:05 Где это можно скачать? А мне вот интересно как всё это на 7-8 " мониторе будет смотреться. Стандартная ПоверСДР не особо впечатляет. Всё достаточно мелко. И под управление на сенсорном экране не особо подходит. Здесь сами приборы вроде покрупнее. Такие уже и на относительно небольших мониторах будут неплохо смотреться. А вот тыкать пальчиками для управления, опять вряд ли получится. Интересно, этим никто ещё не озаботился? По моему СДР моноблоки, с монитором на передней панели, уже выпускаются. У них программы как и у СунСДР так же закрытые? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1379] Автор : ua3rmb Дата : 07.02.2023 23:19 https://groups.io/g/ody-sdr/topic/andromeda_controller_with/79072627?p=,,,20,0,0,0::recentpostdate/sticky,,,20,0,0,79072627,previd%3D1675778733506786335,nextid%3D1618352776057512144&previd=1675778733506786335&nextid=1618352776057512144 И почему Сун? Читается по=русски как Сан. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1380] Автор : vadim_d Дата : 07.02.2023 23:42 И почему Сун?Когда в Китае сделают клон, будет Сунь :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1381] Автор : RK4CI Дата : 07.02.2023 23:49 Читается по=русски как Сан. Может быть. А в теме по ссылке не увидел развития программы отображения информации на мониторе. Все приборы мелкие, практически все кнопки на экране продублированы на передней панели, так что сам монитор возможно и не сенсорный. Он просто индикатор отработки нужных функций. У себя изначально хотел все функции переключения выполнять прямо с экрана. Но то что казалось вполне выполнимо при 22" мониторе, при запуске на 8" оказалось очень неудобно. Сейчас ищу куда пристроить с десяток, или чуть более кнопок. Лицевая панель занята... Да и сам внешний вид программы не особо впечатляет. Может уже приелся со времён СДР на основе звуковых карт. Сейчас вот то же нашёл себе проблему, как лучше выполнить панораму для аналоговой части трансивера. Когда то закладывал в схему плату СДР на основе звуковой карты, а сейчас посещают мысли сделать её на основе приёмника прямой оцифровки. Чисто 12-14 битные АЦП, и приёмники на их основе уже не слишком и дороги. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1382] Автор : ua3rmb Дата : 08.02.2023 07:31 !6 бит ацп на али 1100, провернный продавец, рабочие. Там же и циклоны по 3000. Похоже, что по ссылке вы вообще ничего не увидели. Автор собрал автономный сдр наоснове панели Андромеда и выложил всю документацию. Экран сенсорный, этого вы тоже не увидели. И Тетис можно переключить в 2 вида отображения, с много кнопк и без. Ну вы же идёте свом путём... :ржач: Когда в Китае сделают клон, будет Сунь Легко, ведь он на Тайване собирается, а это где-то рядом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1383] Автор : RK4CB Дата : 08.02.2023 10:33 Там же и циклоны по 3000. Я не нашел. Если не трудно, дайте ссылку на 115й циклон 4, EP4CE115F780 ? Заранее благодарен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1384] Автор : RK4CI Дата : 08.02.2023 12:58 Экран сенсорный, этого вы тоже не увидели.Так я его особо и не рассматривал. Там основное внимание уделено именно механической части, управлению. А она у меня своя. И прекрасно подойдёт именно для питайи. Отдельный ДПФ с УВЧ в приёмной части, собственные ДПФ и ФНЧ в передающей. Правда сейчас управление завязано на плату Гермеса. У питайи по моему подобных выходов не предусмотрено. Нужна дополнительная плата расширения Ну вы же идёте свом путём.Мне так не кажется. СДР, компьютер, и монитор в одном корпусе. Сейчас это не такая уж и редкость. Единственно, выделено место под платы аналогового трансивера. Но благодаря этом платы ДПФ и ФНЧ можно управлять и с аналоговой части, если вдруг возникнет необходимость. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1385] Автор : ra3gn Дата : 09.02.2023 13:49 r4ii Может быть вы не совсем кор. поставили пины для адреса 3 : А0-1, А1-1, А3-0? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1386] Автор : RC3ZQ Дата : 09.02.2023 18:58 RK4CI, при запуске на 8" оказалось очень неудобно. Так и есть. Если под виндой (программы PSDR mrx или Thetis) то нужно обязательно midi пульт прикрутить, тогда ещё как то с 7дюймовым дисплеем можно жить. Я вот сейчас в поиске оптимального решения под ArmBian для микроПК Orange Pi5. Думаю PiHsdr поставить с контроллером на малине zero . Кнопки и энкодеры нужны однозначно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1387] Автор : r4ii Дата : 09.02.2023 20:07 RA3GN Владимир, все правильно поставил, как у Вас написано. С PowerSDR v3.4.9 все работает нормально, с Тhetis_ ом не хочет. Ставил старые версии - резултат-0. Если в Thetis вместо ALex установить Hermes, то адрес 3 работает, на усеченно, LPF не переключается. Буду работать с PowerSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1388] Автор : RK4CI Дата : 09.02.2023 20:44 Кнопки и энкодеры нужны однозначноНу энкодер у меня предусмотрен. И без кнопок пока перед глазами стационарный монитор всё вполне терпимо. Но вот работать чисто трансивером, не слишком удобно. Приходится брать что то вроде спички, и тыкать по экрану... Так ещё программа тачскрина установлена неродная, и компьютер её регулярно сбрасывает. Вернее не саму программу, а её калибровку. В общем то возни ещё полно. Передающий тракт ещё до антенны не доведён. Хотя платы в корпусе уже года три стоят, а запускаться сами ну никак не хотят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1389] Автор : RC3ZQ Дата : 09.02.2023 22:21 RK4CI, Тогда midi пульт однозначно который будет встроен в корпус на панель. Мышкой тыкать и крутить колесо как то тоже не совсем удобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1390] Автор : ra3gn Дата : 10.02.2023 13:53 Привет любителям трансивера. Если посмотреть на конструкцию и детали сего изделия, можно сделать вывод, что жить это изделие в условиях нескольких квт и полноразмерных антенн, долго "жить" не сможет, по сему, или ставить не отключаемый атт по входу, или работать на короткие антенны и мощностью несколько ватт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1391] Автор : UA4HQS Дата : 10.02.2023 14:01 Если посмотреть на конструкцию и детали сего изделия, можно сделать вывод, что жить это изделие в условиях нескольких квт и полноразмерных антенн, долго "жить" не сможет А есть статистика по "умершим" трансиверам? Или это чисто теоретические выводы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1392] Автор : ra3gn Дата : 10.02.2023 14:12 Когда детали по входу имели размеры 10мм, то трансивер мог выдержать 2вт на вход, посмотрите какие размеры имеют конденсаторы по входу в вашем дуо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1393] Автор : ua3rmb Дата : 10.02.2023 14:35 посмотрите какие размеры имеют конденсаторы по входу в вашем в вашем сансдр :ржач: От 2-х ватт на входе он точно сдохнет, навернётся ацп. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1394] Автор : RK4CI Дата : 10.02.2023 15:34 что жить это изделие в условиях нескольких квт и полноразмерных антенн, долго "жить" не сможет,Интересные выводы. В Гермесе, перед подобным АЦП ещё и предусилитель стоит, с усилением в 20 дБ. А здесь просто всю входную часть придётся городить самому. И ДПФ, и УВЧ, и аттенюаторы, ну и защиту то же. Ну а 1-1,5 В амплитуды, конденсаторы и трансформатор на входе вполне выдержат... Видел измерение входного импеданса этой платы во всём КВ диапазоне. Не впечатлило. Но это надо смотреть схему, ну и добавить не отключаемый УВЧ по входу, без него чувствительность низковата. И входной импеданс подравняется, и защиту организовать будет попроще. Ну и благодаря 2 независимым приёмникам, можно и фазовое подавление помех организовать. По моему, подобные программы уже существуют. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1395] Автор : RU6AI Дата : 10.02.2023 16:13 фазовое подавление помех организовать. По моему, подобные программы уже существуют. Фазовое подавление встроено в Поверсдр и Тетис..Кнопка Diversity -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1396] Автор : RK4CI Дата : 10.02.2023 16:19 Фазовое подавление встроено в Поверсдр и Тетис Но насколько понимаю, реализовать это можно только имея пару независимых АЦП на плате приёмника. Какие платы, кроме Питайи, ещё поддерживают этот режим. И есть ли они в продаже. Или только самостоятельное изготовление, или приобретение законченного трансивера. Изготовление платы хотя бы типа Питайи или Гермеса, я точно не потяну. Вопрос не только к RU6AI. Если сейчас ещё в продаже что то сопоставимое с Питайей? Может кто вопросом интересовался? Ранее были Гермес, Одиссей, что то ещё попроще, а сейчас как будто всё заглохло... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1397] Автор : ua3rmb Дата : 10.02.2023 16:21 Только нужен СДР с 2-мя АЦП. Есть. Одиссей-2, TRX-DUO китайский. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1398] Автор : RU6AI Дата : 10.02.2023 16:23 Я такую применяю- https://eu2av.ru/viewtopic.php?f=4&t=141 . Подавление работает..Да, на плате нужно 2 АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1399] Автор : RK4CI Дата : 10.02.2023 16:54 Сейчас по ходу дела пришла интересная мысль. Как использовать два параллельных приёмных тракта вроде придумали. А вот зачем нужны два передающих?... Как известно для измерения параметров аппаратуры зачастую нужен двухтональный генератор. Его формирует и обычный синтезатор, но качество сформировапнного сигнала получается не слишком высокое. Так имея пару независимых генераторов можно организовать двухтональник, качество которого будет определять сумматор. Интересно, ещё никто не пробовал использовать Питаййю для измерения динамики приёмников, трансиверов. Как будет смотреться собственный двухтональный сигнал, сформированный двумя независимыми генераторами на собственной панораме? Никто этого не проверял? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1400] Автор : ua3rmb Дата : 10.02.2023 17:13 В Питайе вроде цап один. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1401] Автор : RK4CI Дата : 10.02.2023 17:49 В Питайе вроде цап один Да нет. На фото чётко видно и пара АЦП, и пара входных трансформаторов. Похоже простенький ФНЧ на входе. А вот микросхема выхода одна. Может двух канальная. И как у неё обстоят дела с разделением каналов. Поэтому и заинтересовался выходом собственного двух тонального сигнала, когда тона разнесены на разные каналы. 384861 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1402] Автор : ua3rmb Дата : 10.02.2023 18:49 Вы о чём - какая Питайя на вашем фото? :ржач: Вы её - Питайю - видели? Или только свой Гермес? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1403] Автор : RK4CI Дата : 10.02.2023 18:58 Вы её - Питайю - видели? Видел и родную, и несколько их модификаций на их родном сайте. А это фото китайского аналога. И который по моему, именно на данный момент, гораздо привлекательнее родной. И не в последнюю очередь из за цены. Платить более чем в три раза дороже только за "фирму" я не готов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1404] Автор : ua3rmb Дата : 10.02.2023 20:21 Ну слава богу, разобрались. Вы называйте вещи своими именами, рекомендую. Да, дешевле и ничуть не хуже. Самое главное что аналог работает без проблем, правда, выбор ПО ограничен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1405] Автор : RC3ZQ Дата : 10.02.2023 20:26 Фазовое подавление встроено в Поверсдр и Тетис..Кнопка Diversity Научите пользоваться этой под программой пожалуйста))) Когда детали по входу имели размеры 10мм, то трансивер мог выдержать 2вт на вход, посмотрите какие размеры имеют конденсаторы по входу в вашем дуо. Какая разница какие размеры smd. Защиты нужны. Вход R x1 и Rx2 коротить на землю в режиме TX и отключать от цепей bpf/preamp с помощью реле а не ключами. А от возбуда кВт лампового помощника можно с дуру что угодно спалить. Сколько таких буржуинов уже попалено на практике.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1406] Автор : ua3rmb Дата : 10.02.2023 21:22 thetis diversity on youtube Гугл даёт кучу ссылок на видео. https://www.youtube.com/watch?v=Cg0ZYni2ScQ https://www.google.com/searchq=thetis+diversity+on+youtube&rlz=1C1GCEA_enRU930RU930&oq=thetis+diversity+on+youtube&aqs=chrome..69i57j33i160.48906j0j15&sourceid=chrome&ie=UTF-8#fpstate=ive&vld=cid:964ba7cd,vid:ZTtTa6EQxgg (https://www.google.com/search?q=thetis+diversity+on+youtube&rlz=1C1GCEA_enRU930RU930&oq=thetis+diversity+on+youtube&aqs=chrome..69i57j33i160.48906j0j15&sourceid=chrome&ie=UTF-8#fpstate=ive&vld=cid:964ba7cd,vid:ZTtTa6EQxgg) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1407] Автор : RK4CB Дата : 11.02.2023 14:13 Гугл даёт кучу ссылок на видео. и если присмотреться, то видно, что фигня это все без должных антенн - соотношение сигнал шум мало где улучшается. перепробовал несколько антенн - не работает. Так же, как и УФА10. Нужно ооочень тщательно подбирать антенны под фазовое подавление. Вот тут видно, как не должно работать: https://disk.yandex.ru/i/uITB-kHq3P9pac :падает уровень всего вместе, помеха остается и слышна...вот у меня так же со всеми антеннами))) На фото чётко видно и пара АЦП АЦП два. Но вы про ЦАПы спрашивали же))) ЦАП один, на два трансформатора ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1408] Автор : RK4CI Дата : 11.02.2023 14:38 ЦАП один, на два трансформатора Так два канала TX просто фикция? Или всё же сам синтезатор имеет два выхода, с возможностью формировать две отдельные частоты? Ну и схема этого TRX DUO, в природе существует? Хотелось бы увидеть исполнение входа/выхода. Что бы заранее знать какие доработки потребуются при замене платы Гермеса. Пусть второй выход на передачу фикция, но для второго входа приёмника придётся что то городить. Полноценный обзорный приёмник сделать, с возможностью приёма зон Найквиста. А это, и собственный вход, и наличие каких то фильтров, УВЧ, аттенюатора. А ими желательно управлять из программы... фигня это все без должных антенн - соотношение сигнал шум мало где улучшается.Ну так это надо понимать с чем боремся. Наличие такого подавителя помех, будет эффективно при наличии какой то одной локальной помехе. Трески от ЛЭП, какая то котельная во дворе. Когда помех много, и приходят они с разных сторон, бороться со всеми и сразу, попросту невозможно. Зато должно быть возможно подавить помеху от какого то мощного соседа, или даже выход собственного передатчика, и слушать эфир непосредственно во время передачи. Возможностей для применения можно придумать много. Вот насколько всё это будет востребовано, это конечно под вопросом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1409] Автор : ua3rmb Дата : 11.02.2023 14:40 Или всё же сам синтезатор имеет два выхода, с возможностью формировать две отдельные частоты? Где вы умудрились найти синтезатор в SDR-е? соотношение сигнал шум Или сигнал/помеха? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1410] Автор : RK4CI Дата : 11.02.2023 14:47 Где вы умудрились найти синтезатор в SDR-е А ЦАП вы чем считаете? По существу, тот же самый синтезатор. Только формирует не одну фиксированную частоту, как мы привыкли это видеть в аналоговых трансиверах и приёмниках, а изменяющуюся во времени частоту, имтирующую мгновенное значение частоты и уровня, присутствующее на выходе реального передатчика заданного типа излучения. Он и одну фиксированную частоту так же сгенерирует без проблем. Или вы в этом сомневаетесь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1411] Автор : RK4CB Дата : 11.02.2023 14:56 Или сигнал/помеха? ну да))) Да на том видео вообще ничего не меняется) Общий уровень падает, типа аттенюации работает. Такое себе. Так два канала TX просто фикция? Я не понял, да особо и не разбирался. Вроде с одного ЦАПа два выхода, попадалась такая инфа, но мельком, мне больше важен был диверсити и пурсигнал. Ну и схема этого TRX DUO, в природе существует? Конечно. Но нам не доступна) Хотелось бы увидеть исполнение входа/выхода. Да там и на фото все видно: фнч со срезом 60 МГц. На обоих приемниках. Ну и разъемы для обхода ФНЧ. А ими желательно управлять из программы... Управление стандартно для гермесоподобных. Тут много по обвязке было написано. Что удобно - можно сразу взять сигнал РТТ, что б усиление делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1412] Автор : RU6AI Дата : 11.02.2023 15:26 Научите пользоваться этой под программой пожалуйста))) В этой ветке попадалось обучающее видео. Я обьяснениями-что нажимать.Там все очень просто..Если оно должно работать- то работает.В качестве антишумовой нужна нормальная антенна.У меня была вертикальная дельта на 7 мгц. Сейчас ничего показать не могу- идет реконструкция антенн...Яги снята, временно повешено куча "веревок" И антишумовой антенны в данный момент нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1413] Автор : RV3DLX Дата : 11.02.2023 15:56 Так два канала TX просто фикция? Там стоит микросхема в которой 2 ЦАПа 14-ти битных. А какие частоты они на выходах могут выдавать определяется программой. В программах типа Тетиса не предусмотрено управление двумя ЦАПами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1414] Автор : RK4CI Дата : 11.02.2023 16:21 В программах типа Тетиса не предусмотрено управление двумя ЦАПами.Так канала на передачу 2, но работать одновременно они не могут? Или только в программах типа Тнтиса? А в каких то других могут? Ведь для работы именно двухтональника, можно и какую то другую программу на время подключить. Хотя конечно это всё на будущее, но сам вопрос интересен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1415] Автор : RV3DLX Дата : 11.02.2023 16:35 Так канала на передачу 2, но работать одновременно они не могут? Я думаю что могут, это нужно спросить у владельцев этого устройства. У меня на работе у коллеги есть Рэд Питайя, он ее использует не в целях связи, в понедельник я у него спрошу. А почему Вам два тона нужно выдавать на два канала? Ведь и на одном канале два тона можно получать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1416] Автор : RK4CI Дата : 11.02.2023 16:50 А почему Вам два тона нужно выдавать на два канала? Ведь и на одном канале два тона можно получать. Для оценки качества работы передающего тракта, этого может и достаточно, а вот для оценки динамики приёмных трактов, параметров при использовании одного канала будет явно недостаточно. При двух отдельных каналах генерации можно просто сложить сигналы, можно чуть усилить, что бы увеличить уровень двухтональника, и получше развязать каналы. Для получения уровня исходной интермодуляции лучше -100 дБс, будет важна даже завязка каналов через цепи питания, общую землю. То есть, для начала глянуть проникновение выхода одного канала, на выход другого. Включив два тона, смотрим выход одного из них, лучше внешней панорамой, на то какие уровни сигналов имеем по основному тону, уровень второго, ну и может и продукты ИМД ещё до внешнего сумматора повылезут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1417] Автор : ra3gn Дата : 16.02.2023 09:10 Привет любителям trx_duo. Поделитель, получилось управлять предусилителем и атт и каким образом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1418] Автор : ra3gn Дата : 18.02.2023 17:44 Использую trx_duo, при атт 0 включен преусилитель, при-10 дб, выключен пред, использую Е1 нога di01_p, нужно управлять атт, но не могу понять, какие ноги должны давать сигнал для управления? Кто нибудь дошел до момента управлением атт? Выскажу свои соображения по работе программ, тетис - это тормоз, есть с чем сравнить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1419] Автор : ua3rmb Дата : 18.02.2023 17:48 есть с чем сравнить. И с чем же? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1420] Автор : rz3qs Дата : 18.02.2023 18:25 тетис - это тормоз Спасибо, открыли глаза. А то думаю, что он не едет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1421] Автор : RC3ZQ Дата : 18.02.2023 18:37 ua3rmb, Присоеденяюсь к вопросу Вашему! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1422] Автор : rz3qs Дата : 19.02.2023 10:00 TRX-DUO с программой Skimmer Server. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1423] Автор : Вячеслав Б Дата : 20.02.2023 19:58 Господа, я понял, что здесь народ, который уже запустил китайский ДУО. Выше я просил, что может кто то поделится запуском. Какой корневой каталог (желательно фото с экрана), какие дальнейшие действия. Я получил это устройство, но запустить не могу ни как. Поделитесь этой тайной. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1424] Автор : ra3gn Дата : 20.02.2023 20:13 В этой ветке есть все для запуска, распаковываем на сд архив Павла(есть ссылка от продавцов из Китая), скачиваем программу (тут есть ссылка от rz3qs) и все работает, я только не могу найти управление атт, но найду обязательно, научился управлять пред усилителем, бпф - ми, придут платы от наших друзей из Китая, получиться работать на передачу. Но сказать, в целом как обещали , что это "Вау" , пока не могу. Решил добавить, фазировать 2 антенны и давить помеху кардиоидой , для меня не актуально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1425] Автор : Вячеслав Б Дата : 20.02.2023 20:44 Ну не ужели трудно указать или ткнуть пальцем на ссылки. Не могу я найти. Уже сто раз прочитал. Программу Тетис в которой можно выбрать ред питайя тоже не могу найти. Всё перелапатил. Не понятно СЕКРЕТ что ли большой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1426] Автор : ra3gn Дата : 20.02.2023 21:49 Нужно выбрать Anan 7000DLE. "Записали прошивку от Павла Демина на флешку. "Вставили флешку в RP и все работает." ( https://github.com/pavel-demin/red-p...s/tag/20220322 (https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20220322) ну и из папки apps\sdr_transceiver _hpsdr файл start.sh скопировать в корень флэшки." https://github.com/ramdor/Thetis-2.9.0/releases/tag/v2.9.0.6 Добавлено через 13 минут(ы): 385292Пока вот так, потом в корпус от телевизионного рессивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1427] Автор : RK4CI Дата : 20.02.2023 21:52 "Вставили флешку в RP и все работает." А без флешки никак? И какой должен быть минимальный объём этой флешки? А то программ накачал, считал что они просто должны быть установлены в компьютере. А здесь вдруг флешка потребовалась, а для неё ведь и место в корпусе надо предусмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1428] Автор : ra3gn Дата : 20.02.2023 21:57 Программа Павла должна быть записана на флешке, у меня флешка на 2 г, в ред питае есть разьем под флешку, программа тетис или "повер..." должна быть запушена на компьютере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1429] Автор : RK4CI Дата : 20.02.2023 22:44 у меня флешка на 2 г Спасибо. Об этой тонкости не знал. С одной стороны наверное намного упрощается установка, и переустановка нужных программ для самой платы. Но тогда возникает другой. Если предполагается использовать RP то как трансивер, то как прибор, это для каждой программы своя флешка? Или всё устанавливается на одну, и есть возможность выбрать нужную программу при подключении к компьютеру? А то так же заказал китайский вариант RP, так надо к её приезду немного подготовится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1430] Автор : UA4HQS Дата : 20.02.2023 22:50 при-10 дб, выключен пред, использую Е1 нога di01_p, нужно управлять атт, но не могу понять, какие ноги должны давать сигнал для управления? 385294 Странно. У Вас на DIO2_P и DIO3_P нет ничего что ли? А зачем у Вас ардуино используется, кстати? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1431] Автор : UA6CT Дата : 20.02.2023 23:18 А скажите, пожалуйста, коллеги. Есть ли какое-то готовое решение УМ-а на 100Вт для китайского DUO? Очень не хочется ваять усилитель с нуля. Проще купить, наверное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1432] Автор : cimos Дата : 21.02.2023 00:19 Есть ли какое-то готовое решение УМ-а на 100Вт для китайского DUO? Часто в объявлениях попадаются готовые варианты при разборе трансиверов. Я себе прикупил платки выходного каскада от Icom 718-го и ФНЧ. Прям на плате усилителя этого товарищ распаял драйвер перед 2SC1971 на uPC2709T, как указано в сервисном описании. Прогнал на столе. Работает замечательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1433] Автор : UA6CT Дата : 21.02.2023 01:38 Работает замечательно Только коэффициент усиления по диапазонам меняется в пределах 10 раз) У меня есть такой оконечник, я его под Оди2 приспособил. Ну зато неубиваемый совершенно) Но это не выход. Во-первых, потому что можно пару лет такое искать и не найти, а во-вторых... Всё равно паять) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1434] Автор : RK4CB Дата : 21.02.2023 04:53 Есть ли какое-то готовое решение УМ-а на 100Вт для китайского DUO? Нет. Точнее и я не нашел. Есть только до 20 ватт, вот такой: https://aliexpress.ru/item/1005003994587053.html?sku_id=12000027678011687&spm=a2g2w.productlist.search_results.121.2bbb4aa6I4vEv4 Коммутацию RT-TX можно взять сразу с платы трансивера, пины тут писали, DIO0P и DIO1P... 385307 проверил - переходит на передачу, все ок. Конечно, нужно сделать развязку через ключ, как на схеме. Думаю, что совсем без пайки не получится. Добавлено через 17 минут(ы): пины тут писали, DIO0P и DIO1P 385308 вот эти, обвел красным, при нажатии TX в программе, эти пины меняют состояние на замкнутое относительно земли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1435] Автор : cimos Дата : 21.02.2023 07:04 Только коэффициент усиления по диапазонам меняется в пределах 10 раз) Было такое, но товарищ все исправил. Всё равно паять) Однозначно) Долго искали готовое решение, но не нашли. Сперва собрали этот проект (https://www.k9ivb.net/munin2/), но пришлось допиливать драйвер. Склонен был к генерации. Вроде все как бы решилось, да вот оконечник подвернулся от Icom, который показал себя сравнительно лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1436] Автор : RC3ZQ Дата : 21.02.2023 10:38 RK4CI, 8гб флешка в Fat32 без проблем. 64гб в extFat не видет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1437] Автор : UA6CT Дата : 21.02.2023 10:56 совсем без пайки не получится Ну, одно дело - распаять соплестрой, а другое - потратить неделю жизни на травление плат, намотку ФНЧ и вот это вот всё.) товарищ все исправил А как он это сделал? Подобрал частотно-зависимую цепочку? А можно подробностей? Очень интересно и нужно) Сперва собрали этот проект На РД100 полно проектов. Тут на форуме Давид N7DDC свой выкладывал. А прямо сейчас я как раз колупаюсь с Ермаковским ПА, тоже на РД100 (схема тоже есть на форуме) - но там мне не свезло с качеством печатной платы, потому и колупаюсь. Потому, кстати, и твердею в намерении покупать готовое.) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1438] Автор : cimos Дата : 22.02.2023 07:57 А как он это сделал? Подобрал частотно-зависимую цепочку? А можно подробностей? Очень интересно и нужно) Подробностей не знаю, делал товарищ. Сейчас все у него в стадии настольной конструкции. Можете ему написать в личку, RN6XC. На РД100 полно проектов. Это точно. Просто печатка тогда хорошая подвернулась, а прокололись с транзисторами на тот момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1439] Автор : ra3gn Дата : 22.02.2023 10:22 Привет любителям trx_duo в папке sdr_transceiver_hpsdr есть программа еще для трансивера под тетис, у нее есть настройки для att rx2, но сигнал для управления атт -10, -20 так пока не увидел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1440] Автор : Вячеслав Б Дата : 22.02.2023 14:01 Господа владельцы РП от китайского производителя хочу поделиться инфой, которая пришла во время игры с таковой платой. Получив её, я долго не мог её запустить так как не знал, что сначала там должен загрузиться софт и пока не загорится синий светодиод соединения не будет. Счастье произошло случайно. Стал проверять то что мне было нужно и выяснил, что всё хорошо работает. Перечислю. Вход РТТ, выход РТТ, выход четырёх линий на декодер диапазонов, включение преампа, управление АТТ. Пока не проверил работу КОДЕКа так как он ещё ко мне не пришёл. Чувствительность обоих приёмников хорошая, забития и перегрузок нет. Пытал её от ОСА 103м. Синусоида с выхода ЦАПа по обоим каналам очень хорошая, но амплитуда маленькая, что и должно наверное быть так как в этой микрухе аналогового канала нет, а идут два симетричных выхода. Проверял на всех диапазонах и порадовало то, что, проверяя на 160 метрах спектроанализатор увидел после основного сигнала следующую частоту только в районе 90 мгц. До этого всё чисто. Второй протокол запустить не смог. Всё проверял только на первом протоколе. Пур сигнал работает отлично, но не пытайтесь проверить его на АНАН 7000. Там согласно первого протокола Пур сигнал не работает, а работает только на АНАН 10 и АНАН 100. Вообще первый протокол по моим измерения заточен под АНАН 100. Там и работает всё, что я описал. Всем успехов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1441] Автор : RK4CI Дата : 22.02.2023 14:34 Чувствительность обоих приёмников хорошая, забития и перегрузок нетЗачем писать "хорошая", и "забития и перегрузок нет". Веть у вас же есть "Оса" Пытал её от ОСА 103м. Вначале используйте её как ГСС. Подайте на вход RP 50 мВ и откалибруйте её. При 50 мВ на входе S метр покажет 59+60 дБ. Затем отключите генератор, и посмотрите показания S метра в полосе 500 Гц. Это будет пороговая чувствительность вашего приёмника. Ну и перегрузить АЦП проблемы обычно не составляет. Оса выдаёт на выход около 1.В. Просто постепенно повышайте выход прибора до максимального уровня. Получите либо забитие приёмника, либо довольно приличный сигнал который приёмник всё же выдержал. И зачем нам знать какая идёт синусоида с ЦАПа? У вас же прекрасный спектроанализатор буквально на соседнем гнезде прибора. Подали на приёмник выход с передающей части и видите во всей красе собственный сигнал. Со всеми его гармошками, спурами, с заворотами из второй зоны Найквиста. И ИМД увидите при двухтональном сигнале. Может даже будет заметна работа программы улучшения линейности. И всё это в реальных цифрах, а не словесное субъективное описание о хорошей чувствительности и прекрасной синусоиде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1442] Автор : ra3gn Дата : 22.02.2023 15:09 Ради таких эмоций человек живет, вчера все было плохо, помогите, а сегодня все отлично и даже дает советы тем, у кого ничего не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1443] Автор : Вячеслав Б Дата : 22.02.2023 16:06 А советов я к стати не даю. Это не благодарная затея. Я просто описал то что получил и если у кого то возникнет вопрос, я с удовольствием поделюсь тем, что знаю. К стати ещё я очень благодарен Sigi за то что он просто взял и написал на почту, что и как надо сделать безо всяких словословий. СПАСИБО SIGI 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1444] Автор : RV3DLX Дата : 22.02.2023 16:16 Вот тут человек просто написал о своих успехах по использованию этого аппарата, который у него в руках всего то 3 дня. А тут уже и критика пошла. Если бы Вы знали, сколько СДР конструкций Вячеслав сделал своими руками ( да и не только СДР). И уж как измерять всякие перегрузки и как пользоваться Осой его учить не нужно. Всем успехов! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1445] Автор : UA6CT Дата : 22.02.2023 16:46 очень благодарен Sigi за то что он просто взял и написал на почту, что и как надо сделать безо всяких словословий. Ну так и выложили бы сюда. С тегами "инструкция", "как запустить Red Pitaya" итд, чтобы можно было поиском найти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1446] Автор : RK4CI Дата : 22.02.2023 16:58 А тут уже и критика пошла Это не критика, это желание знать реальные цифры измерения параметров, и желательно из разных источников. Что бы было с чем сравнивать. И меня до сих пор интересует одновременная работа двух генераторов. Ну и если это возможно, то реальное проникновение сигнала одного канала, в другой. Вполне может оказаться, что это возможность получения качественного двух тонального сигнала с ИМД лучше -100 дБс. На днях запустил аналоговую часть приёмника, а проверить параметры особо не чем. Оса двухтональник до -60, у Гермеса чуть лучше, под -75, примерно то же что и в передающем на выходе смесителя, там до -80. Но ограничен разнос частот. Но всё равно для нормального измерения динамики приёмника маловато... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1447] Автор : ra3gn Дата : 22.02.2023 17:49 Любая работа руками и головой соответственно, это творчество, какое удовлетворение можно получать от того , что тебе по пунктам написали , что делать, я считаю, если что то получилось - это удача и ее надо "ловить" и получать эмоциональное удовлетворение. Из изветного фильма: раньше были люди , а сейчас .....(спасибо капиталистам). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1448] Автор : RK4CI Дата : 22.02.2023 18:02 И всё таки, если собираюсь использовать DUO и как прибор, и как трансивер, можно обойтись одной флешкой, или для каждой программы нужна своя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1449] Автор : ra3gn Дата : 22.02.2023 18:07 На флешке записаны все программы, их можно запускать путем копирования файла запуска, например,"apps\sdr_transceiver _hpsdr файл start.sh скопировать в корень флэшки.", есть другой вариант, использование браузера, но нужен интернет на компьютере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1450] Автор : UA4HQS Дата : 22.02.2023 18:44 Не нужен интернет. Если в корне нет start.sh, то браузером http://192.168.1.100 и выбирайте из меню что нужно. там index.html на флешке:
  • LED blinker
  • SDR transceiver
  • SDR transceiver compatible with HPSDR
  • SDR receiver compatible with HPSDR
  • Embedded SDR transceiver
  • Wideband SDR transceiver
  • Multiband WSPR transceiver
  • Multiband FT8 transceiver
  • Pulsed NMR system
  • Multichannel Pulse Height Analyzer
  • Vector Network Analyzer
  • -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1451] Автор : RK4CB Дата : 22.02.2023 19:59 какое удовлетворение можно получать от того , что тебе по пунктам написали , что делать Ну нет, Владимир, не соглашусь. Получается, что ты быстрее пройдешь уже пройденный путь и ступишь на неизведанный путь, которым поделишься в свою очередь. Обычно это работает так) Но если человек остановится на том, что ему написали - ну тут да, не стоило и время тратить на него. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1452] Автор : ra3gn Дата : 23.02.2023 06:20 rz3qs Евгений, поделитесь пожалуйста файлом : https://github.com/DH1KLM/Thetis-2.9...es-yevgeni.zip (https://github.com/DH1KLM/Thetis-2.9.0.x/releases/download/2.9.0.x/Thetis-installfolder-files-yevgeni.zip) Нет его, к сожалению , на гитхабе. Почта: ra3gn@mail.ru -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1453] Автор : Вячеслав Б Дата : 23.02.2023 12:51 385372 Вот это мой новый проект с РП. Здесь уже всё работает с РП на АНАН 100. Сейчас это расположение и оно уйдёт в корпус, который тоже уже есть. Второй приёмник будет иметь, а вернее уже имеет переключение или на свою антенну или на трансвертор, который тоже уже проверен на РП. Всем спасибо за поддержку. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1454] Автор : Александр SHF Дата : 27.02.2023 00:58 Коллеги приветствую !, занимаемся освоением LW 136 кГц на коллективке R2BM. Приобрели клон RP-DUO, но в режиме "extendet" нет передачи на частотах ниже 200 кГц в Power SDR и в Thetis. Помогите заставить работать на 136 кГц (диапазон 2200м). Заранее благодарен за помощь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1455] Автор : rz3qs Дата : 28.02.2023 10:54 в режиме "extendet" нет передачи на частотах ниже 200 кГц в Power SDR и в Thetis. В Thetis у меня тоже нет ниже 200 кГц, а в mRX PS работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1456] Автор : Вячеслав Б Дата : 01.03.2023 11:54 https://aliexpress.ru/item/32775839699.html?spm=a2g2w.orderdetail.0.0.4c824aa6uWdksp&sku_id=62460367725 Добрый день всем. Вот вроде бы всё работает, но приехал аудиокодек и работать не хочет. Кто то может подсказать правильную распиновку модуля (Высококачественный аудиомодуль WM8731 с микрофонным линейным наушником, совместимым с ПЛИС-платой) , что и куда идёт и откуда брать. другого модуля не нашёл в продаже. У меня TRX-DUO и не понятно как и с какими ногами его состыковать. Распиновку, которую дают на него прикладываю: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1457] Автор : UA4HQS Дата : 01.03.2023 12:33 Распиновка, которая работает у меня, в 1305 сообщении этой темы. Я еще резисторы на i2c на 1 ком поменял, с 10к глючил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1458] Автор : Вячеслав Б Дата : 01.03.2023 16:21 Спасибо. Буду разбираться только вот не понял про какие резисторы идёт речь. Вы наверное имели ввиду резисторы 114 и 115 на кодеке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1459] Автор : Вячеслав Б Дата : 01.03.2023 19:03 Как я смог понять все эти схемы и картинки для РП не китайского производства, а вот в TRX-DUO не могу найти DADLRC. Хотел бы увидеть именно для TRX-DUO, а не для оригинала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1460] Автор : UA4HQS Дата : 01.03.2023 20:55 Буду разбираться только вот не понял про какие резисторы идёт речь. Вы наверное имели ввиду резисторы 114 и 115 на кодеке? Да. Добавлено через 7 минут(ы): Буду разбираться только вот не понял про какие резисторы идёт речь. Вы наверное имели ввиду резисторы 114 и 115 на кодеке? Да. не могу найти DADLRC Там на картинке опечатка. DACLRC. Хотел бы увидеть именно для TRX-DUO, а не для оригинала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1461] Автор : Вячеслав Б Дата : 01.03.2023 22:40 Спасибо за информацию. Она очень интересна, но к сожалению просмотрев сигналы нужные осциллографом, я не увидел ни одного сигнала. Перед этим немного раньше какие то сигналы были, но сейчас ничего нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1462] Автор : Вячеслав Б Дата : 02.03.2023 18:58 Добрый вечер. Вывод не утешительный. Информации прислали много и за это я благодарен всем участникам. Из той информации, которая приехала, я опробовал всё аудиокодек так и не заработал. Я склонен к тому, что всё зависит от программы на флешке. Рекомендации очень хорошие, но они для оригинала, а для клона они пока не написаны. При подключении кодека связь TRX-DUO с компом, судя по загоранию синего св-диода, устанавливается, но при этом программы связи (тетис, мрх) не запускаются. Стоит только плату кодека отсоединить от TRX-DUO как всё начинает работать. Трансивер собран полностью и работает хорошо. (есть с чем сравнивать). Хорошие оценки и в эфире. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1463] Автор : UA4HQS Дата : 02.03.2023 19:27 У меня работает DUO c кодеком. Прошивка, вернее, firmware от Павла Демина, без изменений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1464] Автор : Вячеслав Б Дата : 02.03.2023 20:15 Добрый вечер. По вашим данным делал всё. Поделитесь прошивкой пожалуйста. Конечно можно и на VAC-ке работать, но это не совсем удобно. Спасибо за вашу информацию. Вячеслав. И вот ещё вопрос. Сколько ждёте пока пройдёт загрузка? Я считаю до 50 и потом включаю программу и всё работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1465] Автор : RC3ZQ Дата : 02.03.2023 20:33 Вячеслав Б, Там выше писали чио нужно резисторы подтягивающие по i2c уменьшить. А ще выше схема подключения была. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1466] Автор : Вячеслав Б Дата : 02.03.2023 21:30 Да, всё видел, всё пробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1467] Автор : RK4CI Дата : 02.03.2023 22:21 Конечно можно и на VAC-ке работать, но это не совсем удобно. В чём заключается неудобство. У меня в Гермесе есть собственный выход на наушники. Но мне удобнее работать через звуковую карту компьютера. Через родной НЧ выход Гермес по моему ни разу и не слушал. При использовании кодека уменьшается время задержки сигнала? Ниже искажения? Может есть ещё какие нюансы? У себя на это просто не обратил внимания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1468] Автор : UA4HQS Дата : 03.03.2023 06:14 В чём заключается неудобство. У меня в HiqsdrMini вообще кодека нет. Главное неудобство в том, что невозможно телеграфом с манипулятора работать из-за большой задержки самоконтроля. Вернее, возможно, я делал через Cwtype и подключенный через com-порт манипулятор. При этом качество манипуляции оставляет желать лучшего, работать в принципе можно, но неприятно. И на RP / DUO этот фокус не пройдет. Дэвид в последней прошивке миника сделал PCM выход звука прямо с ПЛИС, это решает проблемы с задержкой, но тоже костыль.. Можно просто внешний ключ со своим генератором, но как быть с самоконтролем в наушниках, я не придумал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1469] Автор : Александр SHF Дата : 03.03.2023 11:40 Евгений спасибо за ответ, с mRX работает, но теперь еще одна проблема : подключил к соответствующим портам телеграфный манипулятор, при нажатии в режиме CW сразу переходит в режим ТХ, но манипуляции нет, линии PTT in-out работают, при включении TUNE появляется тональный сигнал, но модуляции нет, в других режимах SSB, DIGI все нормально. Внешнюю звуковую не подключал, звук через VAC. Нужен телеграфный режим, подскажите что проверить? Заранее благодарен. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1470] Автор : UA4HQS Дата : 03.03.2023 13:21 подключил к соответствующим портам телеграфный манипулятор, при нажатии в режиме CW сразу переходит в режим ТХ, но манипуляции нет А Вы галку "Iambic" не забыли поставить? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1471] Автор : Александр SHF Дата : 03.03.2023 13:54 Режим "iambic" включен, при нажатии на токи или тире, только переходит в режим ТХ, манипуляции нет, тона нет (:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1472] Автор : kgleb Дата : 03.03.2023 14:49 А где вы пытаетесь услышать тон? В компьютере его не будет ни при каких условиях. Только в кодеке. Если он подключён. Так устроен Power SDR (Thetis). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1473] Автор : Вячеслав Б Дата : 03.03.2023 23:40 В чём заключается неудобство. У меня в Гермесе есть собственный выход на наушники. Но мне удобнее работать через звуковую карту компьютера. Через родной НЧ выход Гермес по моему ни разу и не слушал. При использовании кодека уменьшается время задержки сигнала? Ниже искажения? Может есть ещё какие нюансы? У себя на это просто не обратил внимания. У меня в ГЕРМЕСЕ тоже есть даже два AF выхода и я привык уже так лет за десять к этому положению. Без кодека не работают движки MFSTER AF и вот это как раз и не удобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1474] Автор : Александр SHF Дата : 04.03.2023 00:47 Коллеги спасибо за помощь, с CW все впорядке, действительно просто нет самоконтроля без внешнего кодека,в общем вcе работает. Возник другой теперь вопрос, Павел в форуме по RP писал, что реализовал включение TX в режиме CW через PTT, чтобы решить проблему переходных процессов, отработку коммутации антенных реле и.т.д. к сожалению у меня не работает это, при нажатии манипуляторова сразу переход в TX. Понимаю, что можно сделать внешний секвенсор и блокировать работу телеграфного манипулятора на время задержки, но может можно штатно решить вопрос в программе ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1475] Автор : ra3gn Дата : 04.03.2023 10:57 придется передавать из контест лога, не могу убрать картинку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1476] Автор : Вячеслав Б Дата : 04.03.2023 14:33 Ua4hqs, не ужели трудно поделиться прошивкой? Или мне тоже надо написать, что у меня не только всё работает, но стоит в 74 года? Стыдно, просто стыдно...... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1477] Автор : RK4CI Дата : 04.03.2023 17:35 Приехал и ко мне сегодня китайский клон RP, TRX DUO. Запечатан неплохо, но никакой сопроводительной документации, описания. Единственное, в комплекте шнур. Похоже для подключения питания к USB порту компьютера. Далее всё решайте сами. Приготовил флешку, под USB 2. При ближайшем рассмотрении таких гнёзд на приборе не оказалось. Конечно нашёлся переходник, но собственно чем думал когда покупал, флешку имеется ввиду. Рядом гнездо для карты памяти, и похоже программы можно запускать и с неё. Кто пользуется уточните. Не хотелось бы что бы рядом висел хвост с флешкой, подходит ли к TRX карты памяти для запуска программ. Если да, то какие и примерно какого объёма. Ну и несколько программ RP скачивал. VNA, Alpine. Что именно загружается на флешку, а что устанавливается на компьютер? Если программ СДР трансиверов достаточно много, то например что надо установить на компьютер что бы пользоваться RP как VNA пока не слишком понятно. Да, и можно ли подключить TRX к уже установленной на компьютере программе Гермеса. Насколько помню в программе есть адрес, по которому происходит обмен информацией между компьютером и платой трансивера. На плате Гермеса у меня был указан адрес прямо на плате. Как всё это происходит в данной случае. TRX имеет постоянный адрес, или его можно выбрать произвольно? Ну и где он прописывается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1478] Автор : rz3qs Дата : 04.03.2023 17:46 и ко мне сегодня китайский клон RP, TRX DUO. Перед покупкой можно было посмотреть это кино. Море ссылок при вопросе о TRX-DUO. А уж про Red Pitaya еще больше. https://www.youtube.com/watch?v=teks8v3u8F0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1479] Автор : Вячеслав Б Дата : 04.03.2023 17:55 Приехал и ко мне сегодня китайский клон RP, TRX DUO. Запечатан неплохо, но никакой сопроводительной документации, описания. Единственное, в комплекте шнур. Похоже для подключения питания к USB порту компьютера. Далее всё решайте сами. Приготовил флешку, под USB 2. При ближайшем рассмотрении таких гнёзд на приборе не оказалось. Конечно нашёлся переходник, но собственно чем думал когда покупал, флешку имеется ввиду. Рядом гнездо для карты памяти, и похоже программы можно запускать и с неё. Кто пользуется уточните. Не хотелось бы что бы рядом висел хвост с флешкой, подходит ли к TRX карты памяти для запуска программ. Если да, то какие и примерно какого объёма. Ну и несколько программ RP скачивал. VNA, Alpine. Что именно загружается на флешку, а что устанавливается на компьютер? Если программ СДР трансиверов достаточно много, то например что надо установить на компьютер что бы пользоваться RP как VNA пока не слишком понятно. Да, и можно ли подключить TRX к уже установленной на компьютере программе Гермеса. Насколько помню в программе есть адрес, по которому происходит обмен информацией между компьютером и платой трансивера. На плате Гермеса у меня был указан адрес прямо на плате. Как всё это происходит в данной случае. TRX имеет постоянный адрес, или его можно выбрать произвольно? Ну и где он прописывается? Добрый день. Да в этом клоне придается только шнур питания и всё. Игрушка запускается с SD карты, которая вставляется в слот под разъёмом питания (USB-C). На компе нужно установить программу Thetis или PowerSDR mrx PS. После включения питания надо дождаться когда загорится синий светодиод TRX-DUO. Это правее и чуть ниже разъёма питания, и только после этого можно запускать, установленную на компе программу. Ждать пока загорится св-диод надо примерно одну минуту. Вот пожалуй первые шаги для запуска. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1480] Автор : RK4CI Дата : 04.03.2023 18:10 можно было посмотреть это кино. Море ссылок при вопросе о TRX-DUO. А уж про Red Pitaya еще больше. https://www.youtube.com/watch?v=teks8v3u8F0 А толку то в таком кино? Я даже языка определить не могу на котором идёт рассказ. Для тех у кого проблем с иностранными языками нет, конечно намного проще. Можно было бы и до покупки, прямо на сайте продавца, что то похожее посмотреть... Игрушка запускается с SD карты, которая вставляется в слот под разъёмом питания (USB-C). А что именно должно быть записано на этой карте? Ну и какую карту используете? И адрес платы для обмена информацией с компьютером, где он прописан? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1481] Автор : Вячеслав Б Дата : 04.03.2023 19:06 А толку то в таком кино? Я даже языка определить не могу на котором идёт рассказ. Для тех у кого проблем с иностранными языками нет, конечно намного проще. Можно было бы и до покупки, прямо на сайте продавца, что то похожее посмотреть... А что именно должно быть записано на этой карте? Ну и какую карту используете? И адрес платы для обмена информацией с компьютером, где он прописан? Карту можно и 4ГГб. Фат 32. У меня ссылки нет, но здесь на форуме есть ссылки с прошивками. Соединять по LAN с роутером. IP адрес увидел только в программе управления на компе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1482] Автор : RK4CI Дата : 04.03.2023 19:39 А если нет роутера? У меня Гермес был подключен напрямую к компьютеру. В ссылке которую дали выше, там вроде по вайфай RP подключали. Но не понятно где брать адрес Перенёс программу что показана в ссылке на флешкарту. Вставил в RP, подключил питания через USB 2. По USB 3 питание не пошло, у компьютера просто гаснет экран. Вентилятор крутится, горит зелёный светодиод левее карты памяти, компьютер никак не реагирует. Может большой объём флешки, 32 ГГб, и она не определяется? Есть карта памяти в планшете, но она так же на 32 ГГб. И у меня 3 светодиода. Под разъёмом USB, под разъёмом UART, и правее разъёма USB питания. Какой из этих диодов должен гореть синим? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1483] Автор : ra3gn Дата : 04.03.2023 19:52 rk4ci Не надо использовать роутер, соединяйте по сети комп. trx-duo. Синий свеодиод рядом с флешкой. Сделайте сетевой адрес компьютера 192.168.1.50 У продавца дуо есть информация по быстрому старту. https://drive.google.com/file/d/1_PL2uzQUZsRnVVM2YfEZz9fLbAYRF3bT/view?usp=sharing https://m.youtube.com/watch?v=teks8v3u8F0 385779 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1484] Автор : RK4CI Дата : 04.03.2023 20:15 Сделайте сетевой адрес компьютера 192.168.1.50 Как я понимаю, пока не горит синий светодиод это бесполезно. Вообще кто пользовался USB флешкой? Какой максимальный объём способна увидеть RP? И может я её вообще не в то гнездо втыкаю? Три вряд, и все одного типа, USB C. Вроде понятно, есть гнездо USB, а вдруг они что то перемудрили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1485] Автор : ra3gn Дата : 04.03.2023 20:23 не, гнездо usb-c это для питания, справа есть узкая щель, куда нужно вставить карту , эта карта называется micro sd. снизу написано tf-cаrd, чтобы работать с картой нужно иметь адаптер. 385780 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1486] Автор : RK4CI Дата : 04.03.2023 21:06 эта карта называется micro sd.Так нет пока под руками карты памяти. И была информация что программа может запускаться и с флешки. Могу вынуть карту из планшета. Но на ней есть какая то информация, и она так же довольно большого объёма. Был не готов что флешка может не пойти. Добавлено через 39 минут(ы): не, гнездо usb-c это для питания, Для питания точно такое же правое гнездо. А самое левое обозначено как USB. Так может в самом деле, с флешками, TRX DUO не работает? Нашёл на 16 ГГб. Пока так же никаких результатов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1487] Автор : Вячеслав Б Дата : 04.03.2023 21:29 Так нет пока под руками карты памяти. И была информация что программа может запускаться и с флешки. Могу вынуть карту из планшета. Но на ней есть какая то информация, и она так же довольно большого объёма. Был не готов что флешка может не пойти. Добавлено через 39 минут(ы): Для питания точно такое же правое гнездо. А самое левое обозначено как USB. Так может в самом деле, с флешками, TRX DUO не работает? Нашёл на 16 ГГб. Пока так же никаких результатов. Это всё конечно хорошо, что народ пишет. Я долго просил, чтобы направили. IP адрес у каждого устройства свой. У меня например 192.168.1.131. Как в компе увидеть IP устройства я не знаю, в роутере надо зайти в его настройки и посмотреть подключенные устройства. Можно срастить с компом, но при этом мне пришлось сделать в компе выделенный адрес IP, но прописывать опять же именно адрес устройства. С флешки запускать не пробовал. С СД карты помучался, но потом пошло так как описал выше. К стати по питанию. Не валяйте дурака так как питание ДУО от 1.5А до 1.9 Если будете использовать мощную зарядку от мобильника, то имейте ввиду, что если у неё есть функция БЫСТРЫЙ ЗАРЯД, то зарядка может выдать напряжение гораздо выше +5В. И ваше ДУО прикажет долго жить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1488] Автор : ua3rmb Дата : 04.03.2023 21:39 Адрес сканирует сдр консоль. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1489] Автор : r4ii Дата : 04.03.2023 21:42 RK4CI В видео https://www.youtube.com/watch?v=teks8v3u8F0 можно включить титры на русском языке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1490] Автор : UA4HQS Дата : 04.03.2023 22:25 Ua4hqs, не ужели трудно поделиться прошивкой? Или мне тоже надо написать, что у меня не только всё работает, но стоит в 74 года? Стыдно, просто стыдно...... Вячеслав. Я рад, что у Вас стоит в 74 года. Прошивку, с которой у меня все работает, Вы можете скачать с гитхаба. Ссылку я Вам в личку дал еще позавчера. Это та же самая прошивка, что и у всех. Никакой волшебной прошивки у меня нет. Надеюсь, что у Вас в 74 года стоит не напрасно. Удачи Вам. И да, мне - не стыдно. Не за что стыдиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1491] Автор : RK4CI Дата : 04.03.2023 23:51 питание ДУО от 1.5А до 1.9 Пока просто подключаю его к порту USB 2 компьютера. В стационарном режиме будет питаться от 5 В идущих напрямую от БП компьютера. Думаю потянет... В видео https://www.youtube.com/watch?v=teks8v3u8F0 можно включить титры на русском языке Да, спасибо нашёл. Но что то синяя лампочка так и не загорелась. Может она должна загораться когда установится связь с компьютером? Найти IP адрес так и не смог. Проверил переходноик с USB 2 на USB C, с планшетом всё работает, исправно читает информацию записанную на флешке. Единственное на что можно грешить, что и 16 ГГб для TRX DUO, это многовато, и он просто такую флешку не определяет. Продолжу завтра. И карту памяти прикуплю, ну и помощь с определением адреса будет. Ну и здесь писали что на карту можно записать сразу несколько вариантов программ для DUO. Как это будет выглядеть в реальности. Ведь пока какая нибудь программа не загружена, нет связи с компом. А что бы выбрать нужную программу, надо увидеть программы находящиеся на карте памяти, и выбрать нужную. Какой то замкнутый круг получается. Это опять какая то дополнительная програмка? Может с ней проще будет и сам TRX DUO запустить? Добавлено через 12 минут(ы): Если в корне нет start.sh, то браузером http://192.168.1.100 и выбирайте из меню что нужно. Попытался открыть ссылку, что бы скачать этот браузер. НО выскакивает информация об ошибке. Может можно скачать его где то ещё? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1492] Автор : rz3qs Дата : 05.03.2023 00:00 Попытался открыть ссылку, что бы скачать этот браузер. Ваши действия - это тихий ужас. Спрячьте подальше плату и почитайте, что, как и куда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1493] Автор : RK4CI Дата : 05.03.2023 00:33 Ваши действия - это тихий ужас. Хорошо хоть тихий. Например в загруженных на флешку программах не нашёл этого файла start.sh. Его что, и не должно там быть? А как же запуск программы? Или этот файл запускает что то другое? Вопросов возникает куча. Например так никто и не ответил на вопрос о максимальном размере памяти, который поддерживает процессор в TRX DUO. И почитал бы с удовольствием, да особо негде. А в теме уже почти 1500 сообщений. Найти что то, даже если оно здесь и есть, весьма проблематично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1494] Автор : Александр SHF Дата : 05.03.2023 02:54 Добрый день. Могу помочь, с запуском, если дадите удаленный доступ. Флешку( SD карту) надо отформатировать в Fat32, объем нужен не меньше 4 Гб, есть для этого утилиты одна из них: https://www.youtube.com/watch?v=50Twvw6TIhA После форматирования скачайте отсюда (https://drive.google.com/file/d/1_PL2uzQUZsRnVVM2YfEZz9fLbAYRF3bT/view?spm=a2g2w.detail.0.1.487e7544u6uOTe&usp=sharing)https://drive.google.com/file/d/1_PL2uzQUZsRnVVM2YfEZz9fLbAYRF3bT/view?usp=sharing файлы и запишите после распаковки архива содержимое файла FW_alpine-3.14-armv7-20220322 на sd карту . Настройте в параметрах сетевой карты статический адрес например: 192.168.1.50 После включения sdr trx соединения по сети и загрузки ОС в адресной строке браузера наберите 192.168.1.100 , появится страница с выбором приложения: LED blinker (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/led_blinker) SDR transceiver (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/sdr_transceiver) SDR transceiver compatible with HPSDR (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/sdr_transceiver_hpsdr) SDR receiver compatible with HPSDR (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/sdr_receiver_hpsdr) Embedded SDR transceiver (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/sdr_transceiver_emb) Wideband SDR transceiver (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/sdr_transceiver_wide) Multiband WSPR transceiver (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/sdr_transceiver_wspr) Multiband FT8 transceiver (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/sdr_transceiver_ft8) Pulsed NMR system (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/pulsed_nmr) Multichannel Pulse Height Analyzer (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/mcpha) Vector Network Analyzer (file:///C:/Users/Alexander/Desktop/RP/red-pitaya-alpine-3.14-armv7-20220322%20(2)/apps/vna) выбирайте пунк 3 , появится другая страница SDR transceiver compatible with HPSDR The SDR transceiver is ready. Now you can run the SDR programs that support the HPSDR (http://openhpsdr.org/)/Metis (http://openhpsdr.org/metis.php) protocol. More details about this application can be found at this link (http://pavel-demin.github.io/red-pitaya-notes/sdr-transceiver-hpsdr/). SDR programs Here is a list of the SDR programs that are known to work with this SDR transceiver: PowerSDR mRX PS (http://openhpsdr.org/wiki/index.php?title=PowerSDR) that can be downloaded from this link (https://github.com/TAPR/OpenHPSDR-PowerSDR/releases) QUISK (http://james.ahlstrom.name/quisk) with the hermes/quisk_conf.py configuration file ghpsdr3-alex (http://napan.ca/ghpsdr3) client-server distributed system Далее закрывайте страницу, запускайте программу SDR в настройках установите сетевой адрес 192.168.1.100. Выбирите setup-radio model-anan 7000 dle. В настройках аудио выбирите используемую звуковую карту in-out или виртуальный аудиокабель. Нажимайте Power. все должно заработать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1495] Автор : RK4CI Дата : 05.03.2023 06:09 Флешку( SD карту) надо отформатировать в Fat32, объем нужен не меньше 4 Гб,А каков максимальный объём флешки, которую может увидеть TRX DUO? Есть в наличии 16 ГГб версия. Единственное что не пробовал её фарматировать. Ну и не дали информации по загоранию синего светодиода. Он должен загореться до соединения с компьютером, или это возможно и после. Я пока ориентируюсь только на это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1496] Автор : RK4CI Дата : 05.03.2023 07:10 Ну и остаётся вопрос о запитке платы от USB 2 порта компьютера. Тем шнуром/переходником что шёл в комплекте при покупке. Вроде до 2 А этот порт должен тянуть. Вначале попытался подключить по USB 3. Но у компьютера сходу гаснет экран. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1497] Автор : ra3gn Дата : 05.03.2023 08:20 C питанием нужно осторожно, там более Ампера, я подаю 5 вольт через разъем Е , который справа, если смотреть со стороны передней панели, продавец дал распиновка этих разъемов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1498] Автор : RU6AI Дата : 05.03.2023 08:30 Пока просто подключаю его к порту USB 2 компьютера. Найдите любую зарядку от сотового...Максимальный ток потребления от USB компьютера 500 ма. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1499] Автор : ra3gn Дата : 05.03.2023 08:34 Не советую экспериментировать с зарядами от сотового, некоторые могут управлять выходным напряжением, оно может быть более 5 в. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1500] Автор : RU6AI Дата : 05.03.2023 09:05 С питанием вообще лучше не экспериментировать.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1501] Автор : RK4CI Дата : 05.03.2023 09:25 я подаю 5 вольт через разъем Е , который справа, если смотреть со стороны передней панели, Но для этого придётся вскрывать корпус DUO, а этого делать не хотелось бы. Ну а если вскрывать, то можно проконтролировать что там приходит с USB порта компьютера.. Сходил приобрёл карту памяти. Опять минимальный объём оказался 8 ГГб. Вроде писали что 4, это минимальный. Теперь проблема отформатировать её, и перенести программу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1502] Автор : ra3gn Дата : 05.03.2023 09:31 Я верх снял, сделал лучше охлаждение и мне нужна необходимость подключения к двум разьемам внутри. 385796Форматировать карту можно в виндовс, проводник , свойство диска , сервис, форматирование , Есть в другом месте у виндовс, панель управления, администрирование, управление дисками, там тоже можно форматировать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1503] Автор : RK4CI Дата : 05.03.2023 09:46 Я верх снял, сделал лучше охлаждение и мне нужна необходимость подключения к двум разьемам внутри. Так у меня плата возможно будет устанавливаться вообще без корпуса. Вентилятор в отсеке предусмотрен. Питание сейчас заводится 12 В, надо кнопку включения перекинуть на 5 В. Но пока не запустил, вскрывать не хочется. Вдруг придётся предъявлять какие то претензии продавцу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1504] Автор : ra3gn Дата : 05.03.2023 09:53 https://www.youtube.com/watch?v=50Twvw6TIhA Вам уже давали информацию использования специализированных программ(r2bm), лучше пользоваться этим средством, я пользуюсь gparted. 385797 Чтобы перенести программу, нужно zip файл( FW_alpine-3.14-armv7-20220322) извлечь на карту памяти, указать путь куда извлекать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1505] Автор : RK4CI Дата : 05.03.2023 09:57 лучше пользоваться этим средством.В моём компьютере просто нет гнёзд для подключения карт памяти. В планшете установлена только одна, и на ней установлена система, так что на время не заменишь. Сын обещал подвезти картридер, вот жду. Да и с установкой адресов проблем не будет. Если конечно сама плата запустится... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1506] Автор : Вячеслав Б Дата : 05.03.2023 11:43 Вячеслав. Я рад, что у Вас стоит в 74 года. Прошивку, с которой у меня все работает, Вы можете скачать с гитхаба. Ссылку я Вам в личку дал еще позавчера. Это та же самая прошивка, что и у всех. Никакой волшебной прошивки у меня нет. Надеюсь, что у Вас в 74 года стоит не напрасно. Удачи Вам. И да, мне - не стыдно. Не за что стыдиться. Спасибо. Добавлено через 10 минут(ы): Так у меня плата возможно будет устанавливаться вообще без корпуса. Вентилятор в отсеке предусмотрен. Питание сейчас заводится 12 В, надо кнопку включения перекинуть на 5 В. Но пока не запустил, вскрывать не хочется. Вдруг придётся предъявлять какие то претензии продавцу. Я извиняюсь, хотел немного подлить масла в огонь. Дело ещё вот в чём. Все три микросхемы, а это FPGA, ACP1, ACP2 без нормального охлаждения греются очень прилично и если начнётся перегрев, который мы часто не замечаем, то АЦП-шки тухнут и могут совсем отключиться. Я в начале тоже планировал без корпуса, а в итоге когда понял почему вдруг пропала чувствительность снова поместил всё в корпус и всё работает теперь сутками стабильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1507] Автор : Вячеслав Б Дата : 05.03.2023 15:40 UA4HQSспасибо вам за веру конечно, но на самом деле это всего только мои фантазии. Я вот к чему. На этом форуме более 1500 сообщений и искать иголку в стоге сена затея не благодарная. Я также не знаю сколько сообщений по поводу TRX-DUO и этого наверное ни кто не знает. В основной своей массе здесь только бездоказательные слова и ссылки. Так же как и я сказал бездоказательно, что где то что то у кого то стоит. Кто ни будь может показать в видео, что у него действительно на DUO стоит вот такой кодек, который я сейчас покажу в видео и сто действительно работают в программе SVR and Power то есть прямая и обратная волна через аналоговые входа работают в DUO. https://youtu.be/1wio-sGvdpY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1508] Автор : RC3ZQ Дата : 05.03.2023 15:59 Вячеслав Б, Видео ваше видимо не полностью загружено. Так если приходят сигналы на аналоговые входы то swr metr в PSDR не реагирует совсем ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1509] Автор : Вячеслав Б Дата : 05.03.2023 17:27 Вячеслав Б, Видео ваше видимо не полностью загружено. Так если приходят сигналы на аналоговые входы то swr metr в PSDR не реагирует совсем ? Именно так и есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1510] Автор : RC3ZQ Дата : 05.03.2023 17:43 Вячеслав Б, Я ещё до этого момента не добрался, максимум на аналоговом входе если не ошибаюсь 3.3в. Я думаю даже от касания пинцетом должно все-таки в PSDR что то менять на шкале swr или измерителя мощности. Вы anan 7000 в настройках указали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1511] Автор : RK4CI Дата : 05.03.2023 17:58 Запустился мой DUO. Проблема оказалось самой банальной. Не пожелал он видеть флешки объёмом 32 и 16 ГГб по USB входу. с 8 ГГб картой памяти, всё пошло без проблем. Был назначен адрес, плата благополучно увидела программу Гермеса, ну и запустилось всё со старыми настройками. Провёл калибровку. Пороговая чувствительность, это показания S метра при отсутствии сигнала на входе, оказалась -109 дБм в полосе 2,9 кГц, и -117 дБм в полосе 500 Гц. Импеданс входной части так же особо не блещет. У меня в аналоговой части всё гораздо ровнее. Посмотрю как в сравнении с Гермесом. Но там всё должно быть почти идеально. Сам спектр шума какой то рваный, неприятный. И прослушивается даже при силе сигнала на входе 50 мкВ. Тон идёт чисто, без искажений. Максимальный уровень который смог подать на плату, почти +10 дБм. Это чуть более 0,5 В. Так что динамика по забитию получается под 120 дБ даже при полосе 2,9 кГц. 385825385826 Это скрины с измерениями импеданса входа 1 и 2 приёмников. Что бы получить нормальные АЧХ у ДПФ, желательно выполнять их подстраиваемыми. Ну или заниматься входной частью на самой плате. Надо будет посмотреть что там нагорожено. Ещё есть двухтональник и КГ на частоты около 9 мгГц. Посмотрю что за опорник установили китайцы при такой цене. Точность установки частоты, на диапазоне 3,6 мгГц, практически с точностью до герца совпали с тем что выдаёт Оса. Правда при перестройке приёмника, показания частоты сигнала под панорамой могут не совпадать с частотой генератора на входе на 10-20 Гц. При настройке по нулевым биениям, совпадение идеальное, в том числе и циферек под панорамой. Передающую часть посмотреть не успел. Так же интересно посмотреть его собственный двухтональник, ну и поискать возможность работы двух генераторов одновременно. Ну и интересны возможности TRX DUO при работе в режиме VNA. Его возможность в режиме спектроанализатора понятны. Только не особо радует неидеальность импеданса входа. Что при работе тем же измерителем АЧХ, может заметно исказить показания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1512] Автор : RC3ZQ Дата : 05.03.2023 18:05 Очень странно, там же трансформаторы либо 2:1 , либо 4:1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1513] Автор : Вячеслав Б Дата : 05.03.2023 18:26 Вячеслав Б, Я ещё до этого момента не добрался, максимум на аналоговом входе если не ошибаюсь 3.3в. Я думаю даже от касания пинцетом должно все-таки в PSDR что то менять на шкале swr или измерителя мощности. Вы anan 7000 в настройках указали? Нет. У меня работает АНАН 100 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1514] Автор : RK4CI Дата : 05.03.2023 18:33 Очень странно, там же трансформаторы либо 2:1 , либо 4:1. Ну то что есть. Измерения проводил только что, для двух входов приёмника. Ну и спасибо всем кто помогал с запуском. Запустил не сам, помогал сын. Сделал всё по инструкции в сообщении 1494. Требовал программу с возможность выбора Анан 7000.Но потом всё запустилось просто с Гермесом. До этого там стоял другой выбор. Ну и придётся устанавливать другую программу СДР. Та что установлена сейчас, два физических приёмника не поддерживает. Включается две панорамы, для каждого есть своя возможность выбора настроек. Подавление сигнала одного канала в другой, довольно приличное. А вот в наушники гонится только сигнал одного приёмника. Ну и никакие управления физическими ДПФ, аттенюаторами, пока не смотрел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1515] Автор : Вячеслав Б Дата : 05.03.2023 18:40 Нет. У меня работает АНАН 100 Пробовал я и 7000 результат тот же. В сетапе если войти в РА сеттинг и посмотреть watt Meter PA values, то при передаче, если всё в порядке, там в окнах забегают циферки, но там упорно стоят нули. Это говорит о том, что данные входа по прошивке к FPGA не подключены. Другого варианта я пока не вижу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1516] Автор : ra3gn Дата : 05.03.2023 18:45 rk4ci Мысли вслуг, вот у вас передатчик, ксв в антенне 2, подвели мощность 1 квт, потеряли на переотражение , например, 200вт, это плохо, вот у вас приемник, теже ксв 2, сколько потеряли при этом, если у вас есть запас по чувствительности, например 20дб? Тогда продолжу, вот у меня есть приемная антенна, имеет усиление -20 дб, но она увеличивает соотношение сигнал шум, например, теже 20 дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1517] Автор : Вячеслав Б Дата : 05.03.2023 18:47 Ну то что есть. Измерения проводил только что, для двух входов приёмника. Ну и спасибо всем кто помогал с запуском. Запустил не сам, помогал сын. Сделал всё по инструкции в сообщении 1494. Требовал программу с возможность выбора Анан 7000.Но потом всё запустилось просто с Гермесом. До этого там стоял другой выбор. Ну и придётся устанавливать другую программу СДР. Та что установлена сейчас, два физических приёмника не поддерживает. Включается две панорамы, для каждого есть своя возможность выбора настроек. Подавление сигнала одного канала в другой, довольно приличное. А вот в наушники гонится только сигнал одного приёмника. Ну и никакие управления физическими ДПФ, аттенюаторами, пока не смотрел. Управление АТТ и ДПФ расписаны несколькими постами выше. Там всё ясно и понятно. Если что, обращайтесь все расскажу, но в моём варианте вся работа АТТ, УВЧ рассчитана только на АНАН 100. Там УВЧ +20 дб, а далее АТТ 10, 20, 30 дб минус. И того получается общее подавление -50дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1518] Автор : RK4CI Дата : 05.03.2023 19:26 вот у вас приемник, теже ксв 2, сколько потеряли при этом, если у вас есть запас по чувствительности,А зачем мне вообще что то терять? Я уже написал об этом, просто при изготовлении ФПФ подстраиваем их под реальный входной импеданс платы. Можно конечно и разобраться со входной частью, но что то мне, даже имея соответствующие приборы, лезть туда не особо хочется... Ну и по чувствительности, никакого особого запаса, если речь вести о ВЧ диапазонах плата не имеет. Могу перевести чувствительность полученную на 3,5 мгГц в более привычные цифры. Это чуть хуже 2 мкВ в привычной полосе 3 кГц, и при соотношении сигнал+шум /шум 10 дБ. Например тот же Гермес, имеет шумы при полосе 500 Гц -139 дБм. Даже с учётом УВЧ на самой плате, TRX DUO заметно проигрывает. Что бы нормально работать на ВЧ диапазонах УВЧ здесь обязателен. И желательно пару. А вот перегрузить его, даже на НЧ диапазонах будет гораздо труднее. У меня Гермес, при подключении треугольника периметром около 170 метров, сходу захлёбывался. С аттенюатором -20 дБ начинал вполне прилично работать. Здесь этих лишних 20 дБ нет изначально. Аттенюатор всё же лучше предусмотреть. Вдруг кто то из местных за 59+80 перевалит... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1519] Автор : RK4CI Дата : 05.03.2023 22:05 Хотел посмотреть шумы опорного генератора применённого в DUO. Не получилось. Просто у генератора не хватило уровня.385844 Генератор выдаёт чуть выше -8 дБм. Около -113 дБм шумовая дорожка в полосе 1 кГц. При пересчёте г 1 Гц, получаем 135-136 дБс/Гц. По факту должно быть гораздо лучше. Подъема шумовой дорожки полностью не ощущается. 385845 А это измерение линейности с помощью двух тонального сигнала. Сильно разнос у генераторов не увеличишь. Он делался для измерений линейности в полосе пропускания аналоговых приёмников. Поэтому и частота привязана к тракту ПЧ. И здесь собственно всё на уровне. У цифровых приёмников с увеличением уровня сигнала на входе не снижается, а зачастую растёт. Здесь уровни далеки от максимальных, и линейность выше -90 дБс. При подаче двухтонального сигнала с собственного передающего тракта видел циферки до -95 дБс. При том что там частоты разноса достаточно легко увеличить. А вот измерить уровень выходного сигнала не получилось. Основной прибор переходит на измерение мощности, а второй показывает какие то нереальные уровни. Хотя панорамы продолжают исправно отображать и уровни сигналов, и нелинейности. Просто надо подобрать программы, которые способны адекватно работать с двумя физическими приёмниками, и не отключать показания в режиме передачи. У меня похоже слишком старая версия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1520] Автор : Александр SHF Дата : 05.03.2023 22:58 Использую RP последний релиз red-pitaya-alpine-3.14-armv7-20220322. Очень нужен запрет формирования посылок CW при нажатии точек-тире на манипуляторе, только после появления сигнала PTT- out c временной задержкой, для окончания всех переходных процессов коммутации (реле, выход усилителя на режим, и.т.д.) видел в ранее на Git, что это уже реализовано, но в моем варианте почему-то режим TX включается сразу без задержки. Программа PowerSDR mRX PS 3.4.9. В Thetis не проверял потому что там не работает передача в любительском диапазоне 136кгц (2200м). Подскажите пожалуйста, может этот режим в другом релизе и это будет работать? Конечно можно внешними устройствами заблокировать работу телеграфного манипулятора, но не хочется городить огород, если ранее вы уже это реализовали. Заранее благодарен за ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1521] Автор : rz3qs Дата : 06.03.2023 00:55 но в моем варианте почему-то режим TX включается сразу без задержки. Задержка есть и она меняется. На скорости 60-80 знаков можно сделать 50 mS. Если этого мало, тогда нужны варианты. Самый простой, нажать на педаль, а потом на ключ. Чуть сложнее из лога. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1522] Автор : RK4CI Дата : 06.03.2023 08:35 в адресной строке браузера наберите 192.168.1.100 , появится страница с выбором приложения: По моему, это называется медвежья услуга. Вначале не понял как это работает. Пока не надумал повторно включить свой DUO. При каждом новом включении, надо так же набирать адрес какого то сайта, который снова поможет запустить ваш трансивер. Без соединения с интернетом, нет соединения с сайтом, нет запуска. Всё работает пока какой то добрый дядя не разрешит вам включить свой трансивер. Сегодня он добрый, а завтра? Да и не хотелось бы постоянно быть привязанным к интернету. Может это у меня так получилось? Сегодня попрошу сына разобраться с этим вопросом. Что бы запуск был постоянно, и без всякой привязки к интернету. Ну и установил на трансивер обновлённую версию ПоверСДР. Думал на рабочем столе появится ещё одна программа, а прошло обновление старой. А я хотел оставить её для Гермеса. Он ещё не до конца помер. Да и есть какая то надежда его восстановить... Ну а главное, по моему и в этой программе нет возможности управления физически присутствующим вторым приёмников. Может кто подскажет, какие программы работают с двумя АЦП? А ещё лучше и сразу с двумя ЦАП. Что бы была возможность управлять каждым выходом TX отдельно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1523] Автор : ua3rmb Дата : 06.03.2023 08:39 Это не адрес сайта. Программа устанавливается только одна. С двумя АЦП - нет такой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1524] Автор : RK4CI Дата : 06.03.2023 08:47 Это не адрес сайта.А что? И почему требует соединения с интернетом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1525] Автор : vadim_d Дата : 06.03.2023 08:47 адрес какого то сайтаЭто сайт внутри устройства, он читается с его флешки, интернет тут не нужен, только локальная сеть Что бы запуск был постоянноЧтобы на устройстве сразу запускалось что-то определенное, его надо вписать в тот стартовый файл на флешке с расширением .sh, который неоднократно упоминался -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1526] Автор : RK4CI Дата : 06.03.2023 08:54 С двумя АЦП - нет такой.А как же работают трансивера с двумя АЦП, особенно, их функция фазового подавления помех с двух антенн? Там явно должны обрабатываться два отдельных потока данных от разных АЦП. интернет тут не нужен, только локальная сеть Понятно. Но всё равно, при отключении кабеля интернета от компьютера запуска нет. Что всё крутится в пределах локальной сети, до меня не дошло... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1527] Автор : vadim_d Дата : 06.03.2023 09:01 при отключении кабеля интернета от компьютера запуска нетТут, когда определитесь, что именно хочется пускать при включении на устройстве, впишите эту команду в тот самый шелловский скрипт на флешке, будет пускаться при старте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1528] Автор : ua3rmb Дата : 06.03.2023 09:12 С двумя АЦП работает Тетис, устройство должно быть выбрано как Анан 7000, а Гермес 2 АЦП не поддерживает. Проги с поддержкой 2х ЦАПов нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1529] Автор : RK4CI Дата : 06.03.2023 09:16 впишите эту команду в тот самый шелловский скрипт на флешке, будет пускаться при старте Так на это я уже раньше обращал внимания, что файла запуска на самой карте нет. Да и у меня на карте сейчас вроде только версия для трансивера и есть. Хотелось бы ещё эту плату и в качестве VNA запустить. Сегодня лазил у себя в программах для СДР, нашёл программу VNA для Гермеса. посмотреть на что она способна, и будет ли запускаться без переключений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1530] Автор : vadim_d Дата : 06.03.2023 09:52 файла запуска на самой карте нетПоищите примеры запуска, попробуйте добавить, просто текстовый файл любым редактором, думаю разберетесь, хоть может и не с первой попытки и будет ли запускаться без переключенийЕсли потребует другого запуска, то да, придется делать разные скрипты на флешке. Но можно и в один скрипт вписать обе команды, а одну из них комментарить, тогда просто редактором выбирать нужную перед пуском - ну некоторая плята за универсальность :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1531] Автор : RK4CI Дата : 06.03.2023 10:42 Поищите примеры запуска, попробуйте добавить, просто текстовый файл любым редактором, Да было здесь имя файла запуска. И я его находил. Собственно когда плата встанет в корпус трансивера, от неё других функций требоваться и не будет. Это сейчас, пока она в отдельном корпусе, миниатюрная, вполне сопоставима с размером Осы, ей очень удобно пользоваться просто как прибором. Ну и на столе сейчас три компьютера, наверное пора организовать для них собственную локальную сеть. Для меня даже важнее будет доступ к жёстким дискам на других компьютерах в сети, чем выбор нужного пакета для запуска DUO. Основное, плата заработала, основные функции работают вполне прилично. А дальше учиться пользоваться тем что есть. Пока у меня в корпусе трансивера стоит Гермес, TRX DUO отдельным корпусом, снаружи. И сейчас для меня интереснее запустить его именно в качестве прибора. Поэтому и возникают вопросы постоянной смены назначения прибора, а не только запуска... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1532] Автор : vadim_d Дата : 06.03.2023 12:04 было здесь имя файла запускаНу надо еще и пример того, что в нем написано под конкретную команду, но думаю народ подскажет три компьютера, наверное пора организовать для них собственную локальную сетьДа, и назначить себя главным сисадмином :). Дома как только ушел с телефонного модема на кабельного провайдера, почти сразу появился рутер, куда все компы и втыкались, а потом и с WiFi Основное, плата заработалаИзмеряйте, сравнивайте, я поглядываю на краснопитайское творение, но явно руки не дойдут :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1533] Автор : ra3gn Дата : 06.03.2023 13:45 как пустить vna: заходим в папку apps на флеш карте, потом заходим в папку vna, там есть файл start.sh, копируем этот файл в корень флешки, передернули питание дуо, запуститься нужный вам прибор. Если в корне флешки был другой файл запуска, его нужно было удалить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1534] Автор : vadim_d Дата : 06.03.2023 14:59 заходим в папку vna, там есть файл start.shТо есть в папке каждого приложения есть его стартовый файл? Ну тогда Николай без проблем разберется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1535] Автор : RK4CI Дата : 06.03.2023 16:32 заходим в папку apps на флеш карте, потом заходим в папку vna, там есть файл start.sh, копируем этот файл в корень флешки, А что при этом должно быть установлено на самом компьютере? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1536] Автор : vadim_d Дата : 06.03.2023 16:50 должно быть установлено на самом компьютере?Николай, это только обход выбора того, что запускается на устройстве, на нем стартанет именно то приложение, из фолдера которого взят стартовый скрипт. На компе ставите то, что нужно для работы с прибором именно в этом режиме. Уходит только открывание меню в браузере и выбор, дальше все как было :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1537] Автор : RK4CI Дата : 06.03.2023 17:19 На компе ставите то, что нужно для работы с прибором именно в этом режиме. Так именно об этом и был вопрос. Если для работы СДР трансивера программа была установлена изначально, то для работы в режиме VNA, на компьютере пока ничего нет. Разве что только программа для запуска Осы. А меня в основном интересуют возможности DUO как измерителя АЧХ. При 16 битном АЦП для него и 120-130 дБ не должны быть проблемой. Основное, пролаз сигнала RX в приёмник напрямую. С двумя АЦП работает Тетис, Установлю, посмотрю как это будет выглядеть. Вообще неплохо бы было найти программу заточенную под маленький экран, который может быть расположен на передней панели трансивера. И основное, это управление основными функциями. Сейчас, в том же ПоверСДР, всё вполне неплохо получается и мышкой, на большом экране монитора. А вот на родном 8" экранчике на передней панели, не то что пальцем, а заточенным карандашиком не сразу попадёшь на нужную кнопку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1538] Автор : RA4CBY Дата : 06.03.2023 17:30 Вообще неплохо бы было найти программу заточенную под маленький экран, который может быть расположен на передней панели трансивера. И основное, это управление основными функциями. Сейчас, в том же ПоверСДР, всё вполне неплохо получается и мышкой, на большом экране монитора. А вот на родном 8" экранчике на передней панели, не то что пальцем, а заточенным карандашиком не сразу попадёшь на нужную кнопку. Николай, добрый день! посмотри контроллер управления pihpSDR на базе Raspberry, я установил его в один корпус с DDC трансивером Анвелина. Если будут вопросы - пиши в личку 385883385884 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1539] Автор : vadim_d Дата : 06.03.2023 17:33 то для работы в режиме VNA, на компьютере пока ничего нетГляньте здесь https://redpitaya.com/rtd-iframe/?iframe=https://redpitaya.readthedocs.io/en/latest/appsFeatures/applications/apps-featured.html# , может что прояснится. Попрыгал по их сайту, основную мысль веб-дизайнера не уловил :) . Упоминают еще внешний мост -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1540] Автор : ua3rmb Дата : 06.03.2023 18:06 Вот так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1541] Автор : RK4CI Дата : 06.03.2023 18:11 посмотри контроллер управления pihpSDR на базе Raspberry, Так контроллер управления приготовлен. И валкодер на передней панели предусмотрен. А вот кнопки при прорисовке передней панели не предусмотрел. Понадеялся именно на татч скрин монитора. И он относительно нормально всё отрабатывает... Когда берёшь спичечку, надеваешь на очки добавочную лупу, и начинаешь попадать точно на кнопочки на окне программы. Но конечно мышкой на большом мониторе, го-о-раздо удобнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1542] Автор : RC3ZQ Дата : 06.03.2023 18:39 RA4CBY, Добрый вечер, можно я Вам в личке вопросов несколько задам по pihsdr? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1543] Автор : ra3gn Дата : 06.03.2023 18:48 Вот что нашел яндекс по поводу vna redpitaya: https://redpitaya.readthedocs.io/en/latest/appsFeatures/applications/vna/appVNA.html Vector network analyzer module - Red Pitaya http://www.sdr-deluxe.com/publ/vch_most_dlja_raboty_hiqsdr_v_kachestve_vna/1-1-0-3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1544] Автор : RK4CI Дата : 06.03.2023 19:28 Вот что нашел гугл по поводу vna Когда речь шла о VNA, имелась ввиду не возможность просто измерить КСВ, а использовать DUO как многофункциональный прибор. Прежде всего АЧХометр. Как спектроанализатор, частотомер, селективный микровольтметр, генератор сигналов, он и сейчас прекрасно работает запущенный как СДР трансивер. Но иногда, при измерениях АЧХ хочется заглянуть под планку -100 дБ. И с помощью TRX DUO, это вроде бы можно сделать. Где то до -90, и Оса прекрасно справляется... 385895 Хотелось бы подобные скрины получить и с помощью DUO. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1545] Автор : ua3rmb Дата : 06.03.2023 21:03 Вы почитайте, что имеют пользователи оригинальной RP в плане измериловки, а уж потом озвучивайте хотелки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1546] Автор : RC3ZQ Дата : 07.03.2023 03:06 На Github Павла Демина https://github.com/pavel-demin/red-pitaya-notes/releases в релизах есть под винду программа win32_vna. С помощью её можно и использовать red pitay ( sdr duo) в качестве измерителя АЧХ. Останавливаем работу в режиме PSDR Hernes скриптом stop. sh, и запускаем start. sh для VNA. Можно пустить через web морду. Добавлено через 7 минут(ы): Вячеслав Б, Как управляете фильтрами? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1547] Автор : RK4CI Дата : 07.03.2023 06:33 Останавливаем работу в режиме PSDR Hernes скриптом stop. sh, и запускаем start. sh для VNA. Можно пустить через web морду. Это такое простенькое объяснение, что бы никто ничего не понял? Каким скриптом останавливаем работу Гермеса? Просто жмём кнопку "стоп", или вообще выключаем программу. Или этот "скрипт" находится где то в папках программного обеспечения? И что такое WEB морда, и что именно через неё нужно пускать? И зайдя по адресу 192 168 1 100, нельзя переключиться с Гермеса на VNA. И эта программа VNA, ставится на компьютер, или она должна быть занесена на карту памяти самого DUO? И что будет открыто на экране монитора, для управления режимами VNA? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1548] Автор : RC3ZQ Дата : 07.03.2023 07:00 RK4CI, Скрипт stop. sh он один единственный. Находится он в корне диска. Его можно выполнить из putty например или через веб интерфейс зайдя по IP адресу 192 168 1 100 с браузера. Нужно остановить процесс(ы) работы для трансивера которые запускаються по умолчанию. А затем запустить с web интерфейса работу в режиме VNA, либо выполнить из каталога VNA через putty. Далее устанавливаем программу с Github Павла, она должна общаться по сети с duo. Думаю её нужно так же настроить. З. Ы PuTTY — свободно распространяемый клиент для различных протоколов удалённого доступа, включая SSH, Telnet, rlogin. З. Ы #2 То что Вы видите перейдя по по адресу 192 168 1 100 это и есть web интерфейс (морда). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1549] Автор : RC3ZQ Дата : 07.03.2023 09:54 Сейчас попытался протестировать VNA. Программа подключается к duo который находится в режиме vna, но данные видимо не идут. Может только у меня....тут я не хочу вводить в заблуждение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1550] Автор : rz3qs Дата : 07.03.2023 10:36 Сейчас попытался протестировать VNA. Работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1551] Автор : RC3ZQ Дата : 07.03.2023 10:51 rz3qs, А у меня видимо что то не так. Это именно на duo работает? У меня графики все без изменений , включил режим Авто. Вижу столбик свипирования бегает а лучи на месте. Переключил на измерения loss подключив между rx1 и tx1 АТТ 40дб и так же всё мертво. Лучи на месте все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1552] Автор : rz3qs Дата : 07.03.2023 11:00 Это именно на duo работает? Да. И мануала по программе VNA у меня нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1553] Автор : Вячеслав Б Дата : 07.03.2023 12:01 385908Для RC3ZQ Фильтрами управляю с помощью дешифратора на который идут сигналы с коннектора Е1 с контактов: DI03_P------20dB Attenuator, Out DI02_P------10dB Attenuator, Out DI01_P------Preamp,Out Если будете использовать с преампом + 20dB (а это очень желательно), то правильное управление будет в АНАН 100, если без преампа, то можно и в других. Добавлено через 42 минут(ы): На этом форуме очень много сообщений по РП оригиналу, а вот TRX-DUO появился совсем недавно (относительно) и по этому клону в качестве трансивера информации не много. По этому хочу поделиться тем, что на этом клоне у меня получился хороший трансивер со всеми необходимыми опциями. По телеграфии не спрашивайте так как я не телеграфист и эту задачу не преследовал. Сейчас трансивер работает как АНАН 100 с двумя АЦП. Первый работает на КВ, второй на УКВ. Клон работает с новой платой аудиокодека для которой нужно подавать +5 вольт. В старых платах это было не нужно. Если у кого то возникают трудности по изготовлению трансивера из этого клона пишите всё, что наработал предоставлю. Здесь мои фото самого трансивера в котором всё как я описывал ранее и фото программы и его работы.385908 Добавлено через 12 минут(ы): https://aliexpress.ru/item/32775839699.html?spm=a2g2w.orderdetail.0.0.4c824aa6uWdksp&sku_id=62460367725 Добрый день всем. Вот вроде бы всё работает, но приехал аудиокодек и работать не хочет. Кто то может подсказать правильную распиновку модуля (Высококачественный аудиомодуль WM8731 с микрофонным линейным наушником, совместимым с ПЛИС-платой) , что и куда идёт и откуда брать. другого модуля не нашёл в продаже. У меня TRX-DUO и не понятно как и с какими ногами его состыковать. Распиновку, которую дают на него прикладываю: Распиновку, которую дали китайцы не соответствует действительности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1554] Автор : RK4CB Дата : 07.03.2023 13:29 пишите Вячеслав, доброго. Вы сигнал RX-TX с платы трансивера взяли или с доп платы? Если с трансивера, то получается, что в схеме ошибка и нужно ставить транзистор p-n-p, а не n-p-n? 385913 поскольку на пинах, при нажатии TX, получается 0 относительно земли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1555] Автор : cimos Дата : 07.03.2023 13:46 Так что динамика по забитию получается под 120 дБ Однако... Все давно уже промеряли (https://youtu.be/7L2YCLOqzAI). Складывается впечатление, что Вы абсолютно форум не читали предварительно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1556] Автор : RK4CI Дата : 07.03.2023 20:11 Вы абсолютно форум не читали предварительно. И Форум читал, и Данное видео уже видел, и у самого уже более 5 лет Гермес трудится. А всё равно интересно на что конкретно способна именно мой экземпляр трансивера. Ну и не всегда проводимые измерения корректны. Например измерения ИМД на передачу. У меня получилось явно лучше -80 дБс. Дополнительные сигналы на передачу располагаются в зонах Найквиста, и это не недостаток трансивера, а спецефика формирования сигнала. В приёмном тракте какие то фильтра по входу похоже добавлены, а вот в передающем выход напрямую. И если просто доверять всему что где то написано, то зачем вообще нужны какие то замеры? Нашли даташиты на применённое железо, назвали марку, и для кого то этого может быть вполне достаточно. И какая то разница при измерениях всё равно присутствует. Например шумовая полка в полосе 500 Гц у меня получилась на уровне -117 дБм, в видео она на уровне -120. При проверке частоты опоры, у меня наоборот циферки будут чуть получше. Скорее всего разница определяется частотами, на которых проведены измерения. Ну и сами приборы, которыми проводятся замеры. Например проверка ИМД на передачу спектроанализатором параметры которого в этом плане похоже много нижу, чем у платы TRX DUO. Если бы тот же ИМД кто то взялся бы измерять Осой, то рассказал бы нам о нелинейностях на уровне -60 дБм... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1557] Автор : Вячеслав Б Дата : 07.03.2023 20:49 Вячеслав, доброго. Вы сигнал RX-TX с платы трансивера взяли или с доп платы? Если с трансивера, то получается, что в схеме ошибка и нужно ставить транзистор p-n-p, а не n-p-n? 385913 поскольку на пинах, при нажатии TX, получается 0 относительно земли? Да, конечно я это всё сделал и всё правильно работает. Спасибо за поддержку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1558] Автор : RC3ZQ Дата : 07.03.2023 21:18 Да. И мануала по программе VNA у меня нет. Евгений, доброго вечера Вам. Да там то вроди как и не сложный интерфейс программы. Ошибок нет, конект есть, свип идёт а графики лучи на месте. У Вас винда 32разряда или 64? А то может не корректно на 64битной 10ке работает программа? Я Вам письмо на мыло через форму с форума отправил, наверное не пришло? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1559] Автор : rz3qs Дата : 07.03.2023 21:28 У Вас винда 32разряда или 64? 64 W7. наверное не пришло? Не пришло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1560] Автор : RK4CB Дата : 07.03.2023 21:59 Да, конечно я это всё сделал и всё правильно работает. Спасибо за поддержку. Кхм...это был вопрос, Вячеслав))) Ответьте, пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1561] Автор : Вячеслав Б Дата : 07.03.2023 22:18 Кхм...это был вопрос, Вячеслав))) Ответьте, пожалуйста. Я прошу прощенья за не совсем корректный ответ. У меня сделана плата управления в которой и стоит транзистор. Изначально я конечно сделал как в гермесе, а когда включил то и увидел, что на DI00_Р вместо единицы стоит ноль, а при нажатой педали выходит единица. Отсюда вывод нужен транзистор, который даст при приходящей единице, ноль. В прицепе мне это было без разницы так как плата сделана для управления всеми последующими устройствами. Вот как то так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1562] Автор : RK4CB Дата : 07.03.2023 23:41 на DI00_Р вместо единицы стоит ноль Странно. У меня наоборот, единица стоит при RX, то есть 3.3 вольта. А при ТХ становиться 0. Относительно земли того разъема. Ладно, сделаю оба ключа, потом посмотрю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1563] Автор : Вячеслав Б Дата : 09.03.2023 15:51 господа радиолюбители у меня вопрос по показаниям прямой и обратной волны. У кого то есть информация. Что то на эту тему я в форуме не видел. Возможно пропустил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1564] Автор : ra3gn Дата : 09.03.2023 20:14 slow analog inputs can be used for the forward (Analog input 0 (http://redpitaya.readthedocs.io/en/latest/developerGuide/hardware/125-14/extent.html#extension-connector-e2)) and reverse (Analog input 1 (http://redpitaya.readthedocs.io/en/latest/developerGuide/hardware/125-14/extent.html#extension-connector-e2)) power measurement -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1565] Автор : Вячеслав Б Дата : 09.03.2023 21:12 slow analog inputs can be used for the forward (Analog input 0 (http://redpitaya.readthedocs.io/en/latest/developerGuide/hardware/125-14/extent.html#extension-connector-e2)) and reverse (Analog input 1 (http://redpitaya.readthedocs.io/en/latest/developerGuide/hardware/125-14/extent.html#extension-connector-e2)) power measurement Данная информация есть во многих источниках. Это всё по оригиналу РП, а я не корректно задал вопрос. Меня интересует аналоговое измерение прямой и обратной волны в клоне от китайцев по РП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1566] Автор : rz3qs Дата : 09.03.2023 21:34 в клоне от китайцев по РП А по этому рисунку не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1567] Автор : Вячеслав Б Дата : 09.03.2023 22:09 А по этому рисунку не работает. Да, согласно этой распиновки аналоговые контакты не имеют адресов и связи с FPGA, но есть возможность всё передать через I2C. Стоит только установить вот такую платку: https://aliexpress.ru/item/32822725649.html?sku_id=12000027472690817 и прописать порты в программе. А вот кто это может сделать тут тоже вопрос. Только не говорите про Дёмина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1568] Автор : rz3qs Дата : 09.03.2023 22:48 Только не говорите про Дёмина. А при чем здесь Павел Демин и китайский вариант, типа аналог RP. Сейчас проверил, по китайскому рисунку, аналоговые входа НЧ АЦП в моем TRX-DUO не работают. Почему, не знаю. Будет схема, будет видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1569] Автор : RC3ZQ Дата : 10.03.2023 00:28 Маловероятно что очень скоро "всплывет" схема от китайцев. А без контроля swr конечно не есть хорошо. Придётся реализовывать какой либо костыль для Swr Protection. Ну а что бы АЦП прикрутить по i2c это наверное только Павлу под силу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1570] Автор : rz3qs Дата : 10.03.2023 00:39 Ну а что бы АЦП прикрутить Какое АЦП прикрутить, если оно уже есть, должно быть. Китайцы пишут про эти входа и берут за это деньги. Может у кого они и работают. Как будет время, то гляну путь от разъема до FPGA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1571] Автор : RC3ZQ Дата : 10.03.2023 00:52 rz3qs, Как минимум у двоих уже не работают. На днях и я доберусь по аналоговым входам хотя бы пинцетом дотронутся попробую да посмотрю на swr метр. Ну а Китайцы они такие, деньги за много чего берут.... Вопрос ещё такого плана. Вы с PCA9555 имели опыт в качестве расширителя портов для управления фильтрами, АТТ, УВЧ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1572] Автор : rz3qs Дата : 10.03.2023 01:18 Вы с PCA9555 имели опыт в качестве расширителя портов для управления фильтрами, АТТ, УВЧ? С этого (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1634967&viewfull=1#post1634967) начинал (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1756940&viewfull=1#post1756940), но выходов не хватило для моих задач и родился второй (http://www.cqham.ru/forum/showthread.php?33480-%D1%C4%D0-%F2%F0%E0%ED%F1%E8%E2%E5%F0-%ED%E0-%E1%E0%E7%E5-Red-Pitaya&p=1776440&viewfull=1#post1776440) вариант. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1573] Автор : RC3ZQ Дата : 10.03.2023 01:50 rz3qs, Евгений, тогда возникает у меня несколько вопросов. Я правильно понимаю по информации с Github Павла что максимум можно подключить две микросхемы PCA9555? Мне не нужен АТТ с таким малым шагом как у Вас (у Вас конечно всё в этом плане шикарно) , и по второму приёмнику будет просто установлен фнч 7го порядка (планирую использовать как для фазового подавителя помех). Peter DC2PD предлогает управление АТТ по адресу 0 (0x20) двумя битами. Аттенюатор (00 = 0 дБ, 01 = 10 дБ, 10 = 20 дБ, 11 = 30 дБ) Über Ausgang 8 + 9 wird die eingestellte Eingangsdämpfung ausgegeben. Wenn die Alex oder Penelope Hardware angewählt wird, kann eine Dämpfung zwischen 0 und -50 db ausgewählt werden. Es werden jedoch nur zwei Bits hier ausgegeben. Die Zuordnung ist 00 = 0dB, 01 = 10dB, 10 = 20db und 11 = 30 dB. Отсюда возник вопрос - какой можно прикрутить сюда дешифратор? Ещё так же Peter пишет: Software Am PC wird die unveränderte openHPSDR Software verwendet. Auf dem RedPitaya muss die sdr-transceiver-hpsdr Datei augetauscht werden. Diese befindet sich auf der SD-Karte im Verzeichnis bin. Das geänderte Programm prüft beim Start ob ein oder mehrere I2C Boards angeschlossen sind. Wird ein Board gefunden, so wird dies auf der Textkonsole des RedPitaya angezeigt. Werden keine Erweiterungen vorgefunden, wird der Kode zur Ausgabe abgeschaltet. Перевод: Программное обеспечение На ПК используется неизменное программное обеспечение openHPSDR. Файл sdr-transceiver-hpsdr необходимо обменять на RedPitaya. Он находится на SD-карте в каталоге bin. Модифицированная программа при запуске проверяет, подключены ли одна или несколько плат I2C. Если доска найдена, это будет отображаться в текстовой консоли RedPitaya. Если расширения не найдены, код вывода отключается. Я тут не могу понять откуда взять файл для замены. Машинный перевод искажает информацию, а я понять не могу. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1574] Автор : rz3qs Дата : 10.03.2023 02:11 максимум можно подключить две микросхемы PCA9555? 4 шт., адреса 0,1,3,4. какой можно прикрутить сюда дешифратор? Зачем. Прямое управление, один АТТ 10 другой 20 дБ. Я тут не могу понять откуда взять файл для замены. Я тоже. Все работает без всяких замен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1575] Автор : RC3ZQ Дата : 10.03.2023 02:45 rz3qs, Евгений, Павел на Github описывает в таблицах назначение пинов PCA9555 с конфигурацией адресов 0,1,3. А по адресу 4 какая конфигурация (назначение) пинов будет? По прямому управлению АТТ будем иметь на пинах 7-10 сигналы соответственно 0,10,20,30дб верно я понимаю? А где эти прямые сигналы будут для Rx2? К Rx2 я так понимаю можно подключить АТТ и управлять ими с PSDR или Thetis? А то я как то с этим вопросом не разобрался. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1576] Автор : Вячеслав Б Дата : 10.03.2023 17:46 Проведя разного рода эксперименты, я пришёл к выводу, что пока TRX-duo не "доехала" до оригинала в 14 и 16 бит. У меня оригинала не было, но те счастливые обладатели наверняка всё проверили в области связи и поняли, что там всё работает нормально. В TRX-duo пока аналоговые входа не работают, функция диверсити не работает. При её включении в АНАН 7000 ни один из приёмников не реагирует на регулировки усиления и фазы. Так же нормально не работает, хотя и включается чистый сигнал. Я думаю, что общими усилиями участников и том числе программистов это чудо китайской техники будет доведено до логического конца. Всем спасибо. Вячеслав 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1577] Автор : ra3gn Дата : 10.03.2023 18:23 Не, в оригинале тоже не все нормально, нужно еще заменить генератор с 125 мгц на 122,88. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1578] Автор : cimos Дата : 10.03.2023 21:42 Так же нормально не работает, хотя и включается чистый сигнал. Как именно проверяли? нужно еще заменить генератор с 125 мгц на 122,88. Так легко? Просто взять и заменить? :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1579] Автор : ra3gn Дата : 10.03.2023 23:39 Не, для этого нужно уметь ещё что то руками делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1580] Автор : cimos Дата : 10.03.2023 23:51 Не, для этого нужно уметь ещё что то руками делать. Да, если только с PLL :ржач: Попробуй найди такую опору с LVDS выходом TCXO ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1581] Автор : ra3gn Дата : 11.03.2023 00:04 И все равно, не получиться из этого сансдр, придется строить флекс, и ветка ещё может прожить 8 лет и никто не гарантирует результата. Тут же все задают только вопросы, странно, однако. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1582] Автор : ra3gn Дата : 11.03.2023 08:37 Яндекс выручает: https://www.chipdip.ru/product0/8003347963 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1583] Автор : Вячеслав Б Дата : 11.03.2023 09:12 Как именно проверяли? Так легко? Просто взять и заменить? :smile: Проверял очень просто. Доброе утро. Заводил сигнал обратной связи на второй приёмник и подбирал уровень пока оба поля справа внизу на панораме не становились зелёными. Включал PS-A, выключал PS-A, на экране приёмника ничего не менялось. Какой AMD-3 был такой и оставался, хотя окно просмотра влинеарити показывало правильную работу. Возможно что то не правильно смотрел. Подскажете. Добавлено через 5 минут(ы): Не, для этого нужно уметь ещё что то руками делать. А скажите пожалуйста зачем кварц менять если всё и так хорошо и стабильно работает и синхронизируется. Я наверное чего то не догоняю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1584] Автор : ra3gn Дата : 11.03.2023 09:39 Выже писали чуть выше, что не все так хорошо. Радиолюбители они же максималисты, посмотрите какой кварц стоит в звуке , он не может быть засинхронизирован с генератором на 125 Мгц, но могут быть другие неприятные моменты, как паразитные частоты на передачу и прием. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1585] Автор : RC3ZQ Дата : 11.03.2023 10:06 Выше тут кто то писал что побочки вроди как на передачу нет. Частота довольно таки стабильна. Шумовая дорожка тоже довольно таки низкая. Можно конечно и лучше если поставить более лучший по характеристикам генератор. Но попробуй его купи когда маузер и диджикей с нами не работают , а то что с Китая это "бабка на двое сказала". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1586] Автор : ra3gn Дата : 11.03.2023 10:12 Я вчера купил немецкий "цифровой паяльник" т12, так он сделан в Китае, однако. Китай, это мировая "кухня". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1587] Автор : ua3rmb Дата : 11.03.2023 11:30 Заводил сигнал обратной связи на второй приёмник и подбирал уровень пока оба поля справа внизу на панораме не становились зелёными. Включал PS-A, выключал PS-A, на экране приёмника ничего не менялось. Какой AMD-3 был такой и оставался, хотя окно просмотра влинеарити показывало правильную работу. Возможно что то не правильно смотрел. Подскажете. Вы сначала теорию почитайте, что и как нужно заводить. Контроль осуществляется в режиме дуплекс, 2й приёмник в этом не участвует. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1588] Автор : RV3DLX Дата : 11.03.2023 12:26 Контроль осуществляется в режиме дуплекс, 2й приёмник в этом не участвует. Наверно Вы это пишите применительно к плате Гермес или подобным. У меня с платой Anvelina функция PS-A работает прекрасно и по первому и по второму приемникам. Юрий. P.S. Славу RV3DLV не нужно отсылать читать теорию, у него есть Гермес, в котором PS-A работает прекрасно, он сделал пару SDR конструкций на китайских модулях, а вот в TRX DUO эта функция работает только по второму приемнику и то не очень хорошо. Если кто то покажет как у него хорошо работает "чистый сигнал" на этом аппарате, всем будет интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1589] Автор : cimos Дата : 11.03.2023 12:56 Яндекс выручает: https://www.chipdip.ru/product0/8003347963 Не, это не тот вариант. У нас нет наличия PLL вообще. Внимание не обратили, что скорее всего, ABRACON по принципу VCXO. Нам же нужен TCXO. Можно и отдельно допилить модуль с PLL, как это сделано почти во всех нормальных конструкциях, но это уже другая тема. Есть на Алиэкспресс вот такие штучки (https://aliexpress.ru/item/32811228166.html?sku_id=64635644203&spm=a2g2w.productlist.search_results.5.5b464aa6uKBcaR) , но после надо сформировать LVDS удачно ;-) Есть они же и на 125 МГц. а вот в TRX DUO эта функция работает только по второму приемнику и то не очень хорошо. "Обрадовали"... Я как раз по этой причине, наличие второго полноценного приемника и предыскажений, и взял себе данный модуль. Вот засада будет :smile: Интересно, а что не так-то с этим клоном? С каким усилителем RV3DLV проводил эксперименты? Какое значение IMD вводил в сравнение? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1590] Автор : rz3qs Дата : 11.03.2023 13:02 а вот в TRX DUO эта функция работает только по второму приемнику и то не очень хорошо. Не проверял пока. А вот выбор приемника для обратной связи прописан в файле start.sh. По умолчанию там стоит второй приемник. Если использовать в режиме Auto-Att, при наличии АТТ с шагом 1 дБ, то обратная связь должна быть подана на первый приемник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1591] Автор : RV3DLX Дата : 11.03.2023 13:18 при наличии АТТ с шагом 1 дБ, то обратная связь должна быть подана на первый приемник. Наличие аттенюатора с шагом 1 дБ совершенно не обязательно. Можно выключить в закладке Linearity Auto-Attenuate и регулировать уровень обратной связи в ручную, что и делают некоторые, если у них не присутствует аттенюатор с шагом 1дБ. Какой усилитель у Вячеслава я не знаю, можно и без усилителя проверить, подав сигнал с маломощного выхода прямо на АЦП (первый или второй), а вообще на нормальных трансиверах эта функция "лианеризирует" любой, да же самый плохой усилитель и достигается IMD 60-65 дБм, легко. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1592] Автор : Вячеслав Б Дата : 11.03.2023 13:37 Вы меня держите за дурака. Я просто хотел помочь ВАМ с данной игрушкой. Всем ПОКА. Мне это стало не интересно . дальнейшее общение только в личку если кому то интересен результат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1593] Автор : RV3DLX Дата : 11.03.2023 13:41 Вячеслав Б, Славик, ну нужно так резко! Все тут, я думаю, точно как и ты пытаются сделать как лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1594] Автор : RK4CI Дата : 11.03.2023 13:44 А кто как решает проблему разделения сигнала с антенны на два приёмника? Сейчас добрался до платы коммутации приём/передача, ну и при приёме нужен выход на несколько приёмников. Основное конечно минимум потерь при делении, и возможность пустить сигнал на один приёмник с минимумом потерь. Ну и аттенюация сигнала на приёмники в момент передачи. Может этой проблемой кто уже занимался, а то заниматься изобретением велосипеда, когда вокруг уже на автомобилях катаются... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1595] Автор : ra3gn Дата : 11.03.2023 14:24 rk4ci вот как разделить сигнал на 2 устройства без потерь, но был 1мкв поделили на 2 устройства, получили по 0,5 мкв на каждом , условно потери будут 3 дб. верно так:386119 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1596] Автор : RV3DLX Дата : 11.03.2023 14:30 Поаккуратнее будьте с разделением сигналов, а то при передаче второму приемнику может наступить ..... Я наблюдал случаи (реальные), когда две антенны у человека были, вторая была подключена ко второму приемнику и при передаче второй приемник выгорел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1597] Автор : RC3ZQ Дата : 11.03.2023 15:26 RV3DLX, Наверное в режиме передачи каждый вход нужно отключить от трансформатора сплиттера одними парами контактов реле, и заземлить другими парами контактов. ??? Кто то тут писал что в duo всё-равно Pure Signal не работает.... rz3qs, Евгений, простите если навязчиво задаю вопрос. Про микросхему Pca9555 дублирую вопрос: По адрессу i2c 4 какие сигналы управления имеет микросхема? У Павла на Github не сказано. Может у Вас есть информация? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1598] Автор : rz3qs Дата : 11.03.2023 15:56 По адрессу i2c 4 какие сигналы управления имеет микросхема? АТТ RX1, 1-2-4-8-16 dB, ATT RX2, 1-2-4-8-16 dB. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1599] Автор : RC3ZQ Дата : 11.03.2023 16:05 АТТ RX1, 1-2-4-8-16 dB, ATT RX2, 1-2-4-8-16 dB. Это я так понимаю для режима PS? Тоесть можно подключить ступенчатый цифровой АТТ типа на PE' шке? Остальные назначение микросхем остались без изменений ( в соответствии с таблицами которые приводил Павел) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1600] Автор : rz3qs Дата : 11.03.2023 16:21 Это я так понимаю для режима PS? Это для режима приемников, в программе для этого есть S-ATT, а уж потом, если нужно, то PS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1601] Автор : RC3ZQ Дата : 11.03.2023 17:10 rz3qs, Евгений, S- АТТ это типа расширенный АТТ? А то я тут как бы даже и примерно не в курсе.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1602] Автор : rz3qs Дата : 11.03.2023 17:24 S- АТТ это типа расширенный АТТ? Можно и так сказать, он шагает по 1 дБ, 0-31, что есть оптимально для АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1603] Автор : RC3ZQ Дата : 11.03.2023 17:34 rz3qs, Это означает что на выходах pca9555 с адрессом 4 имеется не прямое переключение а какой то код? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1604] Автор : rz3qs Дата : 11.03.2023 17:41 Это означает Это означает прямое соединение 9555 с АТТ типа PE4302. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1605] Автор : RC3ZQ Дата : 11.03.2023 17:50 rz3qs, Спасибо. Понял. А приоритет по приемникам как меняется? Быть может одновременно можно двумя pe4302 управлять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1606] Автор : rz3qs Дата : 11.03.2023 18:25 Быть может одновременно можно двумя pe4302 управлять? Не знаю. Может с какого пульта двумя руками и можно. Я тыкаю мышкой одной, либо АТТ RX1, либо ATT RX2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1607] Автор : RC3ZQ Дата : 11.03.2023 18:42 rz3qs, Спасибо. Я понял. Я видимо "криво" вопрос написал ещё. Физически два pe4302 можно одновременно подключить к выходам pca9555 которая по 4му адрессу? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1608] Автор : RK4CB Дата : 14.03.2023 17:21 Кто то тут писал что в duo всё-равно Pure Signal не работает... У меня заработал в тестовом режиме, это второе, что я проверял на DUO. Сам сигнал весьма чистый, что то около -85...90 дб палки не нужные, но pure signal убрал еще около 20 дб, кажется (проверял пару месяцев назад). Да, это выход duo на вход 1 был, уровень 2tone около 0дбм подавал. Режим тоже не помню, или anan100 или 7000. Усилитель есть, но пока лень продолжать делать( https://www.youtube.com/watch?v=TrSPWUYyGGM Не пинайте, программу только осваивал) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1609] Автор : ra3gn Дата : 14.03.2023 17:49 Мне очень интересно, какой мощностью работают ребята на гермесах и ред питаях, мне видится, что больше 5 вт никто не имеет, наш соотечественник, живуший в штатах написал, что при 100вт никто не услышит улучшения от работы "пуре сигнал". rk4cb Ром ну не знаю, что можно тут анализировать, может профи расскажут? Вы когда нибудь слышали сигнал больше 50 дб над уровнем шума? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1610] Автор : rz3qs Дата : 14.03.2023 18:15 Мне очень интересно Похоже совсем нет. Все давно показано. Кому было интересно все поняли. Примеров в нете море, да и в эфире можно услышать. И чем больше мощность, да с хорошими антеннами, да ВЧ диапазоны, где С/Ш бывает под 60 Дб и более, вся красота видна и слышно тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1611] Автор : RV3DLX Дата : 14.03.2023 21:38 наш соотечественник, живуший в штатах написал, что при 100вт никто не услышит улучшения от работы "пуре сигнал". Пусть он не говорит ерунду:-P:-P:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1612] Автор : RK4CB Дата : 14.03.2023 22:25 Вы когда нибудь слышали сигнал больше 50 дб над уровнем шума? В том и дело, что да. На 40ке, кто то с европы, увы забыл позывной, шел с уровнем под +40, у меня шумы 7 баллов...даже намека не было на юбку. Смотрел на это с отвисшей челюстью) А вот часто вижу, особенно по вечернему субтерминатору (не знаю, как правильно называется второй край терминатора))) ), когда соотношение сигнал-кхм, юбка, меньше 10 дб. И вот таких сигналов - много, увы. Поясню. Это когда прошел основной терминатор, после него часто бывает, что сигналы, которые ранее шли, вроде, нормально, обрастают очень "пушистым мехом" по краям, особенности вечернего прохождения. Очень неприятно это наблюдать со стороны, из Калининграда часто слышу это. Да и мне давно делали такие замечания, когда антенна нормальная была( а насчет +50 от шума... https://www.youtube.com/watch?v=pgvjbtbwF9g... Добавлено через 6 минут(ы): Ром ну не знаю, что можно тут анализировать, может профи расскажут? Не, тут пока нечего анализировать: на коленке, не разобравшись с программой, без реального усилителя...мог чего то и ошибиться) Да и уровня не те, что бы говорить о чем либо вообще. Ну -80 дб, при уровне 5 мкВт...ну и что? ))) Тут сам факт: пурсигнал работает. Пусть он не говорит ерунду Та не, в полосе излучения то может и правда не увидит))) А при 100 ваттах и за полосой тоже не увидит. А вот при кило++, как принято, негласно, вот там то все будет ясно и понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1613] Автор : ua3rmb Дата : 14.03.2023 22:30 Это он из зависти так говорит, я про ra3gn. ЕЕ много чего наобещало, но ничего - pure signal в частности - не сделало. Вся их работа над ошибками приводит только к новым, казалось бы уже устраненным ранее. И так всегда, и конца и краю этому не видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1614] Автор : RK4CB Дата : 14.03.2023 22:43 Вся их работа Увы, но альтернатив для контестов я не вижу. У меня SunSDR2 старый. Все моды шикарно работают. Да, SSB хочу на DUO запустить, будет толку больше...но остальное, CW, RTTY, увы, эксперты+SDC вне конкуренции. (я не "великий контестмен", только DX работаю) мне видится, что больше 5 вт никто не имеет я получил что то около 30...40 ватт (есть видео), но пока забил...есть другие дела. возится с коммутацией, аттенюацией для пурсигнала...не вдохновляет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1615] Автор : RK4CI Дата : 14.03.2023 23:07 при 100вт никто не услышит улучшения от работы "пуре сигнал" Даже более того, если ориентироваться только на слух, услышат только ухудшение. Работу "пуре сигнал" можно только увидеть на панораме. И если мощность 100 ватт и менее, и исходная линейность УМ лучше -30 дБс, то работу данной функции оценят разве что соседи. Ну и вы сами, если контролируете свой сигнал на панораме. А мне лично нравится слушать на самоконтроле, и видеть на панораме сигналы близкие к идеальному. И очень раздражала юбка, которая по уровню -80-100 дБ могла занимать пол диапазона. Надеюсь, что при применении данной функции, сигнал на собственной панораме станет выглядеть поприличнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1616] Автор : rz3qs Дата : 14.03.2023 23:34 Даже более того, если ориентироваться только на слух, услышат только ухудшение. Линейность выше, на слух ухудшение. Слух нужно менять. Работу "пуре сигнал" можно только увидеть на панораме. Вот только так и никак иначе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1617] Автор : RK4CI Дата : 15.03.2023 01:00 Линейность выше, на слух ухудшение. Слух нужно менять.Вам выложить картинки того, что происходит при ограничении линейного двух тонового сигнала? И как оценивается на слух это ухудшение линейности?Или рассказать о том, как относятся владельцы простых трансиверов к попыткам сделать их сигнал более линейным? Просто вводя цепь ALC. Наш слух ухудшение линейности даже до -10-15 дБс, а это ограничение до 20 дБ, воспринимает как повышение качества, плотности сигнала, его разборчивости на фоне других помех. В хороших трансиверах сигнал после обработки фильтруется. Во многих самоделках, тех же результатов добиваются просто чуть прибавив усиление по микрофону, или даже просто повышая голос. Это не слух надо менять, а отношение к самим понятиям линейности и качества. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1618] Автор : rz3qs Дата : 15.03.2023 02:37 Вам выложить картинки того, что происходит при ограничении линейного двух тонового сигнала? Нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1619] Автор : ua3rmb Дата : 15.03.2023 06:36 Это не слух надо менять, а отношение к самим понятиям линейности и качества. Тоже в 3х соснах путается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1620] Автор : RV3DLX Дата : 15.03.2023 07:54 какой мощностью работают ребята на гермесах и ред питаях, мне видится, что больше 5 вт никто не имеет Да неужели? Могу назвать несколько позывных радиолюбителей, которые работают на названных или подобных трансиверах с мощностью до киловатта. Имеют при этом прекрасное качество сигнала и в плане аудио и в плане интермодуляции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1621] Автор : UA6CT Дата : 15.03.2023 11:50 Мне очень интересно, какой мощностью работают ребята на гермесах и ред питаях, мне видится, что больше 5 вт никто не имеет, Хех. Ну у меня нет Питайи, но есть два гермесоподобных радио. Если суслика считать, то три гермесоподобных. У моих знакомых тоже их полно, разных. Меньше киловатта нет ни у кого) И да, пьюр сайнал очень и очень эффективно режет летящие по сторонам шкварки. За что его не любят (и не используют) бигганы, которым наоборот надо, чтобы вокруг них народ немного того... растолкался.) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1622] Автор : ra3gn Дата : 15.03.2023 13:40 Привет любителям гермесов. Вдруг есть свое видео с работой пуре сигнал, что бы выходной каскад был киловатным? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1623] Автор : rz3qs Дата : 15.03.2023 13:57 что бы выходной каскад был киловатным? Разница между 5 Вт и 1 кВт УМ будет только в значении АТТ обратной связи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1624] Автор : RV3DLX Дата : 15.03.2023 14:03 А зачем кино, если у Вас есть приемник с панорамой, понаблюдайте за эфиром на 80, 40 или 20 метров, к примеру Александр R3PK, практически каждый день бывает в эфире с киловаттом и с чистым сигналом. Как только появилась эта функция еще в программе PowerSDR MRX (лет этак 15 тому назад), я у себя сделал все для этого и после обсуждения на форумах (кто читал, тот знает), ко мне обратились разработчики SunSDR и выразили сомнение, что это вообще работает. Попросили снять кино, я снял, отослал им. Они посмотрели, сказали, что это здорово и введут в свой трансивер. И по сей день все делают:-P:-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1625] Автор : ra3gn Дата : 15.03.2023 14:22 Теория работает отлично, но применение на практике, обычно не всегда, вот и просил показать, кто применяет реально и сейчас. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1626] Автор : EU1SW Дата : 15.03.2023 17:44 И да, пьюр сайнал очень и очень эффективно режет летящие по сторонам шкварки. За что его не любят (и не используют) бигганы, которым наоборот надо, чтобы вокруг них народ немного того... растолкался.) Чистый сигнал у соревновательной станции говорит об отсутствии воли к победе (с) :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1627] Автор : UA6CT Дата : 15.03.2023 18:00 Привет любителям гермесов. Вдруг есть свое видео с работой пуре сигнал, что бы выходной каскад был киловатным? Давайте лучше так сделаем) Я вот прямо сейчас доделываю новые антенны, поэтому в режиме QRX. Но как только подниму их (надеюсь, в ближайшие недели) - назначим скед и прямо в эфире всё посмотрим.) На видео можно что угодно снять, а практика - критерий сами знаете чего) Чистый сигнал у соревновательной станции говорит об отсутствии воли к победе (с) Хаха! Точно!))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1628] Автор : ra3gn Дата : 15.03.2023 18:14 ua6ct Второй вопрос, который я задавал, был про сигнал от уровня щума, чтобы получить 50 дб, думаю, такой мощности у вас нет. У меня в деревне уровень шума почти всегда вечером на 80 - ке по с метру 7 единиц. Посмотреть ваш сигнал могут только соседи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1629] Автор : EU1SW Дата : 15.03.2023 18:23 панорама первого приемника Гермеса/АНАН/Анжелии в ПСДР очень точно и детально регистрирует и индицирует уровень IMD передатчика сосед не нужен -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1630] Автор : ra3gn Дата : 15.03.2023 18:28 Может быть я что то не понимаю, обьясните пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1631] Автор : UA6CT Дата : 15.03.2023 18:35 Посмотреть ваш сигнал могут только соседи. Ну, кроме восьмидесятки есть ещё сороковка, тридцатка и двадцатка, где мы с вами прекрасно друг друга услышим, если подгадать в пик прохождения) Не обещаю, что прям ровно 50 от уровня шума, но плюс-минус что-то примерно такое можно попытаться увидеть в реальном эфире. ;-) Скажите, кому нужны сферические пьюрсайналы в вакууме? На мой взгляд весь их смысл - как раз в том, чтобы в реальном (повторюсь) эфире не мешать другим. Нет, ну если вам интересно именно попугаев намерять, то никто вам запретить не сможет) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1632] Автор : EU1SW Дата : 15.03.2023 18:49 в гермесе есть аж целых 4 физических независимых "сущности" приемника, т.е. один поток данных от АЦП поступает на 4 обработчика PowerSDR, или Thetis, и железо, при включении функции PureSignal работает в полном дуплексе, первый приемник в режиме TX обрабатывает сигнал с выхода PA, это нужно обеспечить, отводы, аттенюация а второй приемник, через внутренний "заворот кишок" передает в программу "чистые" данные потока передатчика соответственно PowerSDR сравнивает эти оба потока, и корректирует данные, поступающие в передатчик, что бы на выхлопе максимально точно воспроизвести "исходник" так и работает эта система а на панораме, в режиме TX, при включенном дуплексе, вы видите реальный сигнал, который шурует в антенну, уже скорректированный, поскольку он и должен видеть выход передатчика, что бы система работала я у себя просто резистор в несколько кОм запаял на контакты реле RX/TX ))) что бы приемник "видел" сигнал передатчика с достаточным уровнем но у меня только 100 ватт для более мощных, и внешних PA, нужно предусмотреть коммутацию входа приемника на отдельное гнездо в режиме TX, а на выходе ПА установить ответвитель с аттенюацией и соединить шнурком -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1633] Автор : ra3gn Дата : 15.03.2023 18:51 ua6ct Мне понятно, о чем разговор, берем, например, лампу гк71 и снимаем 200вт, сигнал отличный, потом поднимает раскачку и снимаем 1к, сигнал тоже годится, но для теста, тогда современные технологии уже будут лишними. Я уверен, что у вас сигнал будет всегда в норме. Давайте подведем итог в виде тезисов: 5вт, 100вт, 1квт отлично работают и без пуре сигнала, если правильно сделано если нужна мощность 10к качайте выходной каскад в катод, пуре сигнал - это технология для трансляции видео в сети. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1634] Автор : EU1SW Дата : 15.03.2023 18:54 при включенной, и правильно настроенной, функции PureSignal, перекачать УМ не представляется возможным ) т.е. да, всегда, вне зависимости от соседей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1635] Автор : ra3gn Дата : 15.03.2023 19:22 дел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1636] Автор : EU1SW Дата : 15.03.2023 19:27 да хоть 5 порций несогласия, вы спрашиваете, я отвечаю как пользователь и разработчик :ржач: не нравятся ответы - ваше дело ) да, в некоторых пределах конечно сможет до края конечно доводить не стоит, цепь ООС не должна разрываться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1637] Автор : rz3qs Дата : 15.03.2023 19:29 пуре сигнал - это технология для трансляции видео в сети. Итог подведен. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1638] Автор : ua3rmb Дата : 15.03.2023 19:42 Каждому своё : сантехнику - сантехниково. :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1639] Автор : ra3gn Дата : 15.03.2023 20:12 Кстати, хочу разочаровать обладателей trx_duo, что работать пуре сигнал нормально не будет, если не получиться ввести данные о прямой и отраженной мощности в программу трансивера, однако. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1640] Автор : RK4CB Дата : 15.03.2023 20:44 сигнал отличный С юбкой -30 дб? Ну да, так то это предел мечтаний многих. Я еле влазию в него пока))) если не получиться ввести данные о прямой и отраженной мощности в программу трансивера Забыл сказать. Эти данные уже заведены и обрабатываются. Подключил усилок, а у него вход ом 300, примерно. Алекаю - трансивер обрубил передачу, с выдачей сообщения, что ксв большое. Нужно будет внимательно посмотреть, куда там чего разведено... ...хотя утверждение не совсем понятно, есть пруф какой нить, почитаю, что за завязка с ксв у пурсигнала? В VisAir нет никакой завязки, анализируется сигнал...и все... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1641] Автор : ra3gn Дата : 15.03.2023 20:49 Я имел ввиду, что с рефлектометра усилителя, нужно вводить аналоговые сигналы на вход 0 и1, а в Дуо эти ноги висят в воздухе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1642] Автор : RK4CB Дата : 15.03.2023 20:53 нужно вводить аналоговые сигналы на вход 0 и1 Нене, Владимир, это то я понял. Но нужно ли для работы пурсигнала информация о ксв? Вот это я пока не понял. Для ALC еще понятно...а вот связаны они разве? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1643] Автор : ra3gn Дата : 15.03.2023 20:54 Нужен сигнал прямой о выходной мощности. Алс это и есть сигнал о выходной мощности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1644] Автор : rz3qs Дата : 15.03.2023 22:40 Нужен Нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1645] Автор : RV3DLX Дата : 15.03.2023 22:52 Алекаю - трансивер обрубил передачу, с выдачей сообщения, что ксв большое Что бы не отключалась передача при высоком КСВ, в Сетапе Тетиса есть такой пунктик. КСВ никаким образом не влияет на работу функции PS-A. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1646] Автор : RK4CB Дата : 16.03.2023 07:49 Что бы не отключалась передача при высоком КСВ, в Сетапе Тетиса есть такой пунктик. Да, я на время сборки не стал его отключать, пусть лучше обрубает, показывая проблемы. Усилок чуть переделаю, что б входное было ближе к 50 омам. Но сам факт, опять таки, что отслеживает КСВ. КСВ никаким образом не влияет на работу функции PS-A. пока тоже не нашел подтверждение, что влияет. пруфов RA3GN не привел - сам не нашел) Да и ALC не цифровой сигнал, нести информацию о выходной мощности он не может. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1647] Автор : RV3DLX Дата : 16.03.2023 08:21 Да и ALC не цифровой сигнал В программе Тетис и в "гермесоподобных" трансиверах, ALC работает совсем не так, как многие привыкли. ALC там работает по НЧ и никак не связана с сигналом обратной связи по ВЧ (даже если он куда то и заведен в железо). Поэтому в этих трансиверах нет никаких выбросов мощности, которые происходят при работе традиционной системы ALC из за инерционности работы этой системы. Много транзисторных усилителей мощности народ пожег из за плохой работы ALC, такая проблема существует и в трансиверах SunSDR (о чем было много дебатов в соответствующих форумах). P.S. В программе Тетис ограничение выходной мощности происходит не по сигналам ВЧ с усилителя, а по параметрам установленным в таблице Сетапа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1648] Автор : cimos Дата : 16.03.2023 10:25 (лет этак 15 тому назад), я у себя сделал все для этого и после обсуждения на форумах (кто читал, тот знает), ко мне обратились разработчики SunSDR и выразили сомнение, что это вообще работает. Попросили снять кино, я снял, отослал им. Они посмотрели, сказали, что это здорово и введут в свой трансивер. И по сей день все делают. Скорее всего и не сделают. Раз столько времени лгут пользователям на каждом стриме, что типа вот, вот... и будет все в ажуре. Разводилово публичное, не более того. Сам попал на эту удочку :cry: Софт хороший, но уже давно не дотягивает до качественного SSB. Покрошили его сильно в 3-ем колене. Если раньше хоть какое-то стремление было, то сейчас все в иное русло ушло. Thetis вон как развивается, не догнать. Единственно, что мне в нем не нравится, это само звучание аудио. Такое ощущение, что "ложкой по стакану стук". Вот старые программы Power mRX чуток мягче звучат. Пробовал уменьшать порядок в фильтрах, это как-то не помогло. Перехожу на PSDR mRX 3.2.27 и комфорт вот он :smile:, "ухи" радуются и не устают. Может кто-нибудь пояснит в чем разница? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1649] Автор : RV3DLX Дата : 16.03.2023 10:47 "ухи" радуются и не устают. Честно говоря, я этого не замечаю. Возможно уши у меня не правильные:-P. Но я слушаю аудио сигнал с кодека трансивера, мне кажется там качество сигнала не зависит от программы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1650] Автор : rz3qs Дата : 16.03.2023 11:15 мне кажется там качество сигнала не зависит от программы Не вижу и не слышу разницу mRX и Thetis. Если просто слушаю SSB, то делаю самый худший вариант фильтра основной селекции. А так это дело тонкое. Нужен эталонный сигнал. Т.е. запись эфира IQ. И уже по ней делать замечания, она у всех будет одна, только разные уши. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1651] Автор : UA4HQS Дата : 16.03.2023 11:28 нужно вводить аналоговые сигналы на вход 0 и1, а в Дуо эти ноги висят в воздухе. В моем случае если их посадить на землю, показания fwd и ref pwr четко "0". При отсутствии на них сигнала, равно как и подаче 3 в, fwd и ref pwr хаотично изменяются. Это, конечно, не говорит, о том, что входы работают как положено, но то, что они не висят в воздухе - 100% -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1652] Автор : ra3gn Дата : 16.03.2023 11:34 Это неплохо, только не совсем понятно, кому можно задать вопрос, написал производителю устройства, проверить, а есть ли обратная связь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1653] Автор : UA6CT Дата : 16.03.2023 12:58 Thetis вон как развивается, не догнать. Единственно, что мне в нем не нравится, это само звучание аудио. Такое ощущение, что "ложкой по стакану стук". Вот старые программы Power mRX чуток мягче звучат. Два вопроса. Только пожалуйста, коллега, ни в коем случае не примите за ёрничание или там подколку какую, мне на самом деле интересно. 1. В чём развитие "Тетиса"? Я после доооолгого перерыва скачал свежую версию - и кроме украинского флага на панораме особых нововведений не обнаружил. Всё тот же убогий и неюзабельный интерфейс, к сожалению. 2. В чём разница звука ПСДР МРх и Тетиса? Нельзя ли запись сделать, на которой эта разница будет слышна? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1654] Автор : RU6AI Дата : 16.03.2023 13:16 Может кто-нибудь пояснит в чем разница? Разницу наблюдал, когда с SDR-1000 с обработкой в хорошей звук.карте перешел на прямую оцифровку...Там да.Разница была. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1655] Автор : rz3qs Дата : 16.03.2023 13:17 Всё тот же убогий и неюзабельный интерфейс С убогим все понятно. А вот неюзабельный (два вида интерфейса) как сказывается при проведении обычных связей. Исправление ошибок в программе тоже можно считать развитием. Добавлен TCI, пока только CAT. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1656] Автор : ua3rmb Дата : 16.03.2023 13:25 Разница в звуке есть между Тетисом и сдр консолью, и весьма существенная, в пользу консоли. Насчёт интерфейса, всё относительно. Кое-кто и от квиска тащится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1657] Автор : RV3DLX Дата : 16.03.2023 13:37 после доооолгого перерыва скачал свежую версию Наверно не самую свежую. Флага там никакого нет. Появился вывод всяких "показометров" на экран и очень гибкое управление этим. Ну что насчет "неюзабельности", я никаких неудобств не испытываю (возможно это мое личное восприятие интерфейса). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1658] Автор : UA4HNU Дата : 16.03.2023 14:14 в Тетисе по с равнению с повер сдр умудрились поломать звук как по приему так и по передаче ну наверно от избытка ума в консоле звук по приему заметно лучше да и понорама приятней на глаз а вот с передачей все криво впрочем может только у меня (анвелина про2) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1659] Автор : UA6CT Дата : 16.03.2023 14:17 Добавлен TCI, пока только CAT. О, это прям хорошо. Звук по TCI - это та ещё лотерея, на самом деле, лучше уж костылить с виртуальными кабелями. А вот неюзабельный (два вида интерфейса) как сказывается при проведении обычных связей. Я вот подумал - а что считать "обычными" связями? У меня в логе крайние связи - 3y0, FT8WW, 3B7 ну и всякое такое. Если бы не dj-панель, то я не очень представляю себе, как можно всласть колотить в тесте или трудного дэха ковырять в этих двух вариантах интерфейса. На мой вкус, повторюсь, убогих и неюзабельных. Впрочем, я далёк от того, чтобы кому-то навязывать своё мнение, кому-то и КВИСК прекрасен, Сергей правильно заметил.) Наверно не самую свежую. Флага там никакого нет. 386365 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1660] Автор : RU6AI Дата : 16.03.2023 14:20 в этих двух вариантах интерфейса Так можно же скины поменять... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1661] Автор : rz3qs Дата : 16.03.2023 14:33 Я вот подумал - а что считать "обычными" связями? ЗДР, 59, погода, антенны, ДСВ. Если бы не dj-панель, Подключайте к Thetis. И реальная польза от панели только в SSB. как можно всласть колотить в тесте или трудного дэха ковырять в этих двух вариантах интерфейса В CW проблем нет, даже с одним монитором. А так да, каждый сам решает, что лучше и если есть выбор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1662] Автор : RK4CB Дата : 16.03.2023 14:34 Так можно же скины поменять... Не про скины речь, а advanced и обычный. Мне пришлось несколько дней все настройки перелопачивать, что б как то использовать можно было. Все разбросано везде. Нет какой-либо логической группировки. Нигде, ни в интерфейсе - ни в настройках. Цветовые гаммы из 2000 года. Внешний вид кнопок-ползунков - оттуда же))) Детализация водопада - мухи и то тоньше гадят. В процессе связи, если захочешь что либо переключить\изменить - минуту на вспомнить, а где это вообще. Но увы, сочетания диверсити, пурсигнала и вообще двух ацп больше нет (итальянское поделие лучше не упоминать, а sdr console, по сути, пока только принимает, без диверсити). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1663] Автор : ua3rmb Дата : 16.03.2023 14:50 https://community.apache-labs.com/viewforum.php?f=9&sid=e7fe84c844d801b002cfe258b7985cba Читайте, вникайте, может, проникнитесь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1664] Автор : rz3qs Дата : 16.03.2023 14:51 Нет какой-либо логической группировки. Нигде, ни в интерфейсе - ни в настройках. Все там есть. Про привязку правой кнопки мыши к кнопкам интерфейса похоже не знаете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1665] Автор : RK4CB Дата : 16.03.2023 15:08 привязку правой кнопки мыши к кнопкам интерфейса Знаю, сразу нашел. Но увы, приводит правый клик далеко не туда, куда надо. Пример? Настройки NR2. Он вообще на другой вкладке настраивается) А то, куда приводит правый клик вообще один раз настраивается...если вообще трогается. Читайте, вникайте, может, проникнитесь. Улучшения детализации водопада там нет, читал, спасибо. По итогу то привыкнуть можно, да. Но есть с чем сравнивать ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1666] Автор : rz3qs Дата : 16.03.2023 15:25 Пример? Настройки NR2. Видимо у нас разные программы. Да и с водопадом тоже нормально, детализация даже избыточна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1667] Автор : RV3DLX Дата : 16.03.2023 15:41 Внешний вид кнопок-ползунков - оттуда же Только у меня есть штук 30 разных скинов, в природе их наверно еще больше, с разнообразными формами кнопок и движков, а цвета можете настроить так как Вам нравится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1668] Автор : RK4CB Дата : 16.03.2023 15:57 Ладно, это все не важно. Надо усилитель приделать, да радоваться) Сам то ничего такого не сделаю))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1669] Автор : UA6CT Дата : 16.03.2023 16:12 И реальная польза от панели только в SSB. Да ну. Скаты фильтров двигать, например. Громкость-баланс Мain и Sub. Расстройки. Уровни. Да лень перечислять, куча параметров, которые иногда немедленно, срочно нужно дёрнуть, чтобы принять/не потерять темп. При этом фокус в винде должен оставаться на окне логгера. Евгений, это не то что спорное утверждение, это прям дезинформация) У меня панель к Тетису подключена с момента выхода Тетиса. Ну, точнее, с того момента, как N7DDC перепилил Оди-2 под второй протокол. Нет какой-либо логической группировки. Нигде, ни в интерфейсе - ни в настройках. Цветовые гаммы из 2000 года. Внешний вид кнопок-ползунков - оттуда же))) Детализация водопада - мухи и то тоньше гадят. В процессе связи, если захочешь что либо переключить\изменить - минуту на вспомнить, а где это вообще. Да, ДА!!!! ППКС!) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1670] Автор : ra3gn Дата : 16.03.2023 16:18 Есть такое понятие - дружественный интерфейс, когда показал человеку основные органы управления , а остальное он сам найдет, не, я сам не могу понять, как этой штукой управлять уже 2 месяца, даже есть инструкция. Если что то не заработает в течение 15 минут, уже не будет работать, никогда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1671] Автор : RU6AI Дата : 16.03.2023 16:19 Мне панель в CW очень помогает.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1672] Автор : ua3rmb Дата : 16.03.2023 17:56 https://groups.io/g/ody-sdr/topic/andromeda_controller_with/79072627?p=,,,20,0,0,0::recentpostdate/sticky,,,20,0,0,79072627,previd%3D1676490962131680825,nextid%3D1618870576684988499&previd=1676490962131680825&nextid=1618870576684988499 Для тех, кто не видел, ну и для общего развития. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1673] Автор : rz3qs Дата : 16.03.2023 18:02 При этом фокус в винде должен оставаться на окне логгера. Вот здесь согласен. А так много лишних движений, в тесте они просто недопустимы. Бывает перебор фильтра, 500,250, 150 Гц. И то крайне редко. Вариантов стиля работы может быть много, а при любом сравнении всегда нужна точка отсчета, всем понятная. Тогда получается конструктивный диалог. Иначе начинается бесконечный полет фантазии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1674] Автор : RV3DLX Дата : 16.03.2023 19:42 UA6CT, Если у Вас в Сетапе нет такой картинки, то у Вас не самая свежая версия Тетиса. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1675] Автор : ua3rmb Дата : 16.03.2023 19:52 Немного видео. https://cloud.mail.ru/public/6wat/PEYugtFjp -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1676] Автор : UA4HQS Дата : 16.03.2023 20:05 UA6CT, Если у Вас в Сетапе нет такой картинки, то у Вас не самая свежая версия Тетиса. Юрий. А ссылку дадите? У меня явно не самая свежая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1677] Автор : RV3DLX Дата : 16.03.2023 20:10 А ссылку дадите? Ссылку дать не могу, программу мне прислали друзья. Могу прислать файлы на почту. Дайте адрес в "личке". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1678] Автор : ua3rmb Дата : 16.03.2023 20:26 Юрий, спасибо! У меня версия стоит 2.9.0.7. Завтра попробую. Хотя меня и эта устраивает, да и Ричи обещал в выходные выложить полную версию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1679] Автор : RV3DLX Дата : 16.03.2023 20:37 Устанавливайте, вот такие приборы появятся (если захотите). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1680] Автор : r4ii Дата : 16.03.2023 20:44 Всем доброго время суток! С сайта Red Pitaya на мыло пришло сообщение: "Мы рады объявить о выпуске новой операционной системы Red Pitaya 2.00 Beta. Последняя версия совместима со всеми моделями Red Pitaya и может похвастаться многочисленными функциями, которые обязательно улучшат ваш пользовательский опыт." Есть смысл обновляться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1681] Автор : ua3rmb Дата : 16.03.2023 21:06 Юрий, а какова загрузка процессора при отключенных измерителях? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1682] Автор : RK4CB Дата : 16.03.2023 21:09 Есть смысл обновляться? принято пруфы (https://content.redpitaya.com/blog/the-new-2.00-beta-os-release) приводить, а по ним сразу видим: Added support for Pavel Demin’s sdr_receiver_hpsdr, sdr_transceiver_hpsdr Да, стоит))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1683] Автор : RV3DLX Дата : 16.03.2023 22:17 Юрий, а какова загрузка процессора при отключенных измерителях? Индикаторы на загрузку не влияют у меня. Загрузка 15-20%. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1684] Автор : ua3rmb Дата : 16.03.2023 23:13 А на скриншоте 37%. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1685] Автор : cimos Дата : 16.03.2023 23:16 В чём разница звука ПСДР МРх и Тетиса? Нельзя ли запись сделать, на которой эта разница будет слышна? Можно. Но только завтра скорее всего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1686] Автор : ua3rmb Дата : 17.03.2023 07:12 https://community.apache-labs.com/viewtopic.php?f=9&t=4554 Cбылось... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1687] Автор : rz3qs Дата : 17.03.2023 07:57 Cбылось... Для RA3GN измеритель С/Ш. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1688] Автор : RV3DLX Дата : 17.03.2023 08:08 А на скриншоте 37%. Бывают выбросы загрузки, возможно в этот момент из Интернета что то качалось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1689] Автор : ua3rmb Дата : 17.03.2023 08:18 У меня на вин10про 4-6% при одном приёмнике и максимум 10 при 2-х. Но комп чисто для работы, интернет отключён совсем. Для него есть ноутбук. А так да, показывает суммарную загрузку проца. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1690] Автор : rz3qs Дата : 18.03.2023 15:01 Обновил Thetis. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1691] Автор : UA6CT Дата : 18.03.2023 15:51 Обновил Thetis. А где взяли? Тут нет - https://github.com/TAPR/OpenHPSDR-Thetis/releases -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1692] Автор : rz3qs Дата : 18.03.2023 15:56 А где взяли? Здесь. (https://github.com/ramdor/Thetis-2.9.0/releases/tag/v2.9.0.8pre) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1693] Автор : ua3rmb Дата : 18.03.2023 16:54 https://community.apache-labs.com/viewtopic.php?t=4554 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1694] Автор : UA6CT Дата : 18.03.2023 16:58 Здесь. Большое спасибо. Сразу вопрос. Значит ли это, что тетис теперь умеет работать с сусликами? 386494 UPD. Все так шумят по поводу показометров... Мужчины, а для вас реально имеет значение форма и цвет S-метра?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1695] Автор : rz3qs Дата : 18.03.2023 17:06 Значит ли это, что тетис теперь умеет работать с сусликами? Нет. А вот протокол TCI от "суслика" да. Мужчины, а для вас реально имеет значение форма и цвет S-метра?? Когда его нет, то не имеет. А в SSB на передачу от них (измерителей) есть реальная польза. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1696] Автор : UA6CT Дата : 18.03.2023 17:12 А в SSB на передачу от них есть реальная польза Какая? Я реально не понимаю. Вот какая от правильного показометра на усилителе - понимаю. Какая от измерителя мощи-КСВ после усилителя - понимаю. А какая от показометра в программе на компе - не осилил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1697] Автор : EU1SW Дата : 18.03.2023 17:14 Мужчины, а для вас реально имеет значение форма и цвет S-метра?? вот реально хороший и правильный вопрос есть возможность - используем, не нравится - не используем -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1698] Автор : rz3qs Дата : 18.03.2023 17:24 А какая от показометра в программе на компе - не осилил. Чтобы осилить нужно просто взять и реально глянуть. Настройка правильного SSB сигнала. Корректная работа PS. Если это нужно. А нет, так и не включаем ни один показометр. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1699] Автор : ua3rmb Дата : 18.03.2023 17:28 Какая? Я реально не понимаю. Вот какая от правильного показометра на усилителе - понимаю. Какая от измерителя мощи-КСВ после усилителя - понимаю. А какая от показометра в программе на компе - не осилил. У меня нет внешнего усилителя. Поэтому использую показометром в программе. А с усилителем - будет виден уровень раскачки внешнего. Если одним словом - большая. От вас даже как-то странно это слышать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1700] Автор : rz3qs Дата : 18.03.2023 17:28 Какая от измерителя мощи-КСВ после усилителя - понимаю. Это можно завести на показометр программы, мощность в нем меняется. Авторы сделали правда только до 2.5 кВт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1701] Автор : UA6CT Дата : 18.03.2023 17:32 Мужчины, так вроде чисто функционально показометр программы всё это показывал уже много лет, не? Сейчас только форма стрелочек поменялась - и всё разразились восторгами. Я и спросил - вам реально так важна форма и цвет стрелочек? Я вообще всегда только на цифры смотрел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1702] Автор : cimos Дата : 18.03.2023 23:22 Но только завтра скорее всего. Погонял и я на новом Thetis. Александр подсказал с настройками. Теперь с звуком все просто отлично! Поднастроил немного рекордер, чтобы свои записи можно было анализировать. Вообще вещь! Классно прога работает :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1703] Автор : rz3qs Дата : 18.03.2023 23:43 Классно прога работает Не обижайте другие программы. Работает нормально. Пока нет возможности работать в тестах. Но слушаю, в два уха, два диапазона, полный дуплекс. Основная работа на клавиатуре. Ни валкод, ни мышь почти не нужно в CW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1704] Автор : RK4CI Дата : 19.03.2023 08:05 два диапазона, полный дуплекс. Как понимаю, полный дуплекс это работа на передачу, и одновременный контроль двумя приёмниками. А как используются каналы TX? Работает один из них, работают параллельно, можно заставить их работать на разных частотах? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1705] Автор : rz3qs Дата : 19.03.2023 09:50 Работает один из них У меня работает только один выход TX, либо на частоте RX1, либо RX2. можно заставить их работать на разных частотах? Не знаю, мне это в трансивере не надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1706] Автор : RK4CI Дата : 19.03.2023 10:18 либо на частоте RX1, либо RX2. Есть выбор какой из каналов TX использовать, или каждый канал TX привязан к определённому приёмнику? У себя вроде столкнулся с ситуацией что при передаче задействованы оба канала TX параллельно. Но как именно они работают, в фазе, с каким то сдвигом, не разбирался. Английским не владею, поэтому что то искать в настройках, для меня большая проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1707] Автор : rz3qs Дата : 19.03.2023 11:04 Английским не владею, А комп для чего, только писать на форумах. Нормально переводит. Ваш вопрос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1708] Автор : RK4CI Дата : 19.03.2023 11:22 Ваш вопрос.По моему это уже больше относится к разряду программирования, а не использования готового изделия. И в приведённом фрагменте непонятно, TX какого канала будет направлен на 2 выход. Пока в трансивере оставлена плата Гермеса. DUO используется как обычный прибор. Спектроанализатор, генератор, в том числе и двухтонального сигнала. И всё не оставляет мысль получить эти два тона с разных каналов ТХ. Хотя для канала передачи, которым сейчас и занимаюсь, и того что выдаёт один канал ТХ хватает с головой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1709] Автор : rz3qs Дата : 19.03.2023 11:34 И в приведённом фрагменте непонятно Все там понятно. Просто у Вас нет интереса к этому вопросу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1710] Автор : ra3gn Дата : 19.03.2023 12:56 rk4ci покажите фото своего гермеса, очень интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1711] Автор : RK4CI Дата : 19.03.2023 13:00 покажите фото своего гермеса,ВЫ что, плату Гермеса не видели? Или интересует фото самого трансивера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1712] Автор : UA4HQS Дата : 19.03.2023 13:50 ВЫ что, плату Гермеса не видели? Или интересует фото самого трансивера? IMHO "Гермес" сейчас это то же, что "Ксерокс". SDR DDC/DUC на циклоне с openhpsdr. Вариантов исполнения вагон и маленькая тележка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1713] Автор : RK4CI Дата : 19.03.2023 14:07 IMHO "Гермес" сейчас это то же, что "Ксерокс"Не знаю. Может и есть какие разновидности. Сам фото платы я не делал, но при покупке продавец мне с десяток его фото скинул. Так что показать вполне есть что. 386519 У меня плата выглядит так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1714] Автор : ra3gn Дата : 19.03.2023 14:09 Для меня интерес - фото завершенного трансивера, что на входе, 5вт или больше. Я эксплуатировал с 2012 года сансдр, темой гермесов не интересовался, видел суету вокруг, только сейчас стало понятно, работают в эфире не так много человек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1715] Автор : RV3DLX Дата : 19.03.2023 14:42 Вот внешний вид трансивера на основе платы Гермес и что там внутри. Работает этот трансивер и по сей день. А много ли радиолюбителей на них работают, прямо сегодня троих слышал. Юрий. P.S. И говорю сейчас именно о трансиверах в которых основу составляет именно плата Hermes. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1716] Автор : ra3gn Дата : 19.03.2023 15:06 У меня есть крутилка с кнопками для оперативного управления, но для работы в тесте нужна только клавиатура: 386524 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1717] Автор : RK4CI Дата : 19.03.2023 16:11 Для меня интерес - фото завершенного трансивера, что на входе, 5вт или больше. Ну скорее на выходе. Номинал 150-200 ватт. Но плата УМ пока не запущена. Сейчас как раз и занимаюсь установкой плат передающего тракта в корпус. Просто на столе они уже были запущены. Теперь установка в корпус, управление с передней панели, коммутация приём/передача. А здесь ещё и проблема разделения сигнала с антенны минимум на пару приёмников. С возможностью при необходимости перевести всё на один приёмник без потерь... 386525386526 На первом фото, внешний вид. На втором немного внутренностей. Плата Гермеса в откидном блоке справа. Сейчас компоновка чуть другая. Подготовил блок к возможной замене платы, и добавлению блока ДПФ для второго приёмника. Это если вдруг до этого дойдёт. Валкодер Гермеса, большая ручка под панорамой. Сейчас добавил рядом и механический валкодер. На стационаре, с большим монитором, вполне можно и мышкой работать. А вот в автономном режиме, над удобством управления цифровой частью, ещё надо думать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1718] Автор : ra3gn Дата : 19.03.2023 20:34 Существует 3 концепции современного радио: Старый трансивер, управление кнопками и маленький дисплей(айком7300). Вторая , как сансдр, все в мониторе компьютера. Третья сенсорная панель, кнопки, как у флекс. У Вас какая ближе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1719] Автор : RK4CI Дата : 19.03.2023 20:55 У Вас какая ближе? Наверное что то среднее между последними двумя. Это для Гермеса. Ну а для аналога первая версия. Именно управление аналоговым трансивером практически всю переднюю панель и заняло. Управление Гермесом тач скрин монитора, да пара валкодеров. Ну может ещё куда несколько кнопок приткну. Но пока надо бы запустить в том виде как задумывалось. Существует 3 концепции современного радио: Ну этот трансивер ни в какую концепцию не вписывается. В нём два полноценных трансивера. Аналоговый, и цифровой, на базе платы Гермеса... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1720] Автор : RC3ZQ Дата : 20.03.2023 05:06 RK4CI, На 7дюймах PSDR или Thetis это честно говоря не удобно для управления сенсором. Тут как вариант встроенный midi пульт в купе мыша&клава. Так же можно уйти на вариант pihsdr от западных коллег. Но малинка сейчас стоит хорошие деньги. Под другое железо с GPIO нужно переделать софт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1721] Автор : ua3rmb Дата : 20.03.2023 06:22 Думаю, будет интересно. https://groups.io/g/ody-sdr/topic/andromeda_controller_with/79072627?p=,,,20,0,0,0::recentpostdate/sticky,,,20,0,0,79072627,previd%3D1676490962131680825,nextid%3D1618870576684988499&previd=1676490962131680825&nextid=1618870576684988499 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1722] Автор : RC3ZQ Дата : 20.03.2023 07:09 ua3rmb, Спасибо. Просматривал этот проект. Там есть весь материал для, повторения. Приличная конструкция, а передняя панель так скажем так красавица. Интересно есть наши коллеги кто её повторил??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1723] Автор : ua3rmb Дата : 20.03.2023 07:23 Не встречал таких. Хотя именно этот контроллер очень интересен, я даже смотрел цены на эту ардуину - 3200 на али, что гораздо дешевле той же RP, особенно с учётом её ограниченной функциональности. Проект интересен своей законченностью и полным описанием, в первую очередь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1724] Автор : RC3ZQ Дата : 20.03.2023 07:37 ua3rmb, Там насколько я понимаю arduino due? Такие проекты сейчас повторять только нужно группой радиолюбителей ,(печатки, панели) выгодней и дешевле заказать на "толпу". Ну а ещё проще так использовать midi пульт от Александра https://forum.qrz.ru/184-sdr-tehnika/52363-midi-pult-dlya-sdr-programm-na-arduino.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1725] Автор : RV3DLX Дата : 20.03.2023 08:40 Красивый и хорошо проработанный проект, но цена большая. Но дело даже не в цене, после того как я сделал трансиверы Тюльпан, VisAir и Маламут МII, я пришел к выводу, что "чемоданные" трансиверы это не мое, использую постоянно трансиверы с выводом управления на монитор, а те пылятся на полке. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1726] Автор : RC3ZQ Дата : 20.03.2023 08:48 RV3DLX, По крайней мере намного дешевле ежели управление на базе малинки. Если гонять ПК то он должен быть тихим и чисто заточен под работу в эфире. Напрашивается вывод что вторая машина тоже должна быть в шеке. Ну а так да, дело привычки и на любителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1727] Автор : ua3rmb Дата : 20.03.2023 09:02 Arduino Nano Every используется в этом проекте и 7 дюймовый дисплей с большим разрешением, нежели в контроллере v2 на малине. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1728] Автор : ra3gn Дата : 19.04.2023 11:13 Привет любителям trx_duo Интересный законченный проект трансивера сделал G8NJJ и самое главное, вся документация есть на гитхаб и все там работает: https://github.com/laurencebarker/G8NJJ-SDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1729] Автор : Вячеслав Б Дата : 23.04.2023 13:54 Привет любителям попаять. Я тоже сделал на TRX-duo и всё работало кроме аналоговых входов. Я даже видео выкладывал с показом работы на двух диапазонах сразу. Потом всё благополучно закончилось, Плата перестала определяться. У моего знакомого плата прямо из коробочки уже не определялась. Я свою отослал в Китай и как написал продавец, её просто заменили на заводе. Он также утверждает, что на заводе всё тестируется. Всё это хорошо, но вот только здесь народ пишет, что якобы всё работает, но почему то ни кто не даёт ссылку на видео, что именно всё работает и при приёме и на передачу и что при передаче показывает КСВ и мощность, и что работает ПУР сигнал. Я призываю участников: меньше слов, а больше дела. Покажите свои конструкции и фото и видео. Если писать "У МЕНЯ ВСЁ РАБОТАЕ" то комп всё выдержит.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1730] Автор : ra3gn Дата : 23.04.2023 14:03 Писал ранее, в ветке было много пользователей, однако только один человек довел до законченной конструкции- ua3rw. Поэтому ждать результата, не приходится. Кстати, в конструкции g8njj есть дисплей, на котором отражается выходная мощность. Искал управление атт, нашел. 388155 388156 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1731] Автор : RK4CI Дата : 23.04.2023 14:53 Что то нигде в описаниях конструкций не попалась схема разделения сигнала с антенны на два приёмника. Ходя для СДР с двумя независимыми каналами приёма это может быть актуально. Пришлось хоть что то придумывать самому. 388157 Это схема платы узла коммутации приём/передача, совмещённая с узлом разделения сигнала на два приёмника. Два реле обеспечивают саму коммутацию при передаче. И одно дополнительное реле при необходимости включает канал дополнительного приёмника. Плата рассчитана на мощность в режиме передачи до 200 ватт. В режиме передачи часть сигнала ответвляется и на приёмник. Для обеспечения самоконтроля и цифровой ООС, если она предусмотрена в трансивере. Обеспечить равномерного подавления сигнала по частоте, у меня не получилось. Примерно -75 дБ на низкочастотных диапазона. И до -60 дБ на 50 мгГц. В остальных режимах характеристики платы до частоты 50 мгГц практически линейны, и КСВ по входу, что при 1 подключенном приёмники, что при двух, практически равно 1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1732] Автор : cimos Дата : 23.04.2023 14:54 Интересный законченный проект трансивера сделал G8NJJ и самое главное, вся документация есть на гитхаб и все там работает: https://github.com/laurencebarker/G8NJJ-SDR. При каких делах тут TRX DUO, если эта версия требует нормальной прошивки, которую никто не предоставил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1733] Автор : ra3gn Дата : 23.04.2023 15:23 Значит у меня "нормальная прошивка", возможно я что то не понимаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1734] Автор : rz3qs Дата : 23.04.2023 18:19 Что то нигде в описаниях конструкций не попалась схема разделения сигнала с антенны на два приёмника. У меня немного отличается этот узел, но и конечная цель наших трансиверов думаю разная. Ставить делитель сигнала с одной антенны на два приемника внутрь трансивера не стал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1735] Автор : RK4CI Дата : 23.04.2023 19:05 Ставить делитель сигнала с одной антенны на два приемника внутрь трансивера не стал.Так если судить по фото, у вас этот блочёк так же внутри трансивера. Интересно посмотреть на полную схему, как коммутируются входы в момент передачи. У меня это схема с рабочего антенного входа при 1 антенне. Есть еще чисто антенный вход для аналогового приёмника. Место для отдельных входов СДР части трансивера. Ну и да, я стараюсь не плодить десяток отдельных коробков на столе. А всё уместить в корпусе трансивера. УМ в трансивере при любом раскладе один. Думаю что и цифровую ООС удастся задействовать именно с аттенюатора этого блока. Надеюсь в самой программе СДР, будет возможность настроить необходимую обратную мощность, отдельно для каждого диапазона. Применять этот режим на практике ещё не пробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1736] Автор : rz3qs Дата : 23.04.2023 19:18 Интересно посмотреть на полную схему, как коммутируются входы в момент передачи. Схема показала, куда еще полней. УМ в трансивере при любом раскладе один. И у меня один. Но одно нажатие клавиши клавиатуры в окне Thetis или в окне лога (по TCI) передатчик будет либо на частоте RX1, либо RX2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1737] Автор : RK4CI Дата : 23.04.2023 21:16 Схема показала, куда еще полней.На схеме нет ФНЧ. Нет делителя мощности, если возникнет необходимость подключить 2 приёмника на одну антенну. Непонятен сам порядок отработки реле при передаче. У меня на схеме так же фактически только коммутация при одной антенне. Перед ФНЧ и КСВ метром переключатель выбора антенны. У аналогового приёмника выход на собственную антенну. Ну а для антенн СДР просто есть место, где можно их установить. Пока ещё не определился какую плату оставить в трансивере. Плату Гермеса благополучно добил, подав на процессор 1,2 в с более мощного источника. Но собираюсь попробовать её восстановить. TRX DUO, в корпус пока не устанавливал. При необходимости просто подцепляю его к шнурам, к котором была подключена плата Гермеса. Возможности подключения дополнительного приёмника там пока нет. Как и собственных УВЧ, АТТ. При отказе от Гермеса, всё это придётся добавлять внешней платой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1738] Автор : rz3qs Дата : 23.04.2023 22:07 На схеме нет ФНЧ. ФНЧ стоит на плате УМа и к приемникам не имеет отношения. Нет делителя мощности, если возникнет необходимость подключить 2 приёмника на одну антенну. Он есть, написал же, только внешний, когда нужно можно и включить. Непонятен сам порядок отработки реле при передаче. Этот порядок определяет Thetis, что выбрал, то и включилось или переключилось при переходе RX/TX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1739] Автор : RK4CI Дата : 24.04.2023 15:33 что выбрал, то и включилось или переключилось при переходе RX/TX. Что бы что то включилось или переключилось, нужны цепи управления реле. До них, дешифраторы команд с процессора. А на схеме только цепи прохождения сигнала. Более 10 реле. И нет обозначения что это за реле. Пара контактов на переключение, способных пропускать не менее 100 ватт. И желательно достаточно быстрых. У меня реле коммутируемые при переходе приём/передача, герконовые. Те что просто переключают антенны, обычные. Для них скорость переключения не особо критична. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1740] Автор : ra3gn Дата : 24.04.2023 18:46 Привет любителям so2r Перед построением системы для работы в режиме so2r, нужно задуматься. а какой мощностью вы собираетесь работать, но в любом варианте развязка между 2 диапазонами в системе коммутации должна быть более 120дб. Для примера, если 2 кабеля положить рядом, которые идут к антеннам 2-х разных диапазонов, они будет "развязаны" всего на 60 дб. Это мой опыт, т.е. он субьективен, однако. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1741] Автор : Вячеслав Б Дата : 07.05.2023 21:50 Хочу поддержать тему. Получил после ремонта TRX-duo. Вернее не после ремонта, а отослал не работающую плату и прислали чисто новую. Всё запустил. Работают все опции. И аналоговые входа тоже. Работает хорошо и второй протокол. Дерзайте господа и у вас будет счастье, а пока всех с праздником и хороших связей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1742] Автор : RC3ZQ Дата : 08.05.2023 00:04 Вячеслав Б, Приветствую. Как запустили второй протокол? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1743] Автор : Вячеслав Б Дата : 08.05.2023 09:53 Доброе утро. Второй протокол скачал с гит хаба. Сейчас даже не помню ссылку так как было месяц назад. Определил IP TRX-duo, соединился через браузер и выбрал трансивер. Запустил программу Тетис 2.9.0.30. Всё соединилось и заработало. Звук только через кодек. VAC звук не даёт, а значит наверное обычным путем в FT8 работать не получится. Пока не проверял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1744] Автор : RC3ZQ Дата : 08.05.2023 11:34 Вячеслав Б, Спасибо. Так это у Павла на гитхабе был софт под 2й Гермес протокол? На новой плате от касания к аналоговым входам PWR / SWR изменяются показания в Тетисе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1745] Автор : Вячеслав Б Дата : 08.05.2023 13:16 RC3ZQ, В первую очередь аналоговые входа должны быть подтянуты к земле. 10 ком. в полне достаточно и вы увидите что всё работает. Активируйте в тетисе кросс метр и на нём всё будет видно. Всё это при условии если вы уже заранее не спалили эти входа касаясь их без подтяжки. У меня есть видео работы. Выложу в утюб. Успехов. Добавлено через 7 минут(ы): RC3ZQ, В первую очередь аналоговые входа должны быть подтянуты к земле. 10 ком. в полне достаточно и вы увидите что всё работает. Активируйте в тетисе кросс метр и на нём всё будет видно. Всё это при условии если вы уже заранее не спалили эти входа касаясь их без подтяжки. У меня есть видео работы. Выложу в утюб. Успехов. https://www.youtube.com/watch?v=W1Dj5pXmAcs RC3ZQ, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1746] Автор : RC3ZQ Дата : 08.05.2023 13:36 Вячеслав Б, Спасибо. А где нашли информацию что нужно подтягивать к земле чере 10кОм? Я ещё к ним не касался и в прямом и переносном смысле слова))) Поэтому спрашиваю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1747] Автор : Вячеслав Б Дата : 08.05.2023 13:43 Это хрестоматийно. Думаю, что у вас ВСЁ будет хорошо.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1748] Автор : RC3ZQ Дата : 08.05.2023 13:56 Вячеслав Б, Т. Е когда Вы получили новую плату то сразу же поставили подтягивающие резисторы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1749] Автор : Вячеслав Б Дата : 08.05.2023 14:52 RC3ZQ, Да, именно так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1750] Автор : ra3gn Дата : 09.05.2023 07:46 Вячеслав, отличная информация, по поводу аналоговых входов, думаю, кроме вас , у остальных трх_дуо не работает, а пылиться на полке. Это часть схемы от g8njj: 388649 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1751] Автор : RV3DLX Дата : 09.05.2023 09:11 То, что в этой конструкции аналоговые входы висят в воздухе, конечно не хорошо. Если рефлектометр, с которого снимаются сигналы расположен в одном конструктиве с основной платой, это не так и страшно, а вот если эти сигналы будут приходить с рефлектометра расположенном во внешнем устройстве (например в усилителе мощности), то к защите этих входов нужно подойти очень серьезно, не пожалеть по паре диодиков на каждый вход и последовательные резисторы на эти входы. Иначе будет очень обидно, если пробьются входы ПЛИС. Я бы и на управляющие выходы поставил бы буферные каскады. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1752] Автор : RC3ZQ Дата : 09.05.2023 13:10 ra3gn, Добрый день! С Днем Победы! Источник схемы можно? Добавлено через 10 минут(ы): RV3DLX, Добрый лень. С Днем Победы! Да туда mcp601 пару ОУ нужно наверное. Ну и по стабилитрону на входа поставить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1753] Автор : RV3DLX Дата : 09.05.2023 13:51 пару ОУ нужно наверное Я всегда после детекторов прямой и обратной волны ставлю операционные усилители, в обратной связи которых диоды такого же типа как в детекторах. Это позволяет получать более достоверные показания при малых мощностях, когда диоды работают на нелинейном участке своей характеристики. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1754] Автор : ra3gn Дата : 09.05.2023 20:11 rv3dlx Так зависимость мощности от напряжения тоже нелинейна. rc3zq "А вы батюшка большой шутник", выкладывал ранее ссылку на гитхаб g8njj, где есть вся информация от очень компетентного радиолюбителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1755] Автор : RV3DLX Дата : 09.05.2023 21:01 Так зависимость мощности от напряжения тоже нелинейна А это то здесь причем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1756] Автор : RC3ZQ Дата : 09.05.2023 21:15 ra3gn, Простите , в истории браузера есть ссылка а о том что выкладывал честное слово не помню. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1757] Автор : RC3ZQ Дата : 09.05.2023 22:43 Я всегда после детекторов прямой и обратной волны ставлю операционные усилители, в обратной связи которых диоды такого же типа как в детекторах. Это позволяет получать более достоверные показания при малых мощностях, когда диоды работают на нелинейном участке своей характеристики. Юрий, а схемку обвязки ОУ с диодом в ОС не покажите? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1758] Автор : RV3DLX Дата : 10.05.2023 09:37 Конечно, могу выложить схему, она известная и не мной придумана. В обратную связь операционника нужно ставить такие же диоды как и в детекторах. Я ставлю доступный усилитель LM358, можно LM324, LMC6482 или им подобные. А кто не понимает, для чего это делается, или почему стараются в детекторы ставить германиевые или диоды Шоттки, это их проблемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1759] Автор : vadim_d Дата : 10.05.2023 10:27 нужно ставить такие же диоды как и в детекторахТам еще и номинал резистора на входе ОУ достаточно критичен, как первое приближение - в 2-3 раза меньше нагрузочного резистора детектора, но полной компенсации падения на детекторном диоде во всем диапазоне получить принципиально невозможно из-за того, что ток детектирующего диода импульсный, а ток компенсирующего - постоянный. Тем не менее схема очень полезная -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1760] Автор : RV3DLX Дата : 10.05.2023 10:46 Конечно, полной компенсации не получается, но все же измерения при малой мощности получаются более достоверные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1761] Автор : Вячеслав Б Дата : 10.05.2023 16:07 ra3gn, Да, совершенно верно всё по схеме. Резисторы можно всякие от этого будет зависеть только уровень показания стрелки. Я остановился на первом протоколе так как второй не стабилен и без кодека звука нет, а это значит, что и в FT8 работать не будет и надо загружать специальную программу, а мне это не удобно. У меня конструкция закончена. Построение такое: первый приёмник только для работы на КВ с BPF-ми, второй приёмник имеет два переключаемых входа и без BPF. Один вход КВ, второй трансвертор. Выбираю сам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1762] Автор : ua3rmb Дата : 10.05.2023 16:15 FT8, как и звук, прекрасно работает через VAC. Не понимаю, в чём у вас проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1763] Автор : Вячеслав Б Дата : 10.05.2023 16:18 Да, кстати ни кто не пробовал работать без флешки? Или я где то пропустил? Попробовал и действительно флешка нужна только при загрузке. а далее всё прекрасно работает без неё. Да, кстати ни кто не пробовал работать без флешки? Или я где то пропустил? Попробовал и действительно флешка нужна только при загрузке. а далее всё прекрасно работает без неё. ua3rmb, Я писал о втором протоколе. У вас он работает без кодека и по VAC-у? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1764] Автор : Вячеслав Б Дата : 13.05.2023 14:25 Что то тема совсем глохнет... Кто то работал с платой аудиокодека AN831? Это на основе микросхемы 8731. У меня она три раза соединилась и далее перестала соединяться. При чем если она подключена к шине I2C, то перестает соединяться и сама TRX-duo. Посмотрел генерацию кварца, а там ничего нет. Кто обладает информацией поделитесь пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1765] Автор : ra3gn Дата : 13.05.2023 14:57 Вячеслав, почитайте сообщение 1356 на этом форуме, то что вам нужно, страница 136, там говориться о резисторах подтяжки шины i2c на +3,3в, тоже находил аргументы - по номиналам 1к, на моей плате аудиокодека стоят 4к7 . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1766] Автор : Вячеслав Б Дата : 13.05.2023 21:11 ra3gn, Спасибо Владимир. Да, эту информацию я видел и всё пробовал. Оставил штатные по 1 ком. Проводил лабораторную работу Всё по шагово проверял. Сейчас всё работает. Оказалось, что кварц не работал. ДОРОЖКА ОЧЕНЬ ТОНКАЯ И ПРИКАЗАЛА ДОЛГО ЖИТЬ. Вообще очень странно соединяется. При первом включении НИЧЕГО, второе включение Соединяется с роутером, включаю ТЕТИС звука нет. Третье включение соединяется и при запуске ТЕТИСА появляется и звук. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1767] Автор : ra3gn Дата : 14.05.2023 08:36 У кварцев ноги стальные, иногда не пролужены нормально, контакт бывает плохой, выпаиваю лужу с кислотой и впаиваю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1768] Автор : Вячеслав Б Дата : 14.05.2023 15:08 https://youtube.com/shorts/tixIjye--VI?feature=share https://youtube.com/shorts/8fL1rFpPgRs?feature=share Вот это две ссылки по которым видно, что TRX-duo может работать при наличии обратной связи и в КВ и в УКВ диапазонах. Так же ещё раз попробовал второй протокол с установкой АНАН100. Работает даже звук по VAC, но работать буду всё же на первом протоколе так как второй запускается через браузер, а это не удобно. Вообще думаю, что используя TRX-duo как трансивер и только, прошивку можно было бы значительно урезать. В APPS убрать всё лишнее. А в конце то концов я не специалист и может быть не прав. Ребята. развивайте проект, делайте трансиверы на основе этого устройства и вы получите отличный и полноценный трансивер за малые деньги. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1769] Автор : laguvit Дата : 14.05.2023 15:40 Здравствуйте ! Вячеслав Б (http://www.cqham.ru/forum/member.php?23642-%C2%FF%F7%E5%F1%EB%E0%E2-%C1) Меня интересует вопрос Как вы обменяли плату на AliExpress. У меня такая ситуация я купил плату на AliExpress и у меня не работает порты ввода. Опишите процесс Как вы связывались с производителем. 73! Виталий . UN7LDM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1770] Автор : ra3gn Дата : 14.05.2023 16:45 Виталий, какие порты не работают, можно уточнить? Когда покупаете, есть чат с продавцом, они охотно отвечают только с разницей во времени. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1771] Автор : laguvit Дата : 14.05.2023 17:42 Виталий, какие порты не работают, можно уточнить? Когда покупаете, есть чат с продавцом, они охотно отвечают только с разницей во времени. На TRX-DUO не работают аналоговые входа 13 Analog Input 0 0-3.3V 14 Analog Input 1 0-3.3V -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1772] Автор : Вячеслав Б Дата : 14.05.2023 18:14 laguvit, Подскажите пожалуйста как вы их проверяли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1773] Автор : laguvit Дата : 14.05.2023 18:46 laguvit, Подскажите пожалуйста как вы их проверяли. Перейдите пожалуйста в личные сообщения там поговорим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1774] Автор : ra3gn Дата : 16.05.2023 10:31 Привет любителям трх_дуо Не думаю, что это кому то нужно, но нашел все сигналы управления с помощью проекта g8njj, работает со всеми программами и железом: 388927 388928 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1775] Автор : RC3ZQ Дата : 17.05.2023 02:02 все сигналы управления с помощью проекта g8njj Здравствуйте. Подскажите пожалуйста чем открывали файлы схем *.sch ? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1776] Автор : ra3gn Дата : 17.05.2023 04:47 Там есть схемы в pdf, ими пользуюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1777] Автор : Вячеслав Б Дата : 18.05.2023 13:32 https://youtu.be/PUy6TYSDu-M Это последнее видео, которое показывает работу TRX-duo с функцией PS-A. На видео взята часть сигнала, который пошёл в антенну. Я его завел на первый приёмник, что бы точно было видно что же получается на выходе после усилителя. Более 40-ка не получилось. Добавлено через 9 минут(ы): https://youtu.be/PUy6TYSDu-M Это ссылка показывает видео на котором видна работа PS-A на TRX-duo. Сигнал заведён с выхода усилителя, который пошёл в антенну. Заведён с ответвителя на вход первого приёмника. Также здесь фото доработанного экземпляра. Всё нужные сигналы выведены на шлейф и заменён вентилятор, который совсем не слышен. Кому любопытно устройство продаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1778] Автор : ra3gn Дата : 18.05.2023 19:26 Вячеслав, какой мощности усилитель удалось линеаризовать, надеюсь не 5 вт.? Продаете, а что так печально? Есть лучшее решение? А я знаю лучшее для телеграфа и цифры решение , это сансдр2. Я вам скажу секрет, зачем я купить трх_дуо, научиться работать с портом i2c, а тут гораздо интересней. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1779] Автор : Вячеслав Б Дата : 18.05.2023 21:21 ra3gn, Нет, просто наигрался. Появилось что то новое вот надо было поиграться. Есть ещё два СДРа. Плодить не хочется больше. Усилитель с которого я брал на настоящий момент был порядка 5-7 ватт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1780] Автор : RV3DLX Дата : 19.05.2023 07:57 надеюсь не 5 вт.? Система PS-A, работает независимо от мощности усилителя, 5 Ватт или 1000 Ватт, безразлично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1781] Автор : ra3gn Дата : 19.05.2023 09:37 rv3dlx не оценили мою щутку, думаю, что линеаризовать нужно усилители от 1к, а такую мощность(5-50 вт) можно получить и в режиме без отсечки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1782] Автор : ua3rmb Дата : 19.05.2023 10:23 В каком режиме? :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1783] Автор : ra3gn Дата : 19.05.2023 11:09 Как тост: хочется вспомнить анегдот, вопрос, радиостанция на лампах или транзисторах, ответ, радиостанция на бронепоезде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1784] Автор : ua3rmb Дата : 19.05.2023 12:30 Как констатация факта: когда нечего сказать в ответ - начинается придумывание тостов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1785] Автор : RK4CI Дата : 19.05.2023 13:34 В стационарном аппарате, режим каскада мощностью 6-7 ватт, не особо важен. Его можно заставить работать и в режиме класса А, при достаточно малом уровне искажений. на мощностях 150-200 ватт, даже в стационаре, режим класса А становится неприемлемым. И здесь применение внесения предискажений для улучшения линейности, будет наиболее продуктивен. А вот мощность более 200 ватт, предполагает использование внешнего УМ. А это достаточно длинные цепи подачи цифровой ООС. В придачу подверженные достаточно сильным наводкам. И если при испытаниях просто двух тоновым сигналом всё может выглядеть достаточно неплохо. То вот в динамике, при реальной работе голосом, когда и уровень сигнала, и его спектральная составляющая постоянно изменяется в очень больших пределах, всё будет не столь гладко как хотелось бы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1786] Автор : rz3qs Дата : 19.05.2023 14:47 А это достаточно длинные цепи подачи цифровой ООС. В придачу подверженные достаточно сильным наводкам. Нормальный кабель в обратной связи любой длины, т.е. усилитель можно и в другой комнате установить. Все уже достаточно хорошо отработано, делайте правильно и все будет "гладко". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1787] Автор : RK4CI Дата : 19.05.2023 15:16 Все уже достаточно хорошо отработано, Знаете, всё очень может быть и так. У вас. Когда применены настроенные антенны, и ВЧ на корпусе практически отсутствует. Только вот на моей практике, были проблемы не только с обратной подачей сигнал в трансивер, но даже просто с цепями коммутации диапазонов, сильнейшими наводками на микрофон, и с прочими "прелестями" сопутствующими большой выходной мощности. Когда вся коммутация выходного сигнала находится в одном корпусе, всё определяется только конструктивом самого трансивера. Когда появляется дополнительный корпус, и выходные мощностя под кВт и более, всё становится очень скользко. Особенно при появлении ВЧ на корпусах, и соединительном кабеле произвольной длинны. И как понимаете, не слишком большой процент любителей могут позволить себе иметь парк хорошо настроенных антенн. А зачастую, даже просто хорошее заземление является большой проблемой. Именно про это я и написал. То что хорошо отработает при использовании чисто трансивера, совсем не факт что будет так же эффективно в комплексе "трансивер + УМ". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1788] Автор : RV3DLX Дата : 19.05.2023 17:22 не факт что будет так же эффективно в комплексе "трансивер + УМ Все прекрасно работает. Могу привести примеры нескольких моих знакомых у кого все прекрасно работает с усилителем 1000 Ватт. Ну и у меня работает уже много лет, как только появилась в программах эта функция, правда у меня только 400 Ватт, но это не принципиально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1789] Автор : Вячеслав Б Дата : 01.06.2023 18:55 Смотрю ветка совсем заглохла и ни кто больше на TRX-duo ничего не делает. А зря, хорошо работает трансивер. С выходом в 900 ватт и трансвертором на 2 метра бюджет получился примерно 130 рублей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1790] Автор : ra3gn Дата : 02.06.2023 09:22 Вячеслав, тут интересную мысль высказал человек из соседней ветки: "Берем любого из Hermes или Red Pitaya как ВЧ приставку - и варианты PiHpsdr - грустный финал..." Я с этим мнением согласен на все 100, поэтому нет "движения". Очень интересно наблюдать за развитием WOLF , уже появился WOLF2, там команда уже интернациональная работает. Например, ft8 функционирует уже "внутри" трансивера. Только одно условие(слова ua3reo): "нужно ручками собрать" , а это на данном этапе очень сложно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1791] Автор : R0SBD Дата : 02.06.2023 17:06 Китайцы на али начали делать волка. Разобрали сейчас нет, но думаю новые наделают , снова появятся.. https://m.aliexpress.ru/popular/wolf-transceiver.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1792] Автор : Вячеслав Б Дата : 02.06.2023 17:28 ra3gn, Я читал, но меня более интересует только мои компы. Ардуина не интересна. У меня два больших монитора работают на TRX-duo и там всё. И лог, и фт8, и аудио коррекции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1793] Автор : UA4HQS Дата : 27.06.2023 11:57 Коллеги, ни у кого, случаем нет схемы этой платы? Так-то там, в принципе, все и так понятно, но схема бы не помешала. 390152 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1794] Автор : rz3qs Дата : 08.07.2023 15:59 Включил трансивер. Поставил чайник. Налил кружечку горячего напитка. Глянул, что за это время, пока закипал чайник, у меня слышно. Трансивер на плате Red Pitaya 16 бит АЦП. Программы Thetis и CW Skimmer работают параллельно. Для скиммера 8 приемников по 192 кГц. Пока изучаю открывшиеся новые возможности трансивера. Если видно мой позывной на сайте RBN, то трансивер включен и интернет работает. Уточняю, плата Red Pitaya 16 бит АЦП, про клоны и другие варианты сказать ничего не могу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1795] Автор : Serg Дата : 08.07.2023 16:10 rz3qs, и где релайс-нотес? Есть ветка о "тетрисе", туда бы скинули и ссылку где качать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1796] Автор : rz3qs Дата : 08.07.2023 17:04 и где релайс-нотес? Его нет. Есть ветка о "тетрисе", Речь идет о трансивере на RP, а не о "тетрисе". "Прошивка" RP все делает. Полезно любителям CW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1797] Автор : Serg Дата : 08.07.2023 17:19 Тогда это не "новые возможности", двойная прошивка в RP16 была сравнительно давно уже. Я подумал, может что-то еще на эту тему развили... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1798] Автор : rz3qs Дата : 08.07.2023 17:36 Тогда это не "новые возможности" Для моего трансивера именно новые. Может у кого это и работает, но я про это не знаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1799] Автор : RU6AI Дата : 08.07.2023 18:40 Программы Thetis и CW Skimmer работают параллельно. Не поделитесь настройками Thetis и CW Skimmer? Скрины..Если можно,конечно.Я использую управление CW Skimmer с помощью утилиты CWSC (https://drive.google.com/file/d/1n-ZTX-SXTLmGi8rRX4tqjexP9gMt8THf/view?pli=1 ) . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1800] Автор : rz3qs Дата : 08.07.2023 19:30 Не поделитесь настройками Thetis и CW Skimmer? Извините, допустил ошибку в своих сообщения. Вместо "CW Skimmer" читать нужно "CW Skimmer Server". Ваш вариант я не подключал. Утилиту скачал, попробую, хотя мне это особо и не нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1801] Автор : rz3qs Дата : 08.07.2023 23:23 попробую, С первого подхода не осилил. Вроде работает, был момент и споты шли. Видимо мне такой вариант не нужен, вот и не получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1802] Автор : rz3qs Дата : 09.07.2023 13:23 Сделал фото на память. А то закрою в черный железный ящик и сам забуду, что там есть, внутри трансивера. Все, о чем мечтал, теперь работает. Огромное спасибо добрым людям! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1803] Автор : rz3qs Дата : 09.07.2023 18:09 Еще два слова о чем писал ранее. Это касается любителей CW. Беседую с соседом про картошку или слушаю интересный разговор про антенны и трансиверы в SSB участке, а RP в это время смотрит, что есть в телеграфных участках. Понятно, что прием в моем месте и на мои антенны. На картинке 4 диапазона (можно 8 по 182 кГц обзора). Red Pitaya 16 бит АЦП. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1804] Автор : yuri316 Дата : 15.07.2023 21:48 390623 как похоже все.может можно добавить ADC, DAC в разьемы? цена контрольки крайне низкая.где то видел упоминание этой платы и кто-то собирался ее окультурить к сдррадио. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1805] Автор : Genadi Zawidowski Дата : 16.07.2023 03:36 Туь мало ног выведено -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1806] Автор : RU6AI Дата : 16.07.2023 08:33 С первого подхода не осилил. Вроде работает, был момент и споты шли. Видимо мне такой вариант не нужен, вот и не получается. Да ,мне то же такой вариант не очень..А для CW Skimmer Server какие настройки ? Можно в двух словах? Интересно попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1807] Автор : rz3qs Дата : 16.07.2023 09:11 Можно в двух словах? Red Pitaya должна быть с АЦП 16 бит. Заливаем не флешку соответствующую "прошивку". "Прошивка" пока в стадии тестирования. Thetis работает как обычно. CW Skimmer Server при этом получает 8 приемников по 182 кГц обзора. Эти приемники можно назначать по входам RP, т.е. 8 на RX1 или 8 на RX2. Или 2 на RX1 и 6 на RX2. Вариантов много этих комбинаций. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1808] Автор : rz3qs Дата : 20.07.2023 16:29 Добавил CWSL Tee. Можно при желании запускать скиммер FT8/FT4, RTTY. Но мне это особо не нужно. А вот слушать приемники скиммера с помощью HDSDR полезно. Повторюсь, трансивер работает с Thetis сам по себе, при этом остальное тоже. Все одновременно. Но похоже это мало кому интересно. Понятно, что цвет и вкус дело личное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1809] Автор : Serg Дата : 20.07.2023 16:47 Но похоже это мало кому интересно Не мало ортодоксалов-староверов, кто просто не умеет "многозадачности", т.е. им это не надо, слушать или смотреть сразу несколько частот. А так функция правильная, по-хорошему все разработчики DDC-SDR подобную "многостаночноть" должны уже закладывать на этапе разработки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1810] Автор : rz3qs Дата : 23.07.2023 17:23 С первого подхода не осилил. Второй подход. Работает с Thetis, но с одним моментом, CTUN нужно отключить и соответственно скиммер принимает +- 48 кГц от частоты настройки трансивера. Споты идут правильно по частоте и по клику трансивер перестраивается на эту частоту. Для уточнения, программа CW Skimmer Companion (https://github.com/dslokva/CWSkimmerCompanion). Ее история рождения. (https://www.youtube.com/watch?v=YATxMZDqlv0) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1811] Автор : rz3qs Дата : 24.07.2023 17:50 Не по теме, но... Вопрос по CW Skimmer Server. Как можно регулировать временной интервал выдачи спота. Немного поясню. Скиммер выдал спот. Позывной, частота. Все хорошо. Но, теперь на этой частоте 10 минут не будет спотов. Совсем. На другие позывные тоже, если они стали точно на частоту спота. Понимаю, защита от лишнего мусора. Связка "CQ Call" уже фильтр, но и этой связке нужно 10 минут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1812] Автор : Serg Дата : 25.07.2023 10:49 Никак не регулируется, вшито в скиммере так. Если надо чаще получать споты на себя любимого, надо чуть частоту сменить и сикульнуть на новой. Сколько достаточно перестроиться - уже не помню, вроде от килогерца и будет сразу новый спот. По идее на другого корреспондента должен быть спот и на старой частоте, не помню таких ограничений. Может быть алгоритм только чуть сложней делает проверки, например, чтобы были 2-3 CQ-передачи отдельных от нового позывного и т.п. Автор скиммера бывший наш народ (5й район) - можно писать вопросы на русском, если конечно ответит сейчас третьему району по известным причинам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1813] Автор : rz3qs Дата : 25.07.2023 14:00 Если надо чаще получать споты на себя любимого Видимо непонятно я пишу, если так воспринимается. Но ничего, разберусь, мир не без добрых людей. А пока, для более надежного взятия DX, сделал такую комбинацию. Все работает. Первый приемник работает на скиммер, стоит на месте и контролирует весь телеграфный участок. Второй приемник, вместе с передатчиком идет по сплиту DX. На частоте MultiRX слушаю DX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1814] Автор : RU6AI Дата : 25.07.2023 14:32 Спасибо за инфо- нужно попробовать такой вариант. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1815] Автор : ra6fqq Дата : 26.07.2023 09:11 Доброго времени суток! Пришёл trx-duo, но ни как не видится... Ставил и OS2 с сайта RP и с гитхаба Павла... Вот и не пойму,то-ли лыжи не едут... Есть ли какие нюансы? Или плата битая? Добавлено через 49 минут(ы): Дополню, все манипуляции делались через lan кабель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1816] Автор : ra3gn Дата : 26.07.2023 10:16 Продавец выложил инструкцию по быстрому старту: If the Ethernet interface of the TRX_DUO board is directly connected to the Ethernet interface of a computer, then the Ethernet interface of the computer should be configured to have an IP address in the same 192.168.1.x sub-network. For example, 192.168.1.111. Instructions on how to set a static IP address in Windows can be found at this link (https://kb.netgear.com/27476/How-do-I-set-a-static-IP-address-in-Windows). Это на знакомом языке: Если интерфейс Ethernet платы TRX_DUO напрямую подключен к интерфейсу Ethernet компьютера, то интерфейс Ethernet компьютера должен быть настроен так, чтобы иметь IP-адрес в той же подсети 192.168.1.x. Например, 192.168.1.111. Инструкции по установке статического IP-адреса в Windows можно найти по этой ссылке. Очень интересно, как вы будете использовать эту штуку, почти все обладатели этого изделия подозрительно затихли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1817] Автор : ra6fqq Дата : 26.07.2023 11:00 Спасибо за ответ, буду попробовать... Для чего? Пока эксперименты, может во что-то по серьёзнее перерастёт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1818] Автор : Serg Дата : 26.07.2023 12:17 Видимо непонятно я пишу, Да, желательно детальней говорить, что вам нужно было... Скимер-серверы исходно сделаны сугубо для "многостаночного" поиска CQ-сигналов, не просто позывных. Для этой задачи нужно использовать обычный скимер с графическим интерфейсом. Он же и 599 подсвечивает, чтобы найти, где в пайлапе отвечают. Еще новая разработка есть на эту тему (поиск в пайлапе), но пока никто не написал модуль для поддержки гермес: https://ve3nea.github.io/HamCockpit/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1819] Автор : rz3qs Дата : 26.07.2023 12:49 Еще новая разработка есть на эту тему (поиск в пайлапе) Спасибо. Все это я читаю. Вопрос по пайлап закрыт, все работает, все видно. Для меня эта тема стала интересна после новой "прошивки", когда трансивер и приемники для скиммер сервера работают сами по себе. Не нужно никаких настроек по VAC кабелям и самих этих кабелей. Запустил сервер, выбрал нужные диапазоны и все, споты пошли. Но когда я слушаю частоту, на которой работала станция, и от нее был спот, затихла и на этой частоте начал давать CQ новый позывной, то нет от него спота аж 10 минут. Эта логика пока мне непонятна, что-то я еще получается не знаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1820] Автор : rz3qs Дата : 26.07.2023 21:03 Продолжу о работе трансивере на базе RP. Наблюдал минитест по средам. Проблема 10 минут оказывается почти решена. Программа 5MContest из окна не только своего бендмапа управляет трансивером, но и из окна CW Skimmer. Т.е. кликаю в окне скиммера только что принятого позывного, трансивер на его частоте, позывной в окне ввода нового QSO лога. А вот повседневный лог от RX4HX так не может, нужно этот вопрос изучить. Штука полезная. Вместе с CW Skimmer запущена CW Skimmer Companion. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1821] Автор : ra6fqq Дата : 27.07.2023 01:43 Огромное спасибо за подсказку,завелось! Думал,уже, что плата битая, но нет,работает! Завтра проведу инструментальные измерения, результаты выложу. Из того,что вижу - гораздо меньше спуров, чем в HL2mini. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1822] Автор : ra3gn Дата : 27.07.2023 08:23 По поводу скиммера, есть еще один скиммер "из бывшего пятого района", там можно настраивать время повторного спота, например, у меня для минитеста стоит 7 минут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1823] Автор : rz3qs Дата : 01.08.2023 17:44 Теперь одним кликом можно глянуть температуру, что крайне полезно для проверки системы охлаждения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1824] Автор : rz3qs Дата : 19.08.2023 14:37 На улице +33. Сижу у трансивера. Все работает. И хорошо работает (точку отсчета беру от Flex6400, просматривая определенное кино). Чтоб отвечали сразу, выбираю станции с уровнем 25 дБ и выше. 5 Вт с моей стороны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1825] Автор : Home Amator Дата : 27.08.2023 20:18 Есть только до 20 ватт, вот такой: https://aliexpress.ru/item/100500399...2bbb4aa6I4vEv4 Коммутацию RT-TX можно взять сразу с платы трансивера, пины тут писали, DIO0P и DIO1P... Приветствую! А ссылочку можно как то обновить? Не открывается по ней ничего... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1826] Автор : Home Amator Дата : 27.08.2023 23:14 Коллеги, если не лень, подскажжите, пожалуйста, есть ли какие то решения по ДПФ и ФНЧ совместимые с управлением по шине RP (sdr-duo) или возможно+ дешифраторна али? По УМ так ничего на али и не нашел, только от 100мВт входной мощности, а нам же нужно от 2,5мВт... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1827] Автор : RN3KK Дата : 28.08.2023 12:33 в ЕБ104 гляньте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1828] Автор : RK4CB Дата : 28.08.2023 13:19 А ссылочку можно как то обновить? Я даже не помню, про что это) Отлистал пяток страниц - не нашел своего сообщения. Если про усилители для TRX-DUO, то на али были до 45 ватт, что и было приобретено, все ок, работает. Искал по "усилители". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1829] Автор : Home Amator Дата : 28.08.2023 16:02 в ЕБ104 гляньте. Спасибо, глянул ФНЧ, ДПФ, УМ, но у меня пока есть УМ на 200Вт, вопрос по предусилителю, до нескольких ватт, которыыми потом уже раскачивать УМ. Если про усилители для TRX-DUO, то на али были до 45 ватт, что и было приобретено, все ок, работает. Искал по "усилители". А что за УМ, можно подробнее? Те,. что я видел. требуют расчкачку в минимум 1-2Вт. Добавлено через 40 минут(ы): Например вот тот раскачивается от 100мВт https://aliexpress.ru/item/1005005635186305.html?sku_id=12000033830999809&spm=a2g2w.productlist.search_results.10.45104aa63MUS6A -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1830] Автор : RK4CB Дата : 29.08.2023 12:03 А что за УМ, можно подробнее? Вы меня пугаете... вот (https://aliexpress.ru/item/4000556063499.html?sku_id=10000002916245619&spm=a2g2w.productlist.search_results.4.49354aa6u7oyhb) набор для сборки вот (https://aliexpress.ru/item/1005003994587053.html?sku_id=12000027678011687&spm=a2g2w.productlist.search_results.121.2bbb4aa6I4vEv4) готовый и вот (https://aliexpress.ru/item/1005003615370450.html?spm=a2g2w.detail.pers_rcmd.17.6c117eeaJTburq&_evo_buckets=165609,165598,188873,194275,299288&gps-id=pcDetailBottomMoreOtherSeller&scm=1007.34525.293744.0&scm_id=1007.34525.293744.0&scm-url=1007.34525.293744.0&pvid=e9e85729-5fb2-41da-a545-78c47b72a7bb&_t=gps-id:pcDetailBottomMoreOtherSeller,scm-url:1007.34525.293744.0,pvid:e9e85729-5fb2-41da-a545-78c47b72a7bb,tpp_buckets:24525%230%23293744%2312_21387%230%23233228%236_21387%2314014%23453667%2310_21387%239507%23434559%234_4452%230%23226710%230_4452%233474%2316498%23870_4452%234862%2324463%23500_4452%233098%239599%23139_4452%235105%2323438%23313_4452%233564%2316062%23756&ru_algo_pv_id=e9e85729-5fb2-41da-a545-78c47b72a7bb&scenario=pcDetailBottomMoreOtherSeller&tpp_rcmd_bucket_id=293744&traffic_source=recommendation&sku_id=12000026503497425) готовый от 1 мВт. 45 ватт. Вот широкополосники до 3 ватт тыц (https://aliexpress.ru/item/1005003627267639.html?sku_id=12000026560459151&spm=a2g2w.productlist.search_results.49.49354aa6u7oyhb) тыц (https://aliexpress.ru/item/1005003246948409.html?sku_id=12000024843152501&spm=a2g2w.productlist.search_results.13.49354aa6u7oyhb) тыц (https://aliexpress.ru/item/32761941012.html?sku_id=62102718888&spm=a2g2w.productlist.search_results.23.49354aa6u7oyhb) тыц (https://aliexpress.ru/item/1005003050997983.html?sku_id=12000023510279508&spm=a2g2w.productlist.search_results.79.2bbb4aa6I4vEv4) ну и так далее, выбор огромный -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1831] Автор : Home Amator Дата : 29.08.2023 16:43 Вы меня пугаете... вот набор для сборки вот готовый и вот готовый Что с форумом творится? \Раз 5 пишу отвыет - и все в пустоту! Пугаться нет причины. Спасибо, это то, что надо. Почему у меня не искалось - ума не приложу, может из за привычки все искать на аглицком... Теперь бы еще решение по ДПФ / ФНЧ, и дело в шляпе 8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1832] Автор : ra3gn Дата : 29.08.2023 17:49 Home Amator Конечно все в сравнении, но меня очень сильно разочаровала программа, что использовал, контроллер от g8njj фнч + преусилитель такой: 392119 Усилитель и фнч от волка, получил всего 5вт(не хватает еще одного каскада, что бы получить 20вт ): 392125392126 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1833] Автор : Home Amator Дата : 29.08.2023 20:31 меня очень сильно разочаровала программа, Которая именно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1834] Автор : ra3gn Дата : 29.08.2023 20:36 Вот эта: 392140 Как в рекламе: "я узбеков люблю,они на морозе лучше заводятся." 392142 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1835] Автор : rz3qs Дата : 29.08.2023 21:14 Вот эта: Этого варианта у вас нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1836] Автор : RK4CB Дата : 29.08.2023 22:00 решение по ДПФ / ФНЧ Там же попадалось, но я сторонник формировать нормально сигнал, так, что б фильтровать не приходилось) И 12 лет это удается. очень сильно разочаровала программа Частично согласен. Юзабельность околонулевая. Однако, если закрыть глаза, то звук очень даже хороший. И да...эту прогу на 4к экране лучше...и убирать все панели с экрана - тогда вполне, вполне! Ну и плюс DJ консоль крутить обязательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1837] Автор : RK4CB Дата : 30.08.2023 14:51 Спасибо, это то, что надо. Нашел видео от 25 февраля 2023 года, запускал этот усилок с TRX-DUO, выложил на ютуб: https://www.youtube.com/shorts/7W7PGJY2hCs -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1838] Автор : RC3ZQ Дата : 01.09.2023 22:21 Вот эта: Да, увы для винды под первый протокол гермесоподобных кроме как PSDR mrx или Thetis с плагинами с-метра в виде 6е5с :ржач: ничего нет более нормального. Всякие квиски киски это ещё тот "дремучий лес". Более и менее что то похожее на автономный стационарный трансивер можно заполучить под Linux, но это отдельная тема и один чëрт это не сравнимо по сервису с тем же Ермаком, Волком, Аистом.... От Георгия RA9CIM (Malahit Team) видимо недождемся релиза ПО под Resbery Pi и подобных. А так там интерфейс очень даже приятный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1839] Автор : ra3gn Дата : 03.09.2023 16:14 rc3zq чëрт что это такое? какой то секретный код? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1840] Автор : RC3ZQ Дата : 03.09.2023 17:54 ra3gn, Это практически фраза-пароль из к/ф "Бриллиантовая рука":ржач: которую говорил Ю. Никулин. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1841] Автор : Serg Дата : 03.10.2023 10:18 Вопрос "питайщикам", а верней пользователям-любителям клона из Китая: там что действительно, несмотря на 16битные ацп, в клоне стоит ФПГА попроще, как в старой 14бит оригинальной версии? И есть ли в продаже клоны с более мощным фпга? (как установлен в оригинальной RP-16) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1842] Автор : RC3ZQ Дата : 03.10.2023 10:29 На Github Павла Дёмина видел новое ПО, 3.17 вроди. Кто юзает? Её сть разница с предидущим? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1843] Автор : rz3qs Дата : 03.10.2023 10:50 видел новое ПО, 3.17 вроди Новее этого (https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20230705) не видел, хотя есть продолжение этого варианта с поддержкой CW Skimmer Server. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1844] Автор : RC3ZQ Дата : 03.10.2023 16:33 rz3qs, На duo клоне это ПО работает без проблем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1845] Автор : rz3qs Дата : 03.10.2023 16:47 На duo клоне это ПО работает без проблем? Что считать проблемой, нужно внятное определение. А то и программа Thetis для многих уже проблема. CW Skimmer Server параллельно с Thetis работать не будет. Но это мало кому и надо, на наших просторах просто никому. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1846] Автор : rz3qs Дата : 03.10.2023 18:45 Вот еще один вариант работы трансивера . Понятно, что это никому не нужно, особенно когда этого нет. Слушал SSB станции на ВЧ диапазонах. В это время CW Skimmer Server отслеживал телеграфные участки на 4 диапазонах. Программа HDSDR писала весь диапазон 40 м, все параллельно. Файл отправил знакомым, им интересно было глянуть на себя со стороны на фоне всего диапазона. Red Pitaya 122-16. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1847] Автор : RC3ZQ Дата : 03.10.2023 18:53 rz3qs, По второму протоколу клон я так и не смог запустить, уж очень нравиться sdr consol. А не тут уж было. Вот это проблема. duo это не совсем красный питай. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1848] Автор : rz3qs Дата : 03.10.2023 19:09 По второму протоколу клон я так и не смог запустить Так у меня работает первый протокол. После анализа работы второго протокола с платой Anvelina Pro3 пришел к выводу, что для меня от него нет пользы. С программой SDR Сonsole мой вариант железа полноценно работать не будет. Если только просто послушать эфир, но это далеко от нормальной работы всего трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1849] Автор : rz3qs Дата : 03.10.2023 23:26 Слушаю параллельно Thetis и SDR Console. Сравнение на прием в реальном времени. Понятно, приемник общий, Red Pitaya 122-16. Добавлено через 30 минут(ы): Слушаю параллельно Thetis и SDR Console. Запись через микрофон, не очень, но слушать можно. https://youtu.be/atEgD2ai-60 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1850] Автор : UA4HQS Дата : 04.10.2023 09:56 Вопрос "питайщикам", а верней пользователям-любителям клона из Китая: там что действительно, несмотря на 16битные ацп, в клоне стоит ФПГА попроще, как в старой 14бит оригинальной версии? действительно. и софт на нем работает 125-14. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1851] Автор : Serg Дата : 04.10.2023 15:58 Будем знать, сам чуть не купил, предполагая использовать по функционалу как RP-16. А 14я у меня и оригинал есть. P.S. клонов RP 122-16 с действительно более жирной фпга (как в оригинале) на али не попадалось никому? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1852] Автор : rz3qs Дата : 04.10.2023 21:51 клонов RP 122-16 с действительно более жирной фпга (как в оригинале) на али не попадалось никому? Так наберите на Али "Red Pitaya STEMlab SDR kit 122-16", пишут сделано в Китае, а цена как с доставкой на Марс. Может такой Али у меня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1853] Автор : rz3qs Дата : 05.10.2023 13:40 Обновление "прошивки" (https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20231004) от Павла Демина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1854] Автор : Serg Дата : 05.10.2023 14:34 Может такой Али у меня. Ну там есть и доставка всего 8$, но сама цена в тыщу совсем не радует. Оригинальная-16 стоила кажется около 700 в начале продаж. Ничего, подождем китайский клон на подобии сдрдуо, но с фпга от 122-16. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1855] Автор : UA4HQS Дата : 05.10.2023 16:43 Обновление "прошивки" (https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20231004) от Павла Демина. а манипуляции через виртуальный com так и нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1856] Автор : rz3qs Дата : 05.10.2023 17:08 так и нет? Формирование, правильное, CW сделано в RP, соответственно манипуляция со входа RP. Других вариантов не было. Для каких задач нужен VCOM? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1857] Автор : UA4HQS Дата : 05.10.2023 18:35 Формирование, правильное, CW сделано в RP, соответственно манипуляция со входа RP. Других вариантов не было. Для каких задач нужен VCOM? Для передачи из лога, например. Да элементарно CWX не работает в тетисе. Я думаю, что и с физического порта манипуляции нет. Проверить не могу, мой trx duo пока недоступен. Я вот об этом: 393578 Наверное, это никому не нужно(с) ) Но мне вот очень удобно, у меня на миди-пульте две кнопки забиндены на макросы CWX. Очень, знаете, в пайлапах удобно. Причем, PTT работает. Манипуляция нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1858] Автор : rz3qs Дата : 05.10.2023 19:56 Причем, PTT работает. Манипуляция нет. У меня тоже так, нет манипуляции. Сделал давно, именно для физического порта, выходы DTR RTS через оптроны, подключил параллельно педаль и ключ. Двумя кнопками на клавиатуре, лог конечно запущен, при этом окно Thetis активно, т.е. кликом мышки быстро меняешь частоту вызова DX и вызываешь там, где он слушает. Для меня получается все просто и удобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1859] Автор : UA4HQS Дата : 05.10.2023 20:16 У меня тоже так, нет манипуляции. Сделал давно, именно для физического порта, выходы DTR RTS через оптроны, подключил параллельно педаль и ключ. Двумя кнопками на клавиатуре, лог конечно запущен, при этом окно Thetis активно, т.е. кликом мышки быстро меняешь частоту вызова DX и вызываешь там, где он слушает. Для меня получается все просто и удобно. Вот достал древность из ящика, где она уже года три пылилась за ненадобностью. Понятно, что будет работать и сейчас. Но хочется то чтобы без лишней бороды проводов... 393581 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1860] Автор : rz3qs Дата : 05.10.2023 23:54 Но хочется то чтобы без лишней бороды проводов... Может конечно и борода, но все работает отлично. На разъеме платка с оптронами и два светодиода, хорошо видно, как комп включает передачу, задержка и начинает работать второй светодиод в такт манипуляции. Три тонких провода в трансивер, к педали и ключу. На фото два диода активны, фотик их раздельно не видит. Все залито термоклеем прозрачным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1861] Автор : rz3qs Дата : 07.10.2023 13:52 Понятно, что это никому не нужно Обычная, спокойная работа на трансивере Red Pitaya 122-16. Заметил, думаю, что не я один. Нет станций в CW участках (скиммер молчит), в SSB, а в FT8 станции есть всегда. Пусть тогда они приносят пользу, как маяки прохождения. Или появления нужных позывных в эфире. Чтобы не отвлекать трансивер на переключение по диапазонам для просмотра, кто там есть, добавил в скиммер контроль и FT8, 8 диапазонов одновременно, как и CW, можно контролировать, при желании, когда нужно. Понятно, что этот контроль идет параллельно с основной работой трансивера, обсуждение урожая картошки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1862] Автор : UA9OAL Дата : 16.10.2023 16:02 Здравствуйте Перестал работать вход RX1 в TRX DUO. Месяц или больше его не включал, несколько дней назад включил, а браузер по IP 192.168.1.100 его не видит. Начал разбираться, и в роутере увидел, что появилось устройство с IP 192.168.1.37, это оказался мой TRX DUO. Прежний 100 адрес был зарегистрирован в роутере, и был виден МАС адрес TRX DUO. Сейчас же по адресу 192.168.1.37 совсем другой МАС адрес. Как такое получилось, непонятно. Запустил Тетис, сменил айпи в нем, а приема нет. Перекрутил антенну на вход RX2 и включил второй канал - все работает. Подскажите пожалуйста, где "покопаться", чтобы определить, ЦАП сгорел, или возможно защита по входу, если она там есть. Может есть у кого схема входных цепей. Буду рад любым советам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1863] Автор : RU6AI Дата : 16.10.2023 16:21 Проверьте в Тетис выбор трансивера..В некоторых свежих версиях после установки по умолчанию Гермес стоит. Скорее всего в этом дело. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1864] Автор : UA9OAL Дата : 16.10.2023 17:38 Стоит ANAN 7000DLE, он и стоял раньше. RX2 работал и сейчас работает. Все работало месяц назад, Тетис не переустанавливал, а сейчас только шум по RX1. IP и МАС самопроизвольно поменялись, может и RX1 где нибудь в прошивке отключился? Не знаю как это проверить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1865] Автор : RU6AI Дата : 16.10.2023 17:47 Прошейте прошивку по новой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1866] Автор : RC3ZQ Дата : 16.10.2023 17:49 То что mac сменился это довольно таки непонятно. Он обычно защит . Это физический адресс, его можно только модменять с помощью какой либо эмуляции. Попробуйте ПО обновите. Выше ссылку давали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1867] Автор : rz3qs Дата : 17.10.2023 14:18 Обычная, спокойная работа на трансивере Red Pitaya 122-16. Проводя всякие эксперименты по приему слабого телеграфа с помощью Осы (http://www.cqham.ru/forum/showthread.php?44590-%C8%E7%EC%E5%F0%E8%F2%E5%EB%FC%ED%FB%E9-%EF%F0%E8%E1%EE%F0-OSA103F&p=1976484&viewfull=1#post1976484) вспомнил про программу на сайте DL2KQ (http://dl2kq.de/trx/2-8.htm). На стенде получил выигрыш связки Thetis+DSPfil. Послушал реальный эфир, присутствие станции и 100 % прием. Понятно, что уши были мои. Разница по уровню, между присутствием станции и началом приема 2-4 Дб, для меня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1868] Автор : RK4CB Дата : 17.10.2023 16:44 На стенде получил выигрыш связки Thetis+DSPfil В программе Thetis более чем достаточное количество фильтров и обработок. 3 дб - это полбалла же, огромная разница. Вроде и без обработок вполне нормально 0.5 дб разбирается, тем более телеграф. Или я чего то не понял? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1869] Автор : rz3qs Дата : 17.10.2023 17:22 В программе Thetis более чем достаточное количество фильтров и обработок. Тоже так думал, пока не сравнил с SDR Console. Как оказалось у DSPFil возможностей еще больше, не все варианты прошел. Или я чего то не понял? Тут я не знаю что сказать. У меня точка отсчета идет от калиброванного уровня, меняя по 1 дБ я оцениваю прием. Для разной скорости передачи нужны свои фильтры. Одним словом на любителя. Уже написали, что нет пользы от моих измерений, при этом их не делая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1870] Автор : rz3qs Дата : 17.10.2023 22:04 добавил в скиммер контроль и FT8 FT8 не то. WSPR больше приносит пользы. Станции передают свою мощность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1871] Автор : RK4CB Дата : 23.10.2023 10:39 Тут я не знаю что сказать. Евгений, а чего не используете NR2? Люди делали-старались, презентации делали красивые-живые))) https://www.youtube.com/watch?v=OuDcMZv7NxY с 23 минуты 35 секунды В видео, конечно, идеальные условия показаны, у меня чуть хуже, но весьма впечатляюще чистит https://www.youtube.com/watch?v=JVpoKWiSJuI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1872] Автор : rz3qs Дата : 23.10.2023 15:23 а чего не используете NR2? Люди делали-старались, презентации делали красивые-живые Презентации смотрел, в SSB NR2 включен постоянно. Ранее я говорил только за CW. Прием под шумом эфира. Есть мнение, что мало бит АЦП, пока я не вижу этому подтверждение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1873] Автор : EW1CL Дата : 25.10.2023 20:34 Запустил в работу TRX-DUO и Thetis, но программа показывает, что работает по протоколу 1. Подскажите пожалуйста какую прошивку для сабжа и где взять что бы активировать протокол 2? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1874] Автор : EW3KO Дата : 27.10.2023 15:09 какой сетевой чип ? В теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1875] Автор : DH1KLM Дата : 28.10.2023 14:12 Запустил в работу TRX-DUO и Thetis, но программа показывает, что работает по протоколу 1. Подскажите пожалуйста какую прошивку для сабжа и где взять что бы активировать протокол 2? Прошивка протокола 2 доступна для моделей Red Pitaya с декабря 2020 года как в 14-битной, так и в 16-битной версии. Прошивку написал DK5QH, также на основе прошивки Prot1 Павла Демина. Прошивка некоторое время была доступна на Github, но была удалена из-за споров об авторских правах и т. д. Если вас интересует прошивка Prot2, могу дать ссылку на ее скачивание. Прошивка сейчас несколько устарела и все изменения, внесенные в прошивку Павла Prot1 с 12/2020, отсутствуют в прошивке DK5QH Prot.2. Для работы с Thetis необходим аудиокодек. С SDR-Console также возможен прием без аудиокодека, но для TX он необходим. Я не знаю, насколько хорошо прошивка Prot2 работает с TRX-DUO. Если я правильно помню, пользователи здесь на форуме пробовали прошивку. Все об этом можно найти здесь, на форуме. Я не вижу никакой практической пользы от Red Pitaya с Prot2, кроме увеличенной пропускной способности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1876] Автор : rz3qs Дата : 30.10.2023 14:09 Теперь CW Skimmer работает напрямую с RP. Частотой управляет Thetis раздельно для RX1 и RX2. https://youtu.be/t9WU99gfP_0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1877] Автор : Serg Дата : 30.10.2023 14:24 rz3qs, EXTIO_SMEM_PRI.DLL где брать и откуда он берет источник сигнала теперь? (для понимания или может где-то есть описание) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1878] Автор : rz3qs Дата : 30.10.2023 14:48 для понимания или может где-то есть описание https://github.com/pavel-demin/sdr-smem Еще вариант, если у Вас RP 122-16, и есть интерес практический, то напишите мне. откуда он берет источник сигнала теперь? Все идет с одной RP, на которой и сделан трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1879] Автор : Serg Дата : 30.10.2023 15:02 https://github.com/pavel-demin/sdr-smem Там только исходники. Словами можете описать, как всё закручено в вышепоказанном варианте или может блок-схема есть из кубиков со стрелками? 16й питаи нет, ест 14я. Но всегда интересно быть в курсе всех софт-новинок, такой я человек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1880] Автор : pavel-demin Дата : 30.10.2023 16:26 Вот примерная схема 394334 Запускать sdr_receiver и sdr_transceiver одновременно получается только на SDRlab 122-16. У sdr_receiver 8 каналов приема. Первые 6 каналов используются CW Skimmer Server. Последние 2 канала используются двумя CW Skimmer. Все программы и плагины с суффиксом _pri (primary) могут управлять частотой приемников. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1881] Автор : R6YY Дата : 30.10.2023 20:20 Павел, большое спасибо. А под 14-ти битный вариант нечто подобное возможно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1882] Автор : pavel-demin Дата : 30.10.2023 20:33 На STEMlab 125-14 (14-битный вариант) можно запускать или только sdr_receiver, или только sdr_transceiver по отдельности. Для совместной работы sdr_receiver и sdr_transceiver на STEMlab 125-14 недостаточно ресурсов FPGA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1883] Автор : yuri316 Дата : 31.10.2023 10:59 394344 Павел, можно ли в эту плату с SD карты загрузить готовый образ(что-то из ваших для питайи) так чтобы ничего не править?конечно может генератор на другой поменять и хотя бы на один LTC2208 набрать выходов и как-то дисплей присобачить? ну хоть как-то задействовать зту плату майнера s9? vivado нет и не влезет на мой ноут 70 гиг. только sdr_transceiver -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1884] Автор : pavel-demin Дата : 31.10.2023 13:03 Я не думаю, что мои образы SD-карт для Red Pitaya будут без исправлений работать с этой платой. Очень маловероятно, что на этой плате вся периферия подключена к чипу Zynq-7000 так же, как на плате Red Pitaya. Насколько я понимаю, плата TRX-DUO разрабатывалась как одно из решений по переработке Zynq-7000 от таких плат. Интересно, от каких плат отпаивают дешевые LTC2208? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1885] Автор : yuri316 Дата : 31.10.2023 16:20 каких плат отпаивают дешевые LTC2208 да пусть LTC2208 и не дешевый будет, собственно и пины то можно было бы переназначить из тех , что идут на платы асика, если бы их только хватило.а как можно через лан соединить с какой-то прогой? и какие частоты от генераторов в питайе идут?Zynq-7000 собственно те же функции тут тянет как и на других платах - и лан и SD и память(пзу убрать можно и на ее место адс, например). просто плат майнеров много и они дешевые тут.ну или хотя бы приблизится к этой плате насколько возможно, а остальное на ней переделать хоть до приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1886] Автор : Serg Дата : 03.11.2023 13:57 Для совместной работы sdr_receiver и sdr_transceiver на STEMlab 125-14 недостаточно ресурсов FPGA. Даже если выпилить мульти-приемники оставить по 1-2? Т.е. задача-минимум, чтобы работал powersdr-mrx (или thetis) с 1(2) приемниками и параллельно с тем же числом RX "шуршал" скиммер. И по блок схеме, спасибо но остался вопрос, скиммеры простые (не серверы) вы как прикрутили, сделали к ним dll или по-старому через VAC? sdr_smem_tcp - это какой-то сервис под windows или в pitaya запущен? Интересно, от каких плат отпаивают дешевые LTC2208? Скорее всего это отбраковка на стадии производства. Они не паяные, хотя может быть научились снимать припой и придавать матовый цвет якобы новое но давно лежало?! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1887] Автор : pavel-demin Дата : 04.11.2023 02:52 Если задаться целью сделать что-то подобное в миниатюре для STEMlab 125-14, то вполне возможно, что что-нибудь получится. Но боюсь, что это потребует много времени и усилий, а результат может получиться не очень. Ну это с точки зрения моих способностей. Да, скиммеры получают сэмплы через VAC. Все по-старому. Я просто собрал вместе хорошо известные элементы, чтобы реализовать некоторые идеи Евгения. Программа sdr_smem_tcp работает на компьютере под Windows. Она получает сэмплы по сети и передает их другим программам и плагинам через разделяемую память. Кстати, я все это пишу и тестирую под Linux. Так что все кроме Thetis под Linux тоже работает. Вместо Thetis у меня PowerSDR mRX PS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1888] Автор : yuri316 Дата : 04.11.2023 14:39 а есть ли вообще точная схема TRX-DUO ? В теме. Александр, сделайте пожалуйста более качественный снимок платы. чтобы видеть надписи на кварцах и микросхемах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1889] Автор : EW3KO Дата : 04.11.2023 14:52 снимок платы Фото (https://aliexpress.ru/item/1005006136478634.html?sku_id=12000035921116611&spm=a2g2w.productlist.search_results.2.56a04aa6EHkTt1)со страницы продавца. (https://aliexpress.ru/item/1005006136478634.html?sku_id=12000035921116611&spm=a2g2w.productlist.search_results.2.56a04aa6EHkTt1) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1890] Автор : pavel-demin Дата : 04.11.2023 17:07 Вот ссылка на страницу с качественным снимком TRX-DUO и всей доступной документацией: https://elekitsorparts.com/product/trx-duo-sdr-transceiver-dual-16bit-adc-with-zynq7010-2tx-2rx-ddc-duc-sdr-red-pitaya-power-sdr-sdr-sdr-console/ Кварц - 125 МГц. Все остальные чипы более-менее читаемы. Схему TRX-DUO я не видел, но есть схема некоторых частей Red Pitaya SDRlab 122-16: https://downloads.redpitaya.com/doc/Customer_Schematics_STEM122-16SDR_V1r1%28Series1%29.PDF -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1891] Автор : yuri316 Дата : 04.11.2023 18:15 мне кажется , что тот же самый снимок. схема некоторых частей Red Pitaya SDRlab 122-16 да. это хорошая схема.правда , там адс и дак сразу два в одном корпусе.в принципе, есть все что надо. в майнере стоит микросхема 850612 для LAN и для карты SD микросхема YJ612 ( TXS02612).а уже потом идут на Цинк.значит шины не такие как в питайе.опорник тоже другой, конечно. Добавлено через 16 минут(ы): самое удивительное то, что на контрольную плату есть принципиальная схема в интернете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1892] Автор : Serg Дата : 06.11.2023 17:57 Да, скиммеры получают сэмплы через VAC. Все по-старому. Понятно теперь устройство всей этой связки. Повер-сдр вроде уже раньше умел IQ-квадратуры выдавать, по идее и без всей этой кухни простой скиммер (не сервер) по VAC можно было запустить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1893] Автор : rz3qs Дата : 06.11.2023 18:25 по идее и без всей этой кухни простой скиммер (не сервер) по VAC можно было запустить Так запустите и покажите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1894] Автор : Serg Дата : 06.11.2023 18:39 rz3qs, не у радио-компа сейчас. А так всё описано еще от флексрадио довольно давно: https://helpdesk.flexradio.com/hc/en-us/articles/206603566-Configure-CWSkimmer-with-PowerSDR-for-Wide- Band-Operation И кино из интернетов: https://www.youtube.com/watch?v=iCNpE-sveuY В повер-мрх и "тетрисах" все эти опции должны были остаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1895] Автор : rz3qs Дата : 06.11.2023 18:59 И кино из интернетов Далеко не то. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1896] Автор : rz3qs Дата : 26.11.2023 23:00 Проверил работу обновленной "прошивки" с поддержкой CW Skimmer при максимальной плотности станций в эфире. Все работает как и хотелось. Два диапазона, два уха, дуплекс другого диапазона. Спасибо всем, кто оказывает поддержку моего варианта трансивера на базе Red Pitaya 122-16. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1897] Автор : Serg Дата : 27.11.2023 19:01 pavel-demin, нет ли планов портировать ваши отличные ред-питайные программы на плату PZSDR? Это китайска разработка с Али, где тоже ZYNC70хх, а ВЧ часть по-моему на ADxxx, как и в "адаме-плуто" (Adalm pluto sdr). В такой программной поддержке был бы хороший сдр-прорыв для УКВстов! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1898] Автор : pavel-demin Дата : 29.11.2023 17:00 Про PZSDR никогда не слышал, но из любопытства посмотрел, что предлагают на алиэкспрессе с чипом AD9363. Похоже, что PZSDR - это клон ANTSDR E310: https://www.aliexpress.com/item/1005003181244737.html Для ANTSDR E310, похоже, доступны исходные коды образа SD-карты: https://github.com/MicroPhase/antsdr-fw-patch К сожалению, как и у большинства подобных изделий с алиэкспресс, нет ни документации, ни схем. Кроме того, существует как минимум две несовместимые версии E310 и E310v2. Я пока морально не готов тратить деньги и время на то, чтобы разбираться с чем-то подобным. На мой взгляд, LimeSDR Mini 2.0 и LimeSDR XTRX будут поинтереснее: https://github.com/myriadrf/LimeSDR-Mini-v2 https://github.com/myriadrf/LimeSDR-XTRX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1899] Автор : Serg Дата : 02.12.2023 12:57 Я пока морально не готов тратить деньги и время на то, чтобы разбираться с чем-то подобным. На мой взгляд, LimeSDR Mini 2.0 и LimeSDR XTRX Если бы нашлось человек от 5+ спонсировать вам покупку платы, поучаствовал бы в доле тоже. А лаймы - один под USB, а другой вообще не понятно куда вставлять и вся обработка будет на РС возлагаться, это не то. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1900] Автор : Вячеслав Б Дата : 02.02.2024 17:05 pavel-demin, Павел, возможно я гдето не досмотрел, но всё же, можете подсказать как соединить TRX-duo (1 протокол) с компьютером напрямую, а не через свитч. Почему кроме через свитч ое не работает? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1901] Автор : RK4CI Дата : 02.02.2024 17:36 как соединить TRX-duo (1 протокол) с компьютером напрямую, а не через свитч.А напрямую это как? У меня TRX-duo, подключен к компьютеру именно напрямую. Так же вначале казалось, что подключение идёт через какие то сайты в интернете. Выскакивают какие то окна, выбираешь соединение. Но оказалось нет. Компьютер работает автономно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1902] Автор : RU6AI Дата : 02.02.2024 18:54 Другу настраивал когда-то- LAN кабелем сразу в комп. IP статику только вбил в настройки сетевой. В авто- не заработал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1903] Автор : Вячеслав Б Дата : 02.02.2024 21:27 Напрямую-вы правильно поняли именно напрямую, т. е. LAN кабель из TRX-duo в сетевую карту компьютера. Ставил конечностатический адрес. но воз и ныне там. Не работает. Только через свич. Интересно то, что LAN кабель можно и не подключать в свитч, а все равно выходит на соединение и горит синий светодиод. Так же на одном свитче, при работающем трансивере, можно вынимать LAN кабель из порта и свтавлять в другой порт этого же свича и связь востанавливается и всё продолжает работать. Много пишу и всё не по делу. Вопрос то в том, а какой IP в статике прописывать? Я не знаю IP самой платы. Наверное нужен именно он. Кто делал так расскажите пошагово и "моя благодарность не имеет границ в пределах доступного". Всем спасибо за помощь какая бы она не была. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1904] Автор : pavel-demin Дата : 02.02.2024 22:11 Вопрос то в том, а какой IP в статике прописывать? Я не знаю IP самой платы. Соответствующие инструкции находятся по ссылке: http://pavel-demin.github.io/red-pitaya-notes/alpine При прямом подключении адрес платы 192.168.1.100. Ethernet интерфейс компьютера должен быть настроен на использование IP адреса в той же подсети 192.168.1.x. Например, 192.168.1.111. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1905] Автор : RU6AI Дата : 03.02.2024 08:08 Ставил конечностатический адрес. но воз и ныне там. Не работает Любопытно- какой же вы ставили статический-если спрашиваете-какой нужно ставить. По вопросу - конечно же поняли, что такое -напрямую или через свитч (хаб) Надеюсь- все получилось.Павел подсказал IP- просто думал , что знаете его..Он во всех мануалах указан. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1906] Автор : Вячеслав Б Дата : 03.02.2024 18:35 Спасибо вам ребята. Запускал сканер IP адресов и от туда брал адрес. Буду пробовать. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1907] Автор : RU6AI Дата : 03.02.2024 19:09 Удачи в запуске. Все получится! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1908] Автор : Вячеслав Б Дата : 03.02.2024 21:12 Нет, ни чего не получилось. Делал вот так: Настройка адаптера, свойства, IP4, свойства, пометил кружёк статический IP. Запомнил. Подключил TRX-duo в лан порт компа, всё запустил. Связи нет. Что делал не так???? IP выставил 192.168.1.100 Добавлено через 8 минут(ы): Ещё вопрос. С шиной I2C никогда не работал и не сталкивался. Хотел поставить PCA 9555A.Как подключать и что выставлять? Подключил к шине D и Clock. Что должно быть и что я должен увидеть? Спасибо за подсказки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1909] Автор : RK4CI Дата : 03.02.2024 21:31 Нет, ни чего не получилось.Сегодня посмотрел, похоже у меня первое подключение так же идёт через роутер. Открываю браузер "Опера", в поисковой строке забиваю 192.168.1.100. И жду когда произойдёт подключение. После того как подключение произошло, "Опера" можно выключать, и разрывать соединение с роутером. Работает чисто сетевое проводное подключение. Ту ещё одна бяка вылезла. Включил двухтональник на TRX DUO, и начал проводить измерение параметров аналоговой приёмной части. Работает переда, и одновременный приём вторым приёмником. Так где то через час передача отключилась, и выскочило сообщение об ошибке. На приём всё так же работает. Сейчас всё выключил, и сижу жду. Может остынет и всё восстановится.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1910] Автор : vadim_d Дата : 03.02.2024 21:32 IP выставил 192.168.1.100IP компьютера? Если так, то он совпадает с IP платы, связи не будет. Павел советовал 192.168.1.111 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1911] Автор : RK4CI Дата : 04.02.2024 03:16 IP компьютера? Как я понял, забивается именно адрес трансивера. Компьютер его находит, и устанавливается связь. Собственно, мне как запустили, так и пользуюсь. Помнится я здесь на сайте даже повозмущаться успел. Выхожу на какой то сайт, выбираю СДР трансивер, после этого идёт соединение. Если просто подать питание, и соединить компьютер и трансивер интернет линией, то ничего не происходит. Они даже не пытаются друг друга искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1912] Автор : RU6AI Дата : 04.02.2024 08:17 Посмотрите сообщение #1494 (http://www.cqham.ru/forum/showthread.php?33480-СДР-трансивер-на-базе-Red-Pitaya&p=1948861&viewfull=1#post1948861) . Или в поиске по теме задайте нужный вопрос. Все обсуждалось уже по нескольку раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1913] Автор : Вячеслав Б Дата : 04.02.2024 11:01 Добрый день. Нет ребята ни с IP-1.100 ни с IP-1.111 не работает. УВЫ. По ссылке 1494 я всё прошол два года назад как только запустил TRX-duo. У меня и сейчас всё отлично работат в моей конструкции. Видимо я просто плохо объяснил, что именно мне нужно. Сравниваю два трансивера это гермес и (китайскую анвилину) так я называю трансивер на TRX-duo. Гермес - куда не сунешь лан кабель хоть в роутер, хоть в компютер все работает без проблем. (Анвелину) если включаю в роутер, то всё работает и провожу связи. (можете меня послушать) Если же лан кабель вставляю в комп, то связи трансивера с компом нет, хотя трансивер загрузил прошивку и синий светодиод горит. Надеюсь теперь я правильно описал проблему. И если дадите ссылку на то как включить в TRX-duo плату расширения 9555, я буду очнь признателен. Всем спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1914] Автор : RV3DLX Дата : 04.02.2024 12:55 (китайскую анвилину) так я называю трансивер на TRX-duo. Слава, не нужно делать таких сравнений. Этой китайской поделке до трансивера Анвелина ой как далеко. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1915] Автор : rz3qs Дата : 04.02.2024 13:33 Нет ребята ни с IP-1.100 ни с IP-1.111 IP-192.168.1.100 RP IP-192.168.1.111 сетевая карта компа с маской 255.255.255.0. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1916] Автор : RK4CI Дата : 04.02.2024 14:26 Посмотрите сообщение #1494 .Так там и расписано подключение трансивера через роутер. Набираем адрес в поисковой строке, идёт соединение, выбираем строку трансивера, после этого включаем программу на компьютере. Тот же "Гермес", после подачи питания включаешь программу, и всё, никаких лишних телодвижений. А здесь каждое включение, запрос адреса, соединение, выбор чего то. Ну и до этого не обращал внимания что подключение идёт через роутер. В компьютере есть беспроводное соединение, и именно через него получается и идёт первый запрос. И не всегда это получается быстро... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1917] Автор : Вячеслав Б Дата : 04.02.2024 15:09 Всё это конечно хорошо, но я уже здесь писал, что в этой связке не работает. Добавлено через 6 минут(ы): И я же уже написал, что всё это пройдено два года назад и всё отлично работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1918] Автор : RK4CI Дата : 04.02.2024 15:18 всё это пройдено два года назад и всё отлично работает. Без набора адреса при каждом включении? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1919] Автор : UA4HQS Дата : 04.02.2024 16:01 Год назад Вы же спрашивали ) Из apps\sdr_transceiver _hpsdr start.sh в корень флешки скопируйте. И сразу после того, как TrxDuo загрузится и загорится синий диод, запускайте тетис. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1920] Автор : RK4CI Дата : 04.02.2024 17:35 Из apps\sdr_transceiver _hpsdr start.sh в корень флешки скопируйте. И сразу после того, как TrxDuo загрузится и загорится синий диод, запускайте тетис. Озадачу сына. Сам прошлый раз так и не запустил. Ну и просто посмотреть что сейчас закачано на карту памяти, у меня просто невозможно. Или это можно сделать при установленной в трансивер карте. При соединении его с компьютером? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1921] Автор : UA4HQS Дата : 04.02.2024 18:30 просто посмотреть что сейчас закачано на карту памяти, у меня просто невозможно. Вам в любом случае нужно чем-то работать с картой. Да блин даже 4g модем можно использовать как кардридер. Фотоаппарат, видеорегистратор, диктофон.. Или это можно сделать при установленной в трансивер карте. При соединении его с компьютером? Вот тут AFAIK никак. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1922] Автор : RK4CI Дата : 04.02.2024 18:51 Фотоаппарат, видеорегистратор, диктофон..Нет ничего такого. Есть планшет. Посмотрю, совпадает ли тип используемых карт. На планшет я постоянно с компьютера книги перекидываю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1923] Автор : R6YY Дата : 05.02.2024 13:26 как соединить TRX-duo (1 протокол) с компьютером напрямую 397742 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1924] Автор : rz3qs Дата : 07.02.2024 21:06 Залил на флешку новый релиз 20240204 (https://github.com/pavel-demin/red-pitaya-notes/releases/tag/20240204) от Павла Демина. Что мне нужно с RP 122-16 работает. 6 приемников на Skimmer Server и на HDSDR, SDR#. 2 приемника, каждый на свой CW Skimmer, с управлением по CAT. И конечно все работает одновременно с Thetis. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1925] Автор : RK4CI Дата : 08.02.2024 06:20 с компьютером напрямую А в браузере адрес трансивера набирать нужно? Вай фай отключил, оказывается он только мешал при поиске трансивера. Но всё равно надо набирать в поисковой строке адрес трансивера. 2 приемника, каждый на свой CW Skimmer, Так же установил себе Thetis. Не желает включаться второй приёмник. При включении 2 приёмника, он работает, но на 1 антенну. Иногда, после перезагрузки, могут начать работать полноценно 2 приёмника, каждый от своего физического гнезда. Ну и упорно не желает запоминать калибровку. Родная, отличается ровно на 10 дБ от реальной. В программе SDR mRX, с этим проблем нет. Но в обеих программах, при включении собственного генератора, перестаёт работать S метр 2 приёмника. Так же может где то не поставлена галочка. Собственный генератор имеет реальную линейность под - 80 дБн, при выходном уровне около 100 мВ. Для измерения параметров ИМД хорошего приёмника, явно маловато. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1926] Автор : Вячеслав Б Дата : 12.02.2024 10:24 Добрый день всем. Трансивер на базе TRX-duo оказывается отлично работает на прямую с компьютером по ЛАН порту компа и трансивера. Всем спасибо за участие. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1927] Автор : RU6AI Дата : 12.02.2024 10:27 Трансивер на базе TRX-duo оказывается отлично работает на прямую с трансивером по ЛАН порту компа и трансивера. Так о б этом все и говорили ранее :). Удачи! 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1928] Автор : Вячеслав Б Дата : 12.02.2024 10:41 Вот только я ни где не мог найти правильного ответа или я уже сосем тупой. В посте 1923 тоже был ответ, но увы по этому ответу ни чего не работало. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1929] Автор : R6YY Дата : 12.02.2024 14:09 ...В посте 1923 тоже был ответ, но увы по этому ответу ни чего не работало... Следует добавить, что это у Вас "ни чего не работало". У меня, как и большинства других всё-же работает и на оригинале и на китайском клоне. Загляните сюда (https://forum.redpitaya.com/viewtopic.php?t=24787) к примеру. Могу лишь предположить, что причина фиаско в том, что Вы запускаете Thetis из под WEB-интерфейса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1930] Автор : Вячеслав Б Дата : 12.02.2024 14:41 Да нет. Просто в этом посте ошибка. Вот и всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1931] Автор : RK4CI Дата : 12.02.2024 19:07 Просто в этом посте ошибка. Вот и всё. Ну да. Кто нашёл, молодцы... Никто даже на такой вопрос, надо ли включать браузер, и забивать адрес трансивера в строку поиска, никто так и не ответил. Даже если для этого адреса своя иконка. Только вот это не особо похоже на автоматическое соединение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1932] Автор : UA4HQS Дата : 12.02.2024 19:19 Никто даже на такой вопрос, надо ли включать браузер, и забивать адрес трансивера в строку поиска, никто так и не ответил. Вам ответили тыщу раз уже. По умолчанию, после загрузки, трансивер поднимает web-сервер по адресу 192.168.1.100, туда можно попасть браузером по 80 порту и увидеть web-страницу с меню. После того, как Вы перепишете в корень флешки тот start.sh, на который попадаете тыкая в это web-меню, при старте у Вас не будет загружаться web-сервер, вместо этого будет загружено то "приложение", из папки которого Вы переписали start.sh. Может так понятнее: Если у Вас нет в корне start.sh, то Вам придется вручную выбрать "приложение" из меню. Если у Вас есть в корне start.sh, то трансивер при старте его выполнит, запустив то "приложение", из папки которого Вы взяли этот statrt.sh -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1933] Автор : RK4CI Дата : 13.02.2024 04:01 Если у Вас нет в корне start.sh, то Вам придется вручную выбрать "приложение" из меню. Добрался до возможности посмотреть что у меня записано на карте памяти. Файла старта в корне карты конечно не было. И поэтому даже для открытия самого меню, в котором надо было выбирать трансивер, приходилось открывать браузер, набирать адрес, и в появившемся меню выбирать трансивер. Может какое соединение и делалось автоматически, только отображения на мониторе об этом не шло.. Сейчас, когда файл пуска перенесён в корень карты, сразу после подачи питания на сам трансивер, начинает активно мигать жёлтый светодиод у лан порта. Через 15-20 секунд, загорается зелёный светодиодик здесь же, около лан порта, похоже отображается, что произошло соединение трансивера с компьютером. Через 20-30 сек после этого, загорается синий светодиод у USB входа. Всё, программа установлена, можно включать программу на рабочем столе компьютера. Про этот файл запуска конечно активно писали ещё год назад, когда только купил этот трансиверочек. Но сам тогда его запустить так и не смог, в основном из за отсутствия кардридера. Но и работал с тем что было. Сейчас, под это дело приспособил планшет, а он гад сходу шьёт на карту что то своё, но во всяком случае и с минимумом чего то постороннего на карте, трансивер вроде заработал как надо. Во всяком случае сейчас, достаточно активно использую его панораму, для настройки аналогового приёмника. Иногда начинает прыгать шумовая дорожка, но это похоже не проблема соединения... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1934] Автор : rz3qs Дата : 13.02.2024 19:51 Сегодня провел тестирование трансивера на базе Red Pitaya 122-16 в дуплексном режиме на одну антенну, прием и передача, диапазоны понятно разные. Все получилось нормально, помог триплексер самодельный. Идею взял у VA6AM (https://va6am.com/2017/01/25/first-blog-post/). Мне 100 Вт не надо, применил кольца Т68. Худший вариант получился 20 м. передача 10 м. прием. На картинке видно уровень второй гармоники, вполне терпимо, даже если было бы 100 Вт на выходе. В остальном все чисто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1935] Автор : Serg Дата : 14.02.2024 10:54 rz3qs, фильтрецы тоже бы показали изнутри... И с-метров черт ногу сломит, на одном -72, на другом -117... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1936] Автор : rz3qs Дата : 14.02.2024 12:03 фильтрецы тоже бы показали изнутри... Фото сразу не сделал, а сейчас экраны запаяны, т.е. вскрывать пока не буду. Там тоже Т68. Идею взял у W3NQN. И с-метров черт ногу сломит, на одном -72, на другом -117... Правильный -72 дБм, на выходе передатчика +37 дБм. Другой, который новый, пока не работает в момент передачи, у меня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1937] Автор : Вячеслав Б Дата : 20.02.2024 23:07 Господа, а кто-то ставил последнюю версию: 3/18-armv7-20240204. Что там нового есть? Стоит ли переустанавливать? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1938] Автор : Вячеслав Б Дата : 28.02.2024 18:08 Смотрю, что ни кому не интересна уже эта тема. Поставил я всё же новую прошивку. Понравилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1939] Автор : RC3ZQ Дата : 28.02.2024 19:23 Вячеслав Б, Добрый вечер. Я припоминаю что у Вас клон sdr duo. Какие улучшения по сравнению с предыдущей прошивкой заметили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1940] Автор : UA4HQS Дата : 28.02.2024 20:12 CW макросы в Тетисе не заработали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1941] Автор : ua3rmb Дата : 28.02.2024 20:21 А что с ними? Работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1942] Автор : RU6AI Дата : 28.02.2024 20:54 CW макросы в Тетисе не заработали? Во всех версиях у меня они работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1943] Автор : UA4HQS Дата : 28.02.2024 21:20 Хм. Прямо тетисовские макросы из меню CWX? С RP? 398593 У меня не работают ни собственные макросы в Тетисе, ни манипуляция по ком-порту с Trx Duo. PTT с ком-порта работает, с любой линии (RTS/DTR), манипуляции нет. C hiqsdr mini все работает без проблем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1944] Автор : ua3rmb Дата : 28.02.2024 22:18 Вы, пожалуйста, конкретизируйте, свои вопросы. с Trx Duo C hiqsdr mini все работает Ваш вопрос был в пустоту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1945] Автор : rz3qs Дата : 28.02.2024 22:32 Прямо тетисовские макросы из меню CWX? С RP? Макросы CWX из Thetis никогда не работали с RP и вариантом прошивки от Павла Демина. Только ключ, подключенный к RP, или физический COM-порт из лога, с него и макросы, при желании. А жизнь трансивера на базе RP 122-16 продолжается. Подключил антенный коммутатор 2-6. Управление по BCD коду от RX1 и RX2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1946] Автор : UA4HQS Дата : 29.02.2024 07:41 Макросы CWX из Thetis никогда не работали с RP и вариантом прошивки от Павла Демина. Я в курсе. Я просил Павла исправить ситуацию, он отказался, сославшись на отсутствие времени и желания. Я поинтересовался, на всякий случай, не заработали ли макросы. Вдруг время у Павла появилось ? ) Хотя в анонсе новой прошивки про макросы ничего не было ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1947] Автор : Вячеслав Б Дата : 29.02.2024 13:32 CW я не интересуюсь совсем, а вот загрузка стала, по сравнению с тем , что была, значительно быстрее. Если ранше приходилось ждать 50-60 секунд, то теперь всего 20-25 секунд. В остальном ничего не заметил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1948] Автор : Serg Дата : 03.03.2024 13:10 pavel-demin, На али появились платы демо-разработок с такими же Zync-контроллерами и прочей похожей периферией, как в питаях 14 и 16. Нет ли желания попробовать на них запустить программы трансиверов и преимников? Есть интерес получить более бюджетный вариант RP-16 с ее мощным zync7020, ибо то что продают китайцы TRX-DUO имеет по сути более слабый контроллер от RP14. В принципе, разработать на эти китайские Zync платы бутербродом плату с ADC/DAC-трактом труда не составит, думаю ни у кого, если до этого получится с запуском или нужной доработкой п/о. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1949] Автор : UA3RW Дата : 03.03.2024 16:33 появились платы демо-разработок с такими же Zync-контроллерами где это можно посмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1950] Автор : Serg Дата : 05.03.2024 12:33 UA3RW, не могу с телефона ссылку в комп вставить В поиск али наберите: Xillinx ZYNQ 7020. Там есть и готовые "адам-плуто" укв сдр-ы, а так же просто платы макетирования где этот SoC, etnernet и по двум бокам гребенки для подключения к множественным выводам чипа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1951] Автор : Вячеслав Б Дата : 05.03.2024 13:40 Добрый день всем. Кто то работает на втором протоколе ?? Если кому надо, то я могу поделиться вторым протоколом для TRX-duo. Всё работает начиная с АНАН 7000. Запускается так же как и с первым протоколом и не нужно браузера. Как обычно после загарания синего светодиода запускаете ТЕТИС и вперёд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1952] Автор : r4ii Дата : 05.03.2024 20:59 pavel-demin, Палел, добрый день! Какой надо купить zync7020, что на ее основе собрать аналог RP-16. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1953] Автор : Вячеслав Б Дата : 06.03.2024 09:20 Для RV6LCT. Я не могу прислать ссылку так как это у меня на флешке в архиве и я её ни куда не отправлял. Я просто кое что скомбинировал что бы протокол заработал и всё. Могу прислать только на почту. EW1CL, то же самое и для вас. Всего доброго. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1954] Автор : rz3qs Дата : 14.03.2024 23:57 Плата управления SO2R и плата ДПФ. Программа KiCad 5.1.6. Как есть. Что либо менять уже не буду. Работает и меня устраивает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1955] Автор : Serg Дата : 15.03.2024 13:27 KiCad Для не-кикадчиков можно полную схему в пдф? Там какие-то огрызки отдельные в пдф в архиве или оно так и есть в кикаде? :( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1956] Автор : rz3qs Дата : 15.03.2024 14:01 Для не-кикадчиков можно полную схему в пдф? Можно, только думаю, что кому это не особо интересно, то пользы от нее нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1957] Автор : Serg Дата : 15.03.2024 14:27 Польза есть, читать нормальную ч/б схему в 150 раз проще, чем отрывки серпантина из этих программ проектирования. Жаль, что нет номиналов деталей сразу в нормальном виде на схеме. И напомните пожалуйста, под какую эмуляцию фирменных "хермесов-ананов" этот расклад, и под какую версию протокола/по MRX ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1958] Автор : rz3qs Дата : 15.03.2024 14:56 под какую эмуляцию фирменных "хермесов-ананов" этот расклад ANAN-7000DLE, Thetis, первый протокол. Еще напомню, что Thetis немного модифицирован, 384 кГц обзор, можно вывести кнопки антенн второго приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1959] Автор : pavel-demin Дата : 16.03.2024 14:59 появились платы демо-разработок с такими же Zync-контроллерами и прочей похожей периферией Как раз с периферией надо быть осторожней. Из того что я нашел на али, у самых дешевых плат медленный Ethernet со скоростью 100 Мбит/с. С 1 Гбит/с Ethernet, я вижу только две похожие модели: https://aliexpress.ru/item/1005006606902976.html https://aliexpress.ru/item/1005006268887847.html Если Вам удастся сделать плату расширения с АЦП/ЦАП, то адаптировать мои программы для такой системы будет вполне реально. Но лично я предпочитаю пока не работать с такими китайскими платами. Слишком много рисков и мало документации. Если уж заморачиваться с чем-то подобным, то я бы предпочёл плату более известного производителя с более современным чипом. Вот пример: https://aliexpress.ru/item/1005002753729613.html Добавлено через 12 минут(ы): Какой надо купить zync7020 XC7Z020-1CLG400C -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1960] Автор : ra3gn Дата : 16.03.2024 15:45 Интересно, зачем гигабитный эзернет, флекс занимает полосу всего 150кб/с, а сансдр2 - 2мб в с. Решил добавить, подключил провайдера дом ру, обещали 800мб в с, но не получил, что обещали, однако. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1961] Автор : Serg Дата : 16.03.2024 18:27 Интересно, зачем гигабитный эзернет, Наверно, что оригинальная RP-16 может выдавать 16 потоков квадратуры (приемников) по 192кГц, это вроде больше, чем у всех сун-флексов. Т.е. 192*4*16 = 12+ мегабайт в секунду поток, такое уже в 100ю сеть не очень пролазит. Собственно для этого и заинтересовался в дешевом варианте на основе демо-платы. Платить 500-600$ за фирменную RP16 нет смысла уже, когда появляются платы дешевле с нужным "мозгом". И тем более, если использовать ее только для приемников (скиммеров и т.д.) pavel-demin, попробую китайцам задать вопрос насчет точных схем их плат. Вариант очень заманчивый... Мне в принципе интересен даже только приемник 2xADC. Без DAC. P.S. в третьей ссылке дороговато уже , под 400$ и "цинк" другой совсем. Меня бы устроило как в первой ссылке около 150$, там написано что 7Z020-2CLG400C - он тоже подойдет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1962] Автор : ra3gn Дата : 16.03.2024 19:22 Сергей, вы попутали "белое с горячим", 100мб в с/ на 0,15= 666 штук по 200 кгц, это для флекса, для 6 диапазонов в качестве ским. - хватает с избытком. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1963] Автор : ua3rmb Дата : 16.03.2024 19:35 зачем гигабитный эзернет, флекс занимает полосу всего 150кб/с, а сансдр2 - 2мб в с. Зачем тогда 100 мегабит? Вам и 10 за глаза. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1964] Автор : pavel-demin Дата : 16.03.2024 20:11 Интересно, зачем гигабитный эзернет Насколько я понимаю, идея использовать плату на чипе Zynq 7020 заключается в том, чтобы приёмников было больше, чем на TRX-DUO. Наверное не менее 16 приёмников каждый с полосой пропускания 192 кГц. 192000 * 48 бит * 16 приемников = 147456000 бит/с = 141 Мбит/с 100 Мбит/с явно не хватает. Добавлено через 26 минут(ы): Собственно для этого и заинтересовался в дешевом варианте на основе демо-платы. Этот вариант "дешевый", если только не учитывать риски и время на разработку платы расширения и адаптацию программного обеспечения. Если все это учесть, то готовая работающая плата от Red Pitaya получится на порядок дешевле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1965] Автор : Serg Дата : 16.03.2024 20:44 ra3gn, интересен именно аналог RP-16 по возможностям сервиса. Если устраивает аналог RP-14 по сервису, просто покупаем клон "trx-duo". pavel-demin, затраты на производство модуля с clock-drv-adc-(dac) конечно не будем считать, это же часть хобби. :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1966] Автор : pavel-demin Дата : 16.03.2024 23:35 А что насчет возможных рисков? Я думаю, что существует значительный риск того, что эта дешевая плата с разъемами, которые обычно не рекомендуются для высокоскоростных соединений, не будет работать с вашей платой расширения АЦП. Те же китайские товарищи, которые продают дешевые платы, продают и более дорогие платы с разъемом FMC для быстрых АЦП: https://aliexpress.ru/item/1005006121148845.html Там уже и Zynq 7020 и два канала АЦП (вариант с модулем PZ-FL9643), и продаются они комплектом. Также есть вариант с более современным чипом Zynq UltraScale+: https://aliexpress.ru/item/1005006654913579.html Эти варианты с разъемом FMC мне кажутся более реалистичными. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1967] Автор : yuri316 Дата : 17.03.2024 00:29 to serg Собственно для этого и заинтересовался в дешевом варианте на основе демо-платы. Платить 500-600$ за фирменную RP16 нет смысла уже, когда появляются платы дешевле с нужным "мозгом". И тем более, если использовать ее только для приемников (скиммеров и т.д.) Берите совсем нулячую плату майнера Т9+ и адаптируйте ее к питайе.схема есть .приемник точно влазит(один).настоящее радиолюбительство- без баксов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1968] Автор : Serg Дата : 17.03.2024 01:12 А что насчет возможных рисков? Готов за 150$ рисковать, а за 250 и 450 - уже нет, проще добавить еще 150-200 и взять rp16. Пока запрошу схему платы, а там будет видно. Что еще хорошо с простыми разъемами прототип платы с adc можно и на дому сделать, а под fmc уже вряд ли и вообще этот разъем впервые узнал сегодня :) А работал стык adc-fpga у народа даже так: http://www.cqham.ru/forum/attachment.php?attachmentid=265863&d=1499113136 yuri316, я не программист, не знаю что там адаптировать и майнингом не занимался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1969] Автор : pavel-demin Дата : 17.03.2024 01:41 я не программист, не знаю что там адаптировать и майнингом не занимался. Ну тогда вариант с дешевой платой на чипе Zynq 7020 тоже рискует не взлететь. Вам же для нее тоже предстоит адаптировать мои или какие-то еще программы. Если вы рассчитываете на меня, то я точно не буду этим заниматься. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1970] Автор : rz3qs Дата : 17.03.2024 11:19 Фото на память. Свои задачи в тесте выполнил, но станций много, затягивают продолжать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1971] Автор : yuri316 Дата : 17.03.2024 18:27 я не программист, не знаю что там адаптировать и майнингом не занимался. программировать , да еще xillinx это круто и далеко не все могут(павел демин может), но схема асик майнера есть и РП тоже есть .там даже некоторые вещи уже есть и совпадают.например эзернет или оперативка.плату с адс опорой и т.д. все равно делать внешней придется.короче-все подгоняется к РП . а чего не хватает выводов так и останется.прошивку оставить не трогая а выводы найти и вывести.ну . мракобесие, конечно-а кто сказал что это легко.это раньше радио было -просто. я не программист, не знаю что там адаптировать и майнингом не занимался. программировать , да еще xillinx это круто и далеко не все могут(павел демин может), но схема асик майнера есть и РП тоже есть .там даже некоторые вещи уже есть и совпадают.например эзернет или оперативка.плату с адс опорой и т.д. все равно делать внешней придется.короче-все подгоняется к РП . а чего не хватает выводов так и останется.прошивку оставить не трогая а выводы найти и вывести.ну . мракобесие, конечно-а кто сказал что это легко.это раньше радио было -просто. Добавлено через 7 минут(ы): 399325 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1972] Автор : pavel-demin Дата : 17.03.2024 19:39 Вот нашел еще одну дешевую плату с Zynq 7020: https://aliexpress.ru/item/1005005779045608.html Плюсы: 1 Гбит/с Ethernet общедоступная документация (https://github.com/ChinaQMTECH/QMTECH_XC7Z020_STARTER_KIT_V01) простая схема, ничего лишнего один из разъемов можно переключить на внешнее питание, например 1,8В, для работы с некоторыми АЦП Минусы: все платы используют один и тот же MAC адрес, это нужно будет как-то решить если в сети будет несколько таких плат с чипа Zynq снята маркировка, что вызывает некоторые подозрения в его происхождении -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1973] Автор : Serg Дата : 18.03.2024 11:38 Ну тогда вариант с дешевой платой на чипе Zynq 7020 тоже рискует не взлететь. Вам же для нее тоже предстоит адаптировать мои или какие-то еще программы. Если вы рассчитываете на меня, то я точно не буду этим заниматься. Но 150$ это не 300-400+. Предполагал, что оно там первично запустится на вашей готовой флешке, а дальше рассчитывал, что вам предоставлю доступ по белому IP в железку для отладки, ну или в крайнем случае мог бы даже прислать плату. Но раз нет то и суда нет. Программировать я не умею и тем более линукс-системы, хотя базовые основы понимаю ну там если отредактируй что-то в такой-то строке, это максимум. Тем не менее "удочки заброшены", на тему что такие платы есть, может у нас другие линуксоиды-SoC-щики найдутся среди р/л, кто возьмется адаптировать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1974] Автор : yuri316 Дата : 18.03.2024 13:25 Но 150$ это не 300-400+. Тем не менее "удочки заброшены", на тему что такие платы есть, может у нас другие линуксоиды-SoC-щики найдутся среди р/л, кто возьмется адаптировать... глядя на активность форумов, скоро останутся только изучающие годами кнопки и функции готовой аппаратуры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1975] Автор : Serg Дата : 18.03.2024 13:27 скоро останутся только изучающие годами кнопки и функции готовой аппаратуры. Таких уже давно 98+ %. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1976] Автор : vadim_d Дата : 18.03.2024 13:41 изучающие годами кнопки и функции готовой аппаратурыСложность готовой аппаратуры растет из года в год :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1977] Автор : UA4HQS Дата : 18.03.2024 14:28 программировать , да еще xillinx это круто и далеко не все могут(павел демин может), но схема асик майнера есть и РП тоже есть .там даже некоторые вещи уже есть и совпадают.например эзернет или оперативка.плату с адс опорой и т.д. все равно делать внешней придется.короче-все подгоняется к РП . а чего не хватает выводов так и останется.прошивку оставить не трогая а выводы найти и вывести.ну . мракобесие, конечно-а кто сказал что это легко.это раньше радио было -просто. Фонтанировать идеями дело нехитрое. Но я не пойму никак, чем Вы пытаетесь заинтересовать людей. Хорошо обкатанных решений, неоднократно воплощенных в железе, с нормальной поддержкой уже с десяток есть, на любой вкус и кошелек. Кому и зачем нужно городить что-то на вышедших в тираж платах управления от асиков? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1978] Автор : Serg Дата : 18.03.2024 15:13 Вот нашел еще одну дешевую плату с Zynq 7020 Весьма радует цена, спасибо за инфо! И все же, если ли какая-то вероятность старта сдр программ "как есть"? Чтобы только подключить АЦП, подать 122.8МГц (или сколько нужно куда). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1979] Автор : yuri316 Дата : 18.03.2024 19:05 Кому и зачем нужно городить что-то на вышедших в тираж платах управления от асиков все дело в цене на плату с xillinx .плата асика 400 рублей , а демо из китая с такой же микросхемой 8000 рублей.добавить плату с адс и генератором все равно придется. разницу чувствуете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1980] Автор : UA4HQS Дата : 18.03.2024 21:53 плата асика 400 рублей Правильно. Она без софта нафиг не нужна, разве что сдуть с нее xilinx как запчасть на RP. А если вдруг эта плата будет востребована, причем все равно, для трансивера, майнера или еще какого асика - она подорожает тут же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1981] Автор : R7TJ Дата : 18.03.2024 22:15 Всем привет! Нашел TRX DUO | Red Pitaya (https://t.me/trxduo) в Телеграме для более оперативного общения! Добро пожаловать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1982] Автор : UA4HQS Дата : 18.03.2024 22:27 Нашел группу :ржач: Долго искали? 399365 Чего б честно не написать - создал тут между делом вчера группу... :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1983] Автор : rz3qs Дата : 18.03.2024 22:41 Нашел TRX DUO | Red Pitaya в Телеграме Нет у меня Telegram. Какое преимущество будет против данного форума? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1984] Автор : pavel-demin Дата : 19.03.2024 00:03 рассчитывал, что вам предоставлю доступ по белому IP в железку для отладки Я похоже упускаю какую-то важную информацию в Ваших рассуждениях. Какой мне будет интерес тратить кучу времени на удаленную отладку вашей системы? Поскольку дешевые китайские платы, скорее всего, будут доступны в течение небольшого периода времени, то проект, скорее всего, будет разовым (т.е. только для Вас), и если кто-то захочет повторить его через год, то все придется переделывать заново. На данный момент это выглядит так, будто Вы хотите сэкономить на покупке платы за счет моего времени. Мне этот вариант не очень нравится. может у нас другие линуксоиды-SoC-щики найдутся среди р/л Скорее придется адаптировать конфигурацию ПЛИС. Знания Linux и SoC особо не понадобятся. Скорее понадобятся некоторые умения работать с Vivado, редактировать xdc файлы и генерировать битстримы. Если Вы будете использовать АЦП AD9648, LTC2145-14, LTC2185, LTC2208, то ничего особенного отлаживать на стороне ПЛИС не потребуется. Добавлено через 16 минут(ы): Чтобы только подключить АЦП, подать 122.8МГц (или сколько нужно куда). Очень маловероятно. Получается слишком много требований к плате: дешевая Zynq 7020 точно такой же чип, как и на RP16 разъем подключен к тем же выводам ПЛИС, что и у RP16 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1985] Автор : yuri316 Дата : 19.03.2024 00:46 Правильно. Она без софта нафиг не нужна, разве что сдуть с нее xilinx как запчасть на RP. А если вдруг эта плата будет востребована, причем все равно, для трансивера, майнера или еще какого асика - она подорожает тут же. ну как запчасть точно нужна для тех кто умеет ее припаять(https://www.chipdip.ru/product0/8004666102), софт я не говорил менять-это очень сложно(vivado, vitis,даже программатор для нее проблема), асики сильно вперед ушли и эта плата отброс.для полноценного трансивера правда плата все же слабовата(EBAZ4205 получше, но и подороже).посмотрите вот эту информацию, кто-то уже раньше делал шаги , но более продвинутые дорогие платы их остановили. https://hackaday.io/project/186329-32mhz-spectrum-sdr-ft8-in-an-fpga/details https://mysku.club/blog/aliexpress/84832.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1986] Автор : Genadi Zawidowski Дата : 19.03.2024 08:41 А работал стык adc-fpga у народа даже так И еще этот вариант был... http://www.cqham.ru/forum/showthread.php?44212-%C0%E8%F1%F2-2-ZYNQ-7020-playground&p=1889269&viewfull=1#post1889269 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1987] Автор : Serg Дата : 19.03.2024 14:10 Какое преимущество будет против данного форума? Никакого. Лишь какое-то почти маниакальное желание у многих, чтобы всех с вэб-площадок общения выманить в эти телефонные программы :-( Какой мне будет интерес тратить кучу времени на удаленную отладку вашей системы? Упс, долго думал даже, что ответить. Как бы личная ваша популярность в р/л обществе и дальнейшее продвижение вашего труда. Платы может и пропадут через время, но появятся другие подобные и уже будет опыт и возможно частично задокументировано, что нужно поправить для запуска. Мы же (радиолюбители кв-укв) в своем большинстве полные дубы в таких программных делах. Если Вы будете использовать АЦП AD9648, LTC2145-14, LTC2185, LTC2208, то ничего особенного отлаживать на стороне ПЛИС не потребуется. Так и думал купить самые доступные 2208, которые с успехом используют любители в других проектах. точно такой же чип, как и на RP16 Это про сам цинк, какойименно в RP-16 стоит, прежде считал, если основные цифры 7020 - подойдет любой такой или там с особенностями? разъем подключен к тем же выводам ПЛИС, что и у RP16 Полагал, что все I/O цинка доступны на колодках демо-плат или только часть?! Вивальдо - для меня прежде неизвестное, это получается как у альтеры квартус? Запускал такую штуку раз, но только назначать пины там умел, кодом не занимался никаким. Файлы редактировать наверно можно, если будут подсказки. А вот что такое генерировать битстримы - надо узнавать будет. И еще этот вариант был... Тоже видел на али такое, но для начала себе рассматривал вариант платы с более адекватными под макетирование выводами на стандартной колодке 2.54мм, а главное с уже готовым интерфейсом LAN в виде гнезда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1988] Автор : pavel-demin Дата : 19.03.2024 23:32 Спасибо за ответы и комментарии. К сожалению, ничего интересного для себя в Вашем проекте пока не вижу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1989] Автор : Serg Дата : 20.03.2024 10:58 Вот нашел еще одну дешевую плату с Zynq 7020: https://aliexpress.ru/item/1005005779045608.html Павел, все же хочу уточнить, пока там скидки на продажу. Этот цинк 70Z020 подходит или там буковки затерные тоже критичны? В схеме RP16 указано так: XC7Z020-1 CLG400C. А на китайской плате CLG484A. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1990] Автор : Genadi Zawidowski Дата : 20.03.2024 11:31 400 и 484 это количество шаров на BGA корпусе. Скорее всего увы (инициализация DDR как минимум отличается) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1991] Автор : pavel-demin Дата : 20.03.2024 12:54 Я бы предложил забыть об идее использования одной из дешевых плат Zynq 7020 с немодифицированной прошивкой для платы Red Pitaya. Помимо типа основного чипа, есть еще несколько нюансов. Если вы хотите использовать мои приложения для Red Pitaya в своей системе на базе дешевых плат Zynq 7020, их необходимо будет адаптировать. Кстати, вопрос, чтобы попытаться оценить трудозатраты. Какие/сколько из моих приложений Вы планируете использовать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1992] Автор : Serg Дата : 20.03.2024 13:12 pavel-demin, мне в первую очередь интересен многокальный сдр приемник - чем больше каналов - тем лучше, для реализации максимально дешевых но качественных много-диапазонных skimmer и web-sdr серверов. Если поставить 2 ADC так же может быть интересным когерентный прием с 2 антенн. Т.е. и такая версия адаптации программы для работы в клиенте Powersdr-MRX может быть интересной. Ну и если DAC захотим потом тоже поставить, то и hermes-трансивер понадобится, но это пока не в приоритете. Все остальное из предлагаемого radptitaya вроде бы никому из нас не нужно (КВ-УКВ радиолюбители). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1993] Автор : yuri316 Дата : 20.03.2024 14:48 Я бы предложил забыть об идее использования одной из дешевых плат Zynq 7020 с немодифицированной прошивкой для платы Red Pitaya. Помимо типа основного чипа, есть еще несколько нюансов. Если вы хотите использовать мои приложения для Red Pitaya в своей системе на базе дешевых плат Zynq 7020, их необходимо будет адаптировать. Кстати, вопрос, чтобы попытаться оценить трудозатраты. Какие/сколько из моих приложений Вы планируете использовать? Прошу прощения за вопросы неспециалиста в платах с Zynq, но пользуясь случаем получить ответы прямо от разработчика таких систем, хочу задать несколько вопросов. у меня в данный момент(нахожусь в гостях) есть только слабый ноутбук(vivado не потянет) и паяльная станция.плата с цинком вот такая: https://mysku.club/blog/aliexpress/84832.html чтобы перепрошить какую-то другую версию асика , нужно передвинуть один из коротышей на плате и с SD карты загрузить прошивку. можно ли воспользоваться этим и что-то с sd карты или UART загрузить ,чтобы убрать для начала асик и потом хоть что-то из СДР поставить ? можно ли эзернет задействовать для соединения с сдр программами (например QUISK) ? Добавлено через 51 минут(ы): схема и пины платы: 399429 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1994] Автор : pavel-demin Дата : 20.03.2024 15:21 С каждым Вашим ответом я все больше удивляюсь тому, как Вам удается добавлять детали, которые, на мой взгляд, делают этот проект все менее и менее реалистичным. максимально дешевых но качественных Это почти точное описание плат Red Pitaya. Сомневаюсь, что из деталей со свалки, которые продаются на Алиэкспресс, можно сделать что-то качественное. TRX-DUO - тому пример. Кроме того, Вы собираетесь подключать быстродействующий АЦП через нерекомендуемый для этого разъем, причем без дифференциальных линий. О каком качестве здесь может идти речь!? чем больше каналов - тем лучше Насколько я понимаю, Вы имеете в виду одно из двух моих приложений по следующим ссылкам: http://pavel-demin.github.io/red-pitaya-notes/sdr-receiver-122-88/ http://pavel-demin.github.io/red-pitaya-notes/sdr-receiver-hpsdr-122-88/ С этим моментом более-менее понятно. может быть интересным когерентный прием с 2 антенн Насколько я помню, в этих двух приложениях нет когерентного приема. Итог: слова "качественных" и "когерентный" сильно затрудняют реализацию Вашего списка требований. никому из нас не нужно (КВ-УКВ радиолюбители) О какой группе радиолюбителей идет речь и откуда у вас такая уверенность, что Вы знаете, что им нужно, а что нет? Если Вы точно или приблизительно знаете, сколько экземпляров вашей системы вы собираетесь изготовить для своей группы радиолюбителей, то огласите, пожалуйста, эти цифры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1995] Автор : Serg Дата : 20.03.2024 16:54 Сомневаюсь, что из деталей со свалки, которые продаются на Алиэкспресс, можно сделать что-то качественное. TRX-DUO - тому пример Как для приемника все там нормально, я не купил DUO только потому, что они поставили старый цинк 7010 как был RP14. А 14я и так здесь фирменная, но нужно еще несколько таких устройств именно с софт-функционалом RP16. О каком качестве здесь может идти речь!? Это нужно и проверить (чем и хороша более дешевая демо-плата!). Недавно показывал фото, как люди подключали АЦП "макаронами" к fgpa и это всё довольно достойно работало, хотя там тактовая скорость была ниже - 90MHz, но не потому что 122 не работало, на то время генераторы на 122МГц еще не были в доступной продаже. Насколько я помню, в этих двух приложениях нет когерентного приема. Может неправильно выразился, но в power-sdr-mrx есть работа с 2 ацп RP-плат, поэтому и фазировка сигналов с 2 физических входом должна работать в программе на РС. Это же не о когерентном сложении прямо в Zync. И это я уже так губу раскатал, для начала бы запустить всё на одном ADC, приемники совсемстимые с hpsdr-skimmer server. Это уже будет успех. О какой группе радиолюбителей идет речь и откуда у вас такая уверенность, что Вы знаете, что им нужно, а что нет? Павел я варюсь в этой кухне (кв-укв) больше чем пол жизни и наверное знаю тенденции неплохо. Надеюсь без обид и с пониманием. Лично бы изготовил 2-3 экземпляра приемников на основе китайской платы. И если это заработает, поверьте - интерес будет как в бывшем союзе так и у иностранных р/л, потому, что платить 600$ или 100$ за демо-плату и почти бесплатную само-заказанную add-on плату с ADC-frontend - разница есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1996] Автор : sgk Дата : 20.03.2024 17:08 И если это заработает, поверьте - интерес будет как в бывшем союзе так и у иностранных р/л, потому, что платить 600$ или 100$ за демо-плату и почти бесплатную само-заказанную add-on плату с ADC-frontend - разница есть. Как будет выглядеть спектр? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1997] Автор : Serg Дата : 20.03.2024 17:36 Как будет выглядеть спектр? Узнаем после макетирования, если дойдет до него :smile: Работал для своей цены вполне солидно даже такой "взрыв на макаронной фабрике": http://www.cqham.ru/forum/attachment.php?attachmentid=265863&d=1499113136 и конечно - это не пример для подражания, тем не менее для начального запуска почему бы и нет?! А потом предполагал разработать плату с front-end-ADC+CLOCK, по размеру китайской 7020, чтобы она на иголках одевалась сверху или снизу минимальной длиной соединений. Это сможет потом сделать почти любой "радио-дед", закажет плату на pcb-фабрике, купит генератор 122.88 и ltс2208 по демократичным ценам, спаяет за выходные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1998] Автор : ua3rmb Дата : 20.03.2024 17:40 https://sl.aliexpress.ru/p?key=AIpDO1s Всё уже придумано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1999] Автор : Serg Дата : 20.03.2024 17:47 ua3rmb, так RP16 даже дешевле будет, если только для приемных серверов. Не вариант, суть задумки сделать максимально дешево, чтобы пошло в народ и может еще кто-то подключится что-то улучшать-модифицировать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2000] Автор : RV3DLX Дата : 20.03.2024 17:49 https://aliexpress.ru/item/1005006443948083.html?sku_id=12000037186697511&spm=a2g2w.productlist.search_results.2.25b9141dwDFV1F Вот и другая ссылка есть. Мне кажется если делать разработку на отдельных платах, то особенно дешевле не получится. А тут готовая основа для трансивера. Есть все для управления периферией (усилителем мощности, фильтрами и считывание сдатчиков КСВ и мощности). Прошу меня извинить, что не по теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2001] Автор : ua3rmb Дата : 20.03.2024 18:54 Максимально дёшево бывает только в сказках. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2002] Автор : sgk Дата : 20.03.2024 19:53 Узнаем после макетирования, если дойдет до него :smile: У Вас есть какие либо технические характеристики для обсуждаемого устройства? Посмотрите: http://www.cqham.ru/forum/showthread.php?43004-AngeliaLite-%EE%F2-UR3IQO -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2003] Автор : Serg Дата : 20.03.2024 22:56 У Вас есть какие либо технические характеристики для обсуждаемого устройства? Они такие же как у почти любого устройства на 16bit adc. RZ3QS тут по ветке много раз измерял, нужно искать посты. Или может проще по его ютуб каналу. А тут готовая основа для трансивера. Еще раз, речь последние дни не сколько о полноценном трансивере (можно просто купить готовый клон trx-duo), задача сделать приемник-многоканалку подешевле для скимер-серверов и web-sdr. Покупать для этого плату Одисея за еще дороже (700$ против 600), чем оригинал RP-16, ну не знаю что сказать даже. :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2004] Автор : pavel-demin Дата : 21.03.2024 00:05 Еще несколько мыслей по поводу ресивера, который хочет сделать Serg. Список требований: низкая цена 16 приёмников каждый с полосой пропускания 192 кГц Вот основные компоненты, которые Serg предлагает использовать для реализации этой идеи: Zynq-7000 XC7Z020 LTC2208 генератор 122,88 МГц 1 Гбит/с Ethernet две печатные платы На мой взгляд, использование Zynq 7020, LTC2208 и 122,88 МГц избыточно для удовлетворения этих требований. К тому же обе микросхемы дорогие, и если покупать их не со свалок, то вместе они стоят более 300 у.е. Я думаю, что для удовлетворения этих требований можно использовать следующие компоненты: Artix-7 XC7A50T AD9255-80 генератор 76,8 МГц USB 2.0 (FT2232H) одна печатная плата Эти микросхемы ПЛИС и АЦП в 2-3 раза дешевле и доступны у LCSC. Т.е. можно будет заказать печатные платы и сборку у JLCPCB. Компоненты достаточно простые и нарисовать одну печатную плату не составит большого труда. Генератор 76,8 МГц позволит использовать меньше ресурсов ПЛИС и, соответственно, использовать более дешевые микросхемы. Цена вроде бы укладывается в 150 у.е. Я уже адаптировал свой проект многоканального приёмника под подобную систему (Digilent USB104 A7): https://pavel-demin.github.io/usb104-a7-notes/sdr-receiver-hpsdr -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2005] Автор : rz3qs Дата : 21.03.2024 01:16 Может кто покажет, по возможности, кто установил второй протокол, как работает, какие преимущества получились против первого с Thetis. Есть ли поддержка SDR Concole. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2006] Автор : Serg Дата : 21.03.2024 02:20 pavel-demin, спасибо за идеи. Ключевые возражения, если позволите их так назвать. - Ethernet сразу на выходе мог быть удобней - железяка может стоять где-то в радиорубке куда заведены антенны, а сервер по сети в другой комнате или помещении. - вопрос по usb открытый, сколько потребляет ресурса PC CPU программа конвертации потока USB в UDP? - AD9255 - пролетариям не очень доступна, LTC2208 сейчас по 12-15$, вполне исправные, проверено уже неоднократно в других самодельных проектах радиолюбителей. - понижение клока до 78МГц исключает прямое использование диапазона 50МГц этого не хотелось бы лишаться, но не исключаю что и такая версия до 30МГц может существовать. - к последнему, если уже понизить клок можно рассмотреть совсем упрощенный вариант, взять тоже дешевые 12bit AD9226 с clock 61.144 - они даже в корпусе с ножками - радиолюбителю дома паять проще, чем QFN, а параметров dynamic range при правильной огранизации front-end тоже должно хватить. (сигнальный эквалайзер или режекторные фильтры на "ненужные" частоты, где концентрация сигналов с большими амплитудами) - хочется демо-модульного решения, чтобы каждый мог купить и нужное допаять сам, не прибегая к пайке BGA и других сильно мелких элементов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2007] Автор : pavel-demin Дата : 21.03.2024 02:43 А зачем дома-то паять, если можно готовое устройство получить от JLCPCB? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2008] Автор : Serg Дата : 21.03.2024 09:57 А зачем дома-то паять В первую очередь - для удовольствия от технического творчества и не забыть навыки (или развивать кто только недавно в радио). И так уже почти ничего не делаем, соблазн брать готовое стал велик... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2009] Автор : ra3gn Дата : 21.03.2024 10:28 Сергей, Нет смысла делать продукт в кол. 1шт, будет стоить дорого, комплектация-доставка, есть смысл занимать мелкосерийным производством(10-100шт), но дешевле наших китайских друзей, не выйдет, однозначно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2010] Автор : pavel-demin Дата : 21.03.2024 11:58 На данный момент у меня, кажется, закончились идеи конфигураций, которые могли бы меня заинтересовать и при этом отвечать требованиям дешевого многоканального приёмника. Если в проекте нет элементов, таких как ПЛИС UltraScale+, высокоскоростной разъем (SYZYGY, FMC, ...), интерфейс со скоростью более 1 Гбит/с, плата со сборкой на JLCPCB, то такой проект меня не заинтересует. Так что Вам, Serg, придется скорее всего самому разбираться, как адаптировать мои приложения к Вашей системе, или найти еще кого-нибудь, кто сможет Вам помочь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2011] Автор : Serg Дата : 21.03.2024 15:56 Уже говорил, что кв-укв-любители почти всегда дубы в цифровой-процессорной технике, тем более в такой далекой от нас, как fpga-soc. Лично об FMC только на днях узнал вообще, вот серьезно! Оказывается китайцы на этом фмц и модули с АЦП уже сделали, только цена мне не нравится, в районе 200+$ за кусок текстолита с парой микросхем и пластиковым разъемом. Так что пусть готовое под сборку на заводе с нуля проектируют более ушлые цифровые специалисты, если такие найдутся, тут я пас, не готов проектировать сложные PCB с BGA микросхемами - это уже такой уровень сложности, что действительно проще еще с пол года-год подкопить денег и купить что-то готовое. Попробую еще поискать модуль с точно таким цинком как в RP, вдруг найдется. DIY-радио должно быть простым и дешевым, в этом всегда был смысл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2012] Автор : ua3rmb Дата : 21.03.2024 16:32 И на копеечных деталях. Желательно вообще дармовых. Типа как еесдр - ну у вас всех есть компьютеры самой последней модели, а вы чего-то стонете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2013] Автор : pavel-demin Дата : 23.03.2024 16:37 можно рассмотреть совсем упрощенный вариант, взять тоже дешевые 12bit AD9226 с clock 61.44 Я посмотрел, что доступно на JLCPCB для таких частот, и вот что нашел: АЦП AD9235BCPZ-65 (https://jlcpcb.com/partdetail/AnalogDevices-AD9235BCPZ65/C653328) генератор 64 МГц (https://jlcpcb.com/partdetail/hele_harmony_elec-SSR064000I3CH/C254233) трансформатор TC1-1T+ (https://jlcpcb.com/partdetail/minicircuits-TC1_1T/C879831) стабилизатор MCP1700T-3302E/TT (https://jlcpcb.com/partdetail/MicrochipTech-MCP1700T_3302ETT/C39051) На основе этих компонентов можно было бы сделать на JLCPCB небольшую плату расширения для QMTECH ZYNQ XC7Z020 (https://aliexpress.ru/item/1005005779045608.html). Я думаю, что 64 МГц будет реалистичной частотой для разъема на плате QMTECH. В этой системе наверное можно будет получить 32 приемника каждый с полосой пропускания 192 кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2014] Автор : vadim_d Дата : 23.03.2024 16:50 сделать на JLCPCB небольшую плату расширенияТо есть они принимают заказ на полное изготовление? И во сколько такая плата прикидочно обойдется? И что у них по времени выполнения таких заказов, какой размер партии оптимален по цене? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2015] Автор : pavel-demin Дата : 23.03.2024 17:22 Лично я у них сборку пока не заказывал. Вот почему мне интересно попробовать это на простом проекте. Один коллега-электронщик заказывал у них платы со сборкой и был доволен. Он использовал EasyEDA, и она автоматически создавала документацию для сборки. Минимальное количество плат без сборки - 5. Из них можно заказать сборку для любого количества начиная с 1. Оптимально, наверное заказать все 5 плат со сборкой. Но если я буду заказывать для себя, то закажу сборку только одной платы. Самое медленное по времени - доставка. Самое дорогое - подготовка сборки (20-30 у.е.) и доставка (2-20 у.е.). Вот ссылка на цены сборки: https://jlcpcb.com/help/article/98-PCB-Assembly-FAQs (https://jlcpcb.com/help/article/98-PCB-Assembly-FAQs) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2016] Автор : vadim_d Дата : 23.03.2024 17:40 заказывал у них платы со сборкой и был доволенСпасибо, буду знать, что и со сборкой у них получается, просто платами народ вроде вполне доволен, основная проблема возникает с оплатой, но вроде только периодически. Последний раз со сборкой сталкивался по работе лет 15 назад, головная контора в Британии выбрала маленькую венгерскую фирму, так побывал в небольшом городке Zalaegerszeg :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2017] Автор : R6YY Дата : 23.03.2024 19:37 ...мне интересно попробовать это на простом проекте... Павел спасибо за идеи. Я с удовольствием кооперируюсь в такой заказ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2018] Автор : Serg Дата : 24.03.2024 10:34 На основе этих компонентов можно было бы сделать на JLCPCB небольшую плату расширения для QMTECH ZYNQ XC7Z020. Ну вот, не всё значит так "ахово", как в начале было. Вот думаю, может лучше генератор 61.44MHZ - эта частота ровно кратна 192кГц. А 64 ровно не делится на 0.192. Есть доступные на али генераторы NV7050SA. Если еще предусмотреть в проекте вход 1PPS с дешевого GPS-модуля - больше ничего и не надо, точность будет выше всех похвал. Так что заказывать демо-плату можно или вы пока не решили писать п/о? У меня в принципе есть уже и вот такой модуль с 2xAD9226, который можно было бы просто одеть на плату и подать 61.44 (64) МГц... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2019] Автор : pavel-demin Дата : 24.03.2024 13:27 Спасибо всем за интерес к идее сделать плату на JLCPCB. Надеюсь, все понимают, что AD9235 шумнее, чем АЦП на платах Red Pitaya. Шум на спектре будет на 6-7 дБ выше, чем у RP14 и на 10-11 дБ выше, чем у RP16. На счет "аховости" все еще пока неясно. Мой возможный интерес к проекту в случае использования JLCPCB я уже упоминал. Пока приблизительный план такой: выбрать компоненты, которые всех устраивают и есть у JLCPCB нарисовать плату подготовить документацию для сборки заказать первую версию платы и сборки Если все заработает, то желающие смогут заказать плату со сборкой у JLCPCB или сделать эту плату каким-либо другим способом. Ни у JLCPCB, ни у Mouser нет генераторов с частотой 61,44 МГц. Получить 192 кГц из 64 МГц не проблема (64000 кГц / 500 * 3 / 2 = 192 кГц). AD9226 тоже нет у JLCPCB. Сигнал PPS можно напрямую подключить ко второму разъему платы QMTECH. Но я все еще не знаю, как использовать этот сигнал с теми приемниками, которые Вы собираетесь использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2020] Автор : R6YY Дата : 24.03.2024 13:30 Я посмотрел, что доступно на JLCPCB для таких частот, и вот что нашел: АЦП AD9235BCPZ-65 (https://jlcpcb.com/partdetail/AnalogDevices-AD9235BCPZ65/C653328) можно было бы сделать на JLCPCB небольшую плату расширения для QMTECH ZYNQ XC7Z020 (https://aliexpress.ru/item/1005005779045608.html). Пытаясь осмыслить, возник вопрос: а почему действительно не использовать готовые и находящиеся в продаже модули "12bit AD9226 с clock 61.44", а не "заморачиваться" с заказом на JLCPCB. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2021] Автор : Serg Дата : 24.03.2024 13:45 Получить 192 кГц из 64 МГц не проблема (64000 кГц / 500 * 3 / 2 = 192 кГц). А это точно не даст лишнюю математическую загрузку и поражение случайных новых "палок" (spur) в спектре? Я дуб в цифровой технике, но слышал что re-sampling это дает к сожалению, но может у вас другой метод? Но я все еще не знаю, как использовать этот сигнал Использовать сугубо как ФАПЧ для установки точной частоты на VCXO опорном генераторе. (который 64 или 61.44 МГц) AD9235 тоже есть на али, но ее паять "дедам" сложней, если это будет не готовая покупка, а все-таки более дешевый kit-diy набор. С ухудшением SNR у 12бит ацп против 14-16бит - все понятно, но это расплата за меньшую цену и при грамотном проектировании входного тракта - еще не должно быть очень критично. Этот тракт я планирую для себя делать не просто трансформатор 1:1, а скорее всего 1:4, потом частотно-зависимый эквалайзер и LNA (отключаемый), может быть и step-att PE4302. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2022] Автор : pavel-demin Дата : 24.03.2024 14:29 а почему действительно не использовать готовые и находящиеся в продаже модули Мы пока не нашли модуль, который бы устроил всех (в том числе и меня). Добавлено через 6 минут(ы): Использовать сугубо как ФАПЧ для установки точной частоты на VCXO опорном генераторе. (который 64 или 61.44 МГц) Да такой вариант я наверное смогу сделать. Только надо найти подходящий VCXO и ЦАП. Это, на мой взгляд, еще один аргумент против готовых модулей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2023] Автор : yuri316 Дата : 24.03.2024 15:04 Использовать сугубо как ФАПЧ для установки точной частоты на VCXO опорном генераторе. (который 64 или 61.44 МГц) может я неправильно понимаю что с такой частотой 50мгц прием\передача окажется недоступным?может лучше брать 125мгц опору. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2024] Автор : pavel-demin Дата : 24.03.2024 15:42 А это точно не даст лишнюю математическую загрузку и поражение случайных новых "палок" (spur) в спектре? Даст. Все эти ресурсы Zynq 7020 должны же что-то делать. Кажется, никто не жаловался на палки RP14. AD9235 тоже есть на али, но ее паять "дедам" сложней AD9235 в корпусе TSSOP28 (AD9235BRUZ-65) тоже есть на aliexpress. Добавлено через 9 минут(ы): может лучше брать 125мгц опору. У 125 МГц есть свои проблемы. При использовании генератора с частотой 64 МГц частоты 50-54 МГц будут отображаться на 10-14 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2025] Автор : Serg Дата : 24.03.2024 16:09 Мы пока не нашли модуль, который бы устроил всех Есть они на али, но там надо выбрасывать ОУ и ставить трансформатор 1:4, чтобы нормально реализовать чувствительность и ДД. В принципе, это посильная задача каждому, микроскоп еще не нужен. А для запуска-отладки п/о - можно ничего не менять вообще. Поэтому я изначально и предлагал только плату-модуль сделать, которую сможет спаять каждый дома максимально просто и дешево, а это значит ставить AD9226 или AD9235. Есть еще 14-битный AD6645, тоже в почти-легко паяемом корпусе. Все эти детали доступны на али и проверены уже в других проектах. Там же и генераторы на 61.44МГц NV7050SA(SF) тоже можно брать. Только надо найти подходящий VCXO и ЦАП. NV7050 или DSB321SBN (в буквах последних не уверен, там может разная tcxo или vt-tcxo). А цап все делают сейчас программно с помощью шим или ПИФ, во многих проектах видел. Кажется, никто не жаловался на палки RP14. Я жаловался :-) Как помню, из-за кривой частоты вы сказали, что не получилось сделать band-scope всей полосы сразу по протоколу open-hpsdr. что с такой частотой 50мгц прием\передача окажется недоступным? Да, там будет зеркальный заворот, но мы согласились на это из-за доступности АЦП, у которых максимальная тактовая 65МГц. Если кому надо 50МГц - можно поставить LNA и полосовой фильтр на вход только на этот диапазон, все будет работать нормально при небольшой доработке ПО. Или ничего не мудрить, а любой одно-диапазонный совсем дешевый приемник поставить отдельно на прием 50. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2026] Автор : pavel-demin Дата : 24.03.2024 17:19 То, что Вы пишете о "готовом" модуле, в моем понимании называется "неготовым". Если нужно выкинуть из модуля все кроме АЦП, то это АЦП, а не готовый модуль. Доступных мне VCXO на 64 МГц не нашел, так что придется думать, как сделать коррекцию частоты программно. Ваш комментарий по поводу band-scope, на мой взгляд, не совсем по теме. Вопрос был про палки. Палок нет. Насколько я понимаю, мы сегодня обсудили все очевидные проблемы, и пока всех все более-менее устраивает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2027] Автор : pavel-demin Дата : 24.03.2024 23:29 Я попробовал нарисовать плату с AD9235 и обнаружил, что у AD9235 нет тактового выхода, который мне нужен для отправки тактового сигнала на FPGA. Так что использовать AD9235 было плохой идеей. Я также попробовал зарегистрироваться на aliexpress и заказать плату QMTECH. Aliexpress оправдал мои худшие ожидания. Даже почтовый адрес нормально не получается ввести. Так как с AD9235 у меня ничего не получилось, и я не могу найти другие подходящие комбинации АЦП и генератора у JLCPCB, то я отменил свой заказ платы QMTECH. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2028] Автор : vadim_d Дата : 25.03.2024 00:27 у AD9235 нет тактового выхода, который мне нужен для отправки тактового сигнала на FPGAУ него чисто синхронные выходы, tPD = 3.5ns, завести его такт в FPGA отдельно и вписать constraint -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2029] Автор : Genadi Zawidowski Дата : 25.03.2024 06:15 С одной из версий недорогих плат qmtech возился ra4asn. Пришлось сильно сбрасывать скорость работы с ddr3, чтобы не сбоило. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2030] Автор : Serg Дата : 25.03.2024 15:50 Если нужно выкинуть из модуля все кроме АЦП, то это АЦП, а не готовый модуль. Это не есть проблемой для кв-укв р\л, все имеют навык паять такого уровня монтаж, даже иностранцы смогут, у которых обычно опыт пайки и DIY в целом меньше, чем в бывшем ссср. А для отладки-пуска и так всё будет работать, ну на ~10дБ хуже линия шума из-за активного усилителя на модуле всего лишь. Кстати, модули есть как с одной 9226 так и с двумя. обнаружил, что у AD9235 нет тактового выхода, который мне нужен для отправки тактового сигнала на FPGA Параллельно раздавать на ацп и фпга проблемно? Вроде в половине известных схем так. Доступных мне VCXO на 64 МГц не нашел На LCSC есть VXCO NV7050 122.88 MHz, добавляем триггер 74lvc74 (или 74lvc1g74 для компактной полностью заказной платы) и получаем улучшенные 61.44 с выходами в противофазе даже, если они нам нужны, а если нет - только один брать. На али есть такие генераторы и прямо на 61.44 - покупал в другой проект, был рабочий. Жаль, если вы проект для себя завершили, но может кто еще подхватит, в любом случае информация была полезной. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2031] Автор : ra3gn Дата : 25.03.2024 15:52 Товарищи опустили планку на такую высоту, что собрать нормальный приемник для скиммера стало невозможно, если кому не то интересно сделать совсем дешево, то есть разработка ua3reo на "брелках" sdr-rtf. https://ua3reo.ru/cw-ft8-ft4-wspr-rtty-skimmer-na-sdr-rtl/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2032] Автор : yuri316 Дата : 25.03.2024 15:53 Доступных мне VCXO на 64 МГц не нашел, так что придется думать, как сделать коррекцию частоты программно. а программный генератор 64 мгц это очень плохо? https://hackaday.io/project/186329-32mhz-spectrum-sdr-ft8-in-an-fpga/details -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2033] Автор : pavel-demin Дата : 26.03.2024 00:41 завести его такт в FPGA Спасибо за совет. Стало более понятно, как использовать такой АЦП. Параллельно раздавать на ацп и фпга проблемно? Вроде в половине известных схем так. Я никогда не работал с таким типом АЦП. Было бы интересно взглянуть на некоторые из этих известных схем. Если на ПЛИС и АЦП отправлять один и тот же тактовый сигнал, то, наверное, нужно использовать буфер с одним входом и двумя выходами. У JLCPCB есть LMK1C1102: https://jlcpcb.com/partdetail/TexasInstruments-LMK1C1102PWR/C2859546 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2034] Автор : vadim_d Дата : 26.03.2024 09:55 нужно использовать буфер с одним входом и двумя выходамиЕсли сразу сделать две цепи тактового сигнала после такого буфера, то да, жизнь это облегчает, получаются две независимые цепи с одним источником и одним приемником в каждой, вспоминать "Черную магию" Джонсона не требуется, если кому-то захочется что-то добавить или переделать, то свободы больше. В самих FPGA достаточно навороченные системы управления тактами (по памяти Альтеры десятилетней давности), под любой тайминг все можно подкрутить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2035] Автор : Serg Дата : 26.03.2024 14:12 Я никогда не работал с таким типом АЦП. Было бы интересно взглянуть на некоторые из этих известных схем. Вот, подчеркнул красным одноименные сигналы, они вообще напрямую-параллельно соединены, даже никакого буфера нет на схеме и на плате тоже. А если применить AD6645-105 (которые тоже есть на али) - у него есть выходная нога DRY - с нее снимали сигнал такта для подачи в FPGA в еще другом проекте. Джонсона не требуется Если применять генератор на 122.88 с магазина LCSC, нужно поделить его на два для ad9226-65/ad9235-65. Павел не хочет с али ничего покупать, хотя там дешевле и проверенный генератор прямо на 61.44 есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2036] Автор : vadim_d Дата : 26.03.2024 14:33 они вообще напрямую-параллельно соединеныЕсли короткая связь на одной плате, то нет проблем, если же что-то, уходящее через разъем на другую, то проще сразу разделить. На схеме у генератора есть свободный инверсный выход - тоже вариант Павел не хочет с али ничего покупатьЕсли заказывать сборку платы, то тут только из того, что у них заявлено в списке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2037] Автор : Serg Дата : 26.03.2024 15:04 На схеме у генератора есть свободный инверсный выход - тоже вариант Инверсный только не у всех бывает, надо буковки в названии смотреть. Если заказывать сборку платы Я уже понял, вот вариант с 122.88 и делением на 2 как раз актуален. И он VCXO, как раз под "упряжку" с 1pps в будущем... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2038] Автор : pavel-demin Дата : 26.03.2024 16:12 Я примерно прикинул расположение компонентов. Вот что пока получается: 399668 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2039] Автор : pavel-demin Дата : 26.03.2024 17:44 программный генератор Кстати, почему бы и не да? Для совсем бюджетного варианта. Что может быть плохо, упомянуто в статье по Вашей ссылке. Может быть, что на частотах до 30 МГц и с 12-битным АЦП мы и не заметим шумы от такого тактового сигнала. На плате QMTECH есть генератор 50 МГц. Его можно использовать для получения частоты 64 МГц. Я посмотрел модули с AD9226 и вроде как можно напрямую подсоединить к плате QMTECH вот такой модуль с алиэкспресс и тактировать его от ПЛИС: 399669 Контакты 5V и GND вроде бы совпадают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2040] Автор : Serg Дата : 26.03.2024 17:58 Кстати, почему бы и не да? Проверяли уже с ad9226 или ad6645, тоже с 50МГц кварцем на альтере - ерунда получалась с кучей спуров. Хотя это была попытка тоже получения частот кратных 192кГц. Может 64 из 50ти получится лучше? А так, не вижу проблемы купить отдельно NV7050 на 61.44. Или заказать прямо с LCSC распайку 122.88 и триггера. Я посмотрел модули с AD9226 Опять аллилуйя, а сколько не хотели... Павел, так можно уже покупал плату? Последнее время с али шлют не меньше месяца... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2041] Автор : pavel-demin Дата : 26.03.2024 19:04 Не очень понимаю, что я по Вашему мнению я не хотел. Если Вам все так просто и ясно, Вы могли бы с самого начала ясно и понятно показать что, к чему и как Вы хотите подключить. Я например, только сегодня разобрался, как модули на AD9226 можно попробовать подсоединить к плате от QMTECH. Я тактировал от ПЛИС вот такой модуль: https://digilent.com/reference/zmod/scope/reference-manual Никакой ерунды я не заметил. Вот какой спектр получался: 399670 Видны только шумы от нескольких разных импульсных преобразователей на плате с ПЛИС. Попробую на этом модуле протестировать 64 МГц. Я пока ничего покупать не собираюсь. Мой короткий опыт с aliexpress мне не понравился. А если Вы говорите, что и доставка еще очень медленная, то эта идея мне нравится все меньше и меньше. К тому же и плату расширения не надо делать, а можно купить готовую. Получается, что пропал единственный интересующий меня в этом проекте элемент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2042] Автор : EU1SW Дата : 26.03.2024 19:07 Никакой ерунды я не заметил. Потому что не смотрели. Видны только шумы от нескольких разных импульсных преобразователей на плате с ПЛИС. Нужно подать сигнал 0 dBFS, тогда все станет видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2043] Автор : pavel-demin Дата : 26.03.2024 19:29 Я это тоже проверял, но скриншотов не делал. Вроде бы, все было нормально. Но все равно спасибо за идею. Попробую сделать такой тест из того, что есть под рукой: преобразовать 100 МГц в 64 МГц с использованием PLL в FPGA использовать эти 64 МГц для тактирования АЦП подать на вход АЦП сигнал 0 dBFS проверить спектр -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2044] Автор : EU1SW Дата : 26.03.2024 19:35 Все это уже проделано мною 7 лет назад, на Альтере, со скринами и описанием, результаты тут, на форуме в результате лучше, очень сильно лучше (децибел на 20+-) отдельный опорник на нужную частоту, даже для 12 бит АЦП+-. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2045] Автор : pavel-demin Дата : 26.03.2024 19:55 А можно ссылку на результаты, а то интересно посмотреть, но не понятно как их искать? Пока непонятно в чем основная проблема. В тактировании от ПЛИС или в использовании ПЛЛ в ПЛИС для преобразования частоты? Я использовал ПЛЛ (CDCE6214) для тактирования АЦП и тактировал АЦП от ПЛИС, но без ПЛЛ. Первый вариант вообще прекрасно работает, второй конечно от безысходности, но тоже вроде работал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2046] Автор : vadim_d Дата : 26.03.2024 20:31 второй конечно от безысходности, но тоже вроде работалТак а что в нем плохого? Если пин для всклочного выхода подходит по всем параметрам, то без ФАПЧей на пути такта он особо мусором и не обрастает. Да и приличный ФАПЧ дает вполне предсказуемый шум, обычно определяемый его ИЧФД и делителем в цепи с выхода на ФД, со спурами непредсказуемость есть, но тоже небольшая :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2047] Автор : pavel-demin Дата : 26.03.2024 20:55 Так а что в нем плохого? Мне тоже интересно это понять. Безысходность была в том смысле, что других вариантов на тот момент не было. Serg и EU1SW утверждают, что без отдельного опорника "очень сильно" хуже и "ерунда с кучей спуров". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2048] Автор : vadim_d Дата : 26.03.2024 21:01 утверждают, что без отдельного опорникаНе, при попытке сделать внутренними ФАПЧами такт другой частоты для АЦП. Вариант простого буфера и даже делителя на 2 вне FPGA ничего плохого дать не должны. И тестирование тут только с внешним чистым сигналом хорошей амплитуды, который весь ФШ тактового генератора возьмет при оцифровке на себя -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2049] Автор : pavel-demin Дата : 26.03.2024 21:45 даже делителя на 2 А если умножить на 16 и поделить на 25? С помощью CDCE6214 я без проблем конвертирую 38,4 МГц в 100 МГц и 122,88 МГц. Он тоже сначала умножает до нескольких ГГц, а потом делит, и все работает нормально. Неужели ФАПЧи внутри ПЛИС настолько плохи? Из генераторов у меня есть только Red Pitaya. Но я думаю, что если сравнить спектры, полученные Red Pitaya и системой с преобразованием 100 МГц в 64 МГц, то что-то можно будет оценить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2050] Автор : vadim_d Дата : 26.03.2024 22:12 Неужели ФАПЧи внутри ПЛИС настолько плохи?Не, ФАПЧи довели если не до совершенства, то до вполне пристойного уровня, но общий принцип никто не отменял, при низкой частоте сравнения (больших значениях делителя в ОС) шумы ФД дадут свой вклад. Вариант умножения ровно на 2 в ПЛИС вроде как проблем не показал, ну и бороться за качество надо собственно только на такте АЦП (в трансивере и на ЦАПе), все остальные такты для чисто цифровой обработки внутри ПЛИС особой чистоты не требуют, их джиттер учитывается только при проверке тайминга -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2051] Автор : EU1SW Дата : 26.03.2024 22:26 Serg и EU1SW утверждают, что без отдельного опорника "очень сильно" хуже и "ерунда с кучей спуров". Павел, мне ваш сарказм до сиреневой звезды, просто хотел сэкономить вам время. ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2052] Автор : pavel-demin Дата : 26.03.2024 22:30 Интересно можно ли верить предсказаниям Vivado по джиттеру и фазовому шуму? Vivado показывает на мой взгляд не очень большую разницу между 50 и 64 МГц на выходе при 50 МГц на входе: 399677399678 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2053] Автор : EU1SW Дата : 26.03.2024 22:32 http://www.cqham.ru/forum/showthread.php?37592-%C1%FE%E4%E6%E5%F2%ED%FB%E9-%E4%E2%F3%F5%EA%E0%ED%E0%EB%FC%ED%FB%E9-%EC%EE%E4%F3%EB%FC%ED%FB%E9-DDC-DUC-%CA%C2-%D3%CA%C2-%CF%D7&p=1562263&viewfull=1#post1562263 отсюда и ниже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2054] Автор : Genadi Zawidowski Дата : 26.03.2024 22:35 Джиттер в сотни пикосекунд это на три порядка болтше чем требуется (и формируется опорниками) для нормального приёмника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2055] Автор : pavel-demin Дата : 26.03.2024 22:36 мне ваш сарказм до сиреневой звезды Извините, если я вас обидел. Сарказм мне не свойственен, и его не было в моем комментарии. Я просто обобщил имеющуюся на данный момент информацию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2056] Автор : EU1SW Дата : 26.03.2024 22:41 а как плохо для тактирования АЦП использовать ПЛЛ ПЛИС узнали еще в этой теме http://www.cqham.ru/forum/showthread.php?34589-DIY-SDR-DDC-quot-%ED%E0-%EA%EE%EB%E5%ED%EA%E5-quot-%E8%EB%E8-%EA%EE%ED%F1%F2%F0%F3%EA%F6%E8%FF-%E2%FB%F5%EE%E4%ED%EE%E3%EE-%E4%ED%FF-%E8%E7-%EC%EE%E4%F3%EB%E5%E9-%F1-%C0%EB%E8 Добавлено через 5 минут(ы): Гена, чистая правда. :пиво: Я и пытаюсь провести коллег через поле граблей ) Коллеги, все дело в том, что у АЦП очень малое время выборки/хранения, значительно! меньше периода тактовой частоты, все это есть в даташитах и аппнотах. поэтому даже 9226 прекрасно слышит до 900МГц на зеркалах, и даже я как то 1296 ради смеха ему подал... слышит ) дБ на 20 хуже чем в первой зоне Найквиста ) и соответственно при таком "мелком" окне дрожание тактового сигнала сильно усложняет жизнь, сильные сигналы просто рвут шумовую дорожку на 20-30 дБ вверх. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2057] Автор : vadim_d Дата : 26.03.2024 22:54 отсюда и нижеТо есть даже при попытке поделить такт в FPGA на 2 и затактировать АЦП от ее выхода глубина задницы нарастает экспоненциально, и ее глубину приходится измерять в дБм (децибелы относительно метра :) )? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2058] Автор : Genadi Zawidowski Дата : 26.03.2024 23:08 Да, даже просто проведя через логику (вход замкнкт на дифференциальный выход) внутри altera ep4ce - циклон четыре - получаем спуры на минус сорок децибелометров. Естественно в плис ещё радио тракт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2059] Автор : R6YY Дата : 26.03.2024 23:14 Возник вопрос. Каким образом планируется реализовать 16-ти диапазонный скиммер (вроде как о таком шла речь). Существующий ныне софт этого пока не позволяет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2060] Автор : pavel-demin Дата : 26.03.2024 23:38 Спасибо за ссылки. Спектры на 145 МГц увидел. Эффект впечатляет. Но мы вроде пытаемся придумать малобюджетное решение для приёма до 30 МГц, а при уменьшении частоты влияние джиттера уменьшается. Около 20 дБ на декаду. Если на 145 МГц шум от джиттера 13-14 дБ, то к 30 МГц он уменьшится как раз на 13-14 дБ и на прием сильно влиять не будет. Добавлено через 8 минут(ы): Каким образом планируется реализовать 16-ти диапазонный скиммер Те, кто использует 16 диапазонов с 16-битной версией Red Pitaya, запускают два Skimmer Server. Каждый Skimmer Server получает 8 диапазонов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2061] Автор : vadim_d Дата : 26.03.2024 23:40 при таком "мелком" окнеТут не окно проблема, а как правильно отметил Павел, именно номер используемой гармоники, все отклонения фазы множатся на него. Для повторяемости и возможности экспериментов можно сделать вариант с выбором буфера или делителя на 2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2062] Автор : EU1SW Дата : 26.03.2024 23:43 Да не надо ничего считать ) Там и на 14 МГц есть картинки, перед 145 ) все видно что и сколько в децибелометрах нижу уровня дна ) взяли опорник и закрыли вопрос, иначе покупательская способность может сильно упасть ) при тактировании от плис на 40 метрах шумовая полка плавает синхронно с федингом китайских вещалок, которое валят, к примеру, у меня в деревне до -10 дбм на входе приемника ) думаю такое мало кому понравится ) А на 14 и 21, когда откроется проход на ВЧ - узнаете много интересного ) полосовики не спасут, проверено ) там такие уровни вещалок с Ближнего Востока, уууу ))) Вадим, я закончил в этой теме ) у меня Master's Degree in Telecommunications Engineering ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2063] Автор : Genadi Zawidowski Дата : 26.03.2024 23:46 https://sl.aliexpress.ru/p?key=ip7vOiQ Вот тут я купил генератор на 122.88 с cmos выходом. Предлагается и 64.44 но меня не интересовал. Так же про другрй не скажу какой у него выход. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2064] Автор : pavel-demin Дата : 27.03.2024 00:01 Получается, что модуль AD9226 с aliexpress не получится без изменений использовать с платой QMTECH. Этому модулю нужно добавить генератор и еще тогда придется переделывать соединение между модулем и платой QMTECH, чтобы вывести тактовый сигнал к подходящим контактам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2065] Автор : vadim_d Дата : 27.03.2024 00:12 Этому модулю нужно добавить генератор и еще тогда придется переделывать соединение между модулем и платой QMTECHМожет сделать платку генератора для вставления между модулем AD9266 и QMTECH? Или все равно придется что-то и на них колхозить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2066] Автор : pavel-demin Дата : 27.03.2024 00:29 Переходник наверное можно сделать, но это будет два разъема, стабилизатор на 3,3 В, генератор и буфер. Мне кажется, проще было бы вернуться к предыдущей идее модуля с AD9235, собранного на JLCPCB: 399681 Если нет возражений по составу и расположению компонентов, можно попробовать все это развести. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2067] Автор : R6YY Дата : 27.03.2024 08:46 Если нет возражений по составу и расположению компонентов, можно попробовать все это развести. А как же 16 каналов приема? Надо видимо два АЦП? Или один справится? И второе, коль затевается серьезный скиммер, то напрашивается 16/14 битный АЦП. Сегодня 2208 весьма доступен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2068] Автор : sgk Дата : 27.03.2024 08:56 А как же 16 каналов приема? Надо видимо два АЦП? Или один справится? Один АЦП типа LTC2208 в полосе 60 МГц даёт информацию о 20 тыс. каналов приёма полосой 3 кГц каждый из каналов. Обрабатывать в "реальном времени" нет мощностей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2069] Автор : ra3gn Дата : 27.03.2024 10:03 r6yy под ваши "хотелки" автор давал ссылку: https://pavel-demin.github.io/usb104...receiver-hpsdr (https://pavel-demin.github.io/usb104-a7-notes/sdr-receiver-hpsdr) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2070] Автор : Serg Дата : 27.03.2024 10:43 Не очень понимаю, что я по Вашему мнению я не хотел. Нехотели применять модули на иголках, мол совсем не взлетит, нужно на FMC и т.д. Поэтому я дальше не стал давать на них ссылки, возможно надо было сразу. Вы говорите, что и доставка еще очень медленная, то эта идея мне нравится все меньше и меньше. Это в мои "пампасы", по Евросоюзу в районе 1.5-2 недель. По крайней мере с таким временем вижу прилет туда своих посылок на дальнейшую доставку. По ухудшению джиттера уже дали пример, спасибо eu1sw. Что касается проиграть 10-20дБ - для 12битного АЦП это уже много, почти нет запаса ДД, который можно так легко десятком дБ отдать на шум джиттера. Такое мнение. Это с 14-16битным ацп можно так, там ДД "полная фуфайка" и все равно нерационально. В принципе, вы можете сделать на внутреннем формировании pll fpga - мы сможем измерить, потом сделаете другую версию для подачи на накой-то пин 61.44 и тоже сравним... Путь проб и ошибок, но опыт ценен. Для подачи в модуль 9226 внешнего такта наверно придется одну иголку выпаять, а может и нет, если параллельно можно туда и в ногу отладочной платы qmtech? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2071] Автор : Sergey_gh Дата : 27.03.2024 11:01 можете сделать на внутреннем формировании pll fpga Даже пробовать тактировать ADC с PLL FPGA нет смысла. И пропускать такт через FPGA тоже. Иначе кроме шума ещё и вся внутренняя жизнь ПЛИС будет видна на спектре. Мне даже для 8 бит ADC пришлось рандомизировать некоторые вычисления для устранения палок (COMB секция CIC фильтра, свёртка FIR фильтра, такт внутреннего CPU). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2072] Автор : vadim_d Дата : 27.03.2024 11:23 ещё и вся внутренняя жизнь ПЛИС будет видна на спектреПомню, Геннадий жаловался на сильную помеху по питанию от какого-то DDC, там похоже почти все внутри бегало на частоте в 1/4 исходного такта. Во времена технологии 180 нм довелось принять участие в разработке некоторых аналоговых узлов коммуникационного чипа, но до детальной проверки там не дошло, подозреваю, что многие помехи по аналоговому питанию проявились бы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2073] Автор : pavel-demin Дата : 27.03.2024 11:30 нужно на FMC и т.д. Я понимаю, что обсуждение получилось длинным и сложно удержать всю информацию в голове. Но давайте постараемся не приписывать мне то, чего я не писал. Иначе соотношение сигнал/шум в этом обсуждении будет слишком низким. По моему мнению, FMC следует использовать с быстрыми АЦП, такими как LTC2208 и быстрее. К тому же FMC - хорошо известный стандарт и модулей для него существует множество. Вот почему я заинтересован в его использовании. При использовании более медленных АЦП, таких как AD9253, разъема, как на плате QMTECH, может быть достаточно. Но тоже надо проверять. Я согласен насчет джиттера, но мне очень интересно самому провести тот же тест, что и EU1SW. Вопросы про тактирование АЦП через FPGA в последнее время всплывают довольно часто. И Red Pitaya, и Digilent предлагают такие конфигурации на некоторых моделях своих плат и модулей. Мне было бы интересно иметь под рукой тест, который наглядно показал бы, в чем проблема с такой конфигурацией. У меня есть платы и модули для тестирования 64 МГц, генерируемых через FPGA. Для этого мне не нужно ничего покупать. Нужно написать соответствующую прошивку и воспроизвести тесты EU1SW. Перепаять один контакт будет недостаточно так как опорный сигнал должен прийти на контакт clock, указанный на картинке из моего предыдущего комментария. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2074] Автор : Serg Дата : 27.03.2024 11:51 Перепаять один контакт будет недостаточно так как опорный сигнал должен прийти на контакт clock, Отпаять одну иголку с модуля ацп, подать туда и ответвление на нужный pin clock, сколько расстояние будет, где-то 50мм между рядами контактов слева и справа, если я правильно масштабы платы понимаю. Кусочек коаксиального кабеля наверное поможет. (rg174 или похожей толщины). Можно и оба одинаковой длины кабели от кварцевого генератора, чтобы разбег фазы меньше был между ними. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2075] Автор : EU1SW Дата : 27.03.2024 22:46 Собственно, на основе тех опытов, что я давал ссылки выше, и сделали аппарат HF+50+144. тактовая АЦП 61,44 (запускали и 9226, и 6645/6644), 50 и 144 прием на зеркалах, через полосовые фильтры. тактовая ЦАП 122,88, как раз умножение х2 в ПЛИС, HF+50 в первом Найквисте, 144 - в третьем, с выделение полосовым фильтром конечно, на передачу не так критично по шумам, поскольку ЦАП не имеет устройства выборки/хранения. Приборы для измерения у меня есть. опорник либо 122,88 с делителем на триггере 74й серии, либо непосредственно 61.44. на 144 работал " на даль" ) метеоры, фт8, EME, ну и так, вечером поболтать в ЧМ по месту -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2076] Автор : pavel-demin Дата : 27.03.2024 22:52 Вот схема разъемов на плате QMTECH. Красным я выделил контакты, куда лучше всего подключать тактовый сигнал от генератора. 399701 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2077] Автор : pavel-demin Дата : 28.03.2024 00:33 Вот моя первая попытка сравнить генератор, подключенный к АЦП (14-битная плата Red Pitaya, генератор 125 МГц), и ФАПЧ в ПЛИС, подключенную к АЦП (модуль Digilent Zmod Scope, 64 МГц получается из 100 МГц). Оба АЦП 14-битные (LTC2145-14 и AD9648), ПЛИС Xilinx 7-ой серии. В качестве генератора сигнала я использую 16-битную плату Red Pitaya. Другого генератора сигнала у меня пока нет. На мой взгляд, при использовании ФАПЧ в ПЛИС ухудшение есть, но оно не такое сильное, как в тестах EU1SW. Может быть, у Xilinx ФАПЧ лучше, чем у Altera? 399702399703399704399705 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2078] Автор : EU1SW Дата : 28.03.2024 03:05 не, не думаю Я Вам в личку написал, быстрее будет голосом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2079] Автор : vadim_d Дата : 28.03.2024 08:38 Другого генератора сигнала у меня пока нетЛюбой среднепристойный кварцевый генератор на подходящую частоту -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Downloaded from Форум CQHAM.RU (http://www.cqham.ru/forum) at 28.03.2024 16:26.