* * * Форум CQHAM.RU Тема * * * -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Тема : SDR TRX "Маламут" Started at 14.05.2017 16:24 by R3DI Visit at http://www.cqham.ru/forum/showthread.php?t=35654 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1] Автор : R3DI Дата : 14.05.2017 16:24 Заголовок : SDR TRX "Маламут" Предлагаю вниманию автономный sdr трансивер "Маламут". Трансивер задумывался как бюджетный походный вариант. Выполнен в корпусе G767 и имеет размеры 140х190х60 мм. ДисплейILI9341 2.2". Вес с аккумулятором составляет менее 1,1 кг. Ток потребления в режиме приема при 12V(25%громкость)сос тавляет: 330mA( при использовании модуля DC/DC12/5V @1mHz) или ~500mA( при использовании LM7805 ). Установлен разъем для подключения тангенты MH-48. Трансивер работает в режимах AM/FM/SSB/CW(имеет встроенный электронный ключ) прием/передача 0.5..30 мГц ( ограничено полосовыми и НЧ фильтром ). Имеет 8 SSB, 8 CW и 8AM/FM фильтров устанавливаемых через меню пользователя. Выключаемые предусилитель и два аттенюатора, параметры которых зависят от типа установленной микросхемы и резисторов. Программные фильтры ANF/DNR/NB. Несколько раздельных rx/tx режимов АРУ. Функция ревербератора. USB CAT интерфейс. Схемотехника выполнена в типовом включении. Имеется возможность установить на выбор микросхему AD995x или готовый модуль с али AD9850/AD9851, однако самым лучшим вариантом оказалось установить Si5351 , выбор используемой микросхемы предусмотрен в меню ( для версий pcb < 1.3 через переходную платку в колодку для установки модуля AD9851, для версий 1.3 и выше Si5351 устанавливается на основной плате)(Резисторы 10R11 10R12 отвечают за подаваемое напряжение питания - установить только один из них!) . Передняя панель изготовлена на 3Д принтере, спроектирована в бесплатной программе OpenScad. Прошивки, фото и видео тут - https://yadi.sk/d/QuyGdEwM3H4HcB https://www.youtube.com/watch?v=mrf9BsNrIHc Запись звука через разъем для наушников. https://www.youtube.com/watch?v=mrf9BsNrIHc Вопросы и предложения только по предложенной теме. Любые замечания в духе "а там лучше!", "а зачем это надо?", "а сделайте мне вот так!" будут засчитываться в злостный троллинг и наказываться соответственно. Изменения и дополнения на 29.05.18, читаем здесь (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1534871&viewfull=1#post1534871). список сопутствующих тем Детали для Маламута (http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0) Доработки SDR TRX "Маламут" (http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2] Автор : UT0UM Дата : 14.05.2017 16:27 Трансивер работает в режимахAM/FM/SSB а тлг нет? :shock: глянул схему, разъем для ключа вроде есть Ток потребления в режиме приема при 12V(25%громкость)сос тавляет: 330mA( при использовании модуля DC/DC12/5V @1mHz) это оч много :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3] Автор : R3DI Дата : 14.05.2017 16:30 Разъем для CW ключа есть, но в код функция пока еще не добавлена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4] Автор : RA4FIX Дата : 14.05.2017 16:46 А AD9958 применить не было мысли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5] Автор : R3DI Дата : 14.05.2017 16:54 так энкодер это самая дорогая деталь (не считая PCB) в нем :-P. А AD9958 применить не было мысли? Не было, дорого. Si5351 очень порадовала по цене, потреблению и по приему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 6] Автор : UN7RX Дата : 14.05.2017 16:56 бюджет, я так понимаю как, у mcHF но для походного варианта он в ДВА раза больше mcHF Ну так собирайте mcHF, в чем дело! Обязательно нужно с самого начала помойку устроить в теме? Или есть что-то свое великолепное предложить? Всем - за флуд и троллинг в авторских темах наказывать буду без ограничений и скидок! Есть что-то "умнее - открывайте свою тему и удивляйте. Автору - будет полный комплект данных для повторения? От этого будет зависеть что делать с темой в дальнейшем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 7] Автор : R3DI Дата : 14.05.2017 17:15 Автору - будет полный комплект данных для повторения? От этого будет зависеть что делать с темой в дальнейшем. Да, позже хочу предоставить исходники, после подготовки для открытого EmBitz ( если не получится, выложу как есть), но с PCB пока не знаю как быть. Тему открыл по просьбе р/любителей, которые мне ответили в л/с, после размещения видео на ютюбе. Хотел так же добавить ссылку на видео в ютюбе, но время истекло, и теперь думаю стоит ли ее добавлять вообще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 8] Автор : Livas60 Дата : 14.05.2017 17:17 Исходники будут доступны? Опа, пока писал уже ответили. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 9] Автор : UN7RX Дата : 14.05.2017 17:27 R3DI, опубликуйте ссылку на ютуб, любой модератор переместит ее в первый пост. Я его сейчас закреплю, он всегда будет вверху, потом обратитесь к любому модератору, он добавит туда изменения, прошивки и все, что сочтете необходимым. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 10] Автор : RA3PKJ Дата : 14.05.2017 18:02 R3DI, скажите, а что за свист был на 2:37 мин:сек? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 11] Автор : R3DI Дата : 14.05.2017 18:11 R3DI, скажите, а что за свист был на 2:37 мин:сек? Если видео с ютюба, то это фильтр 17кГц, сверху слева на экране дисплея видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 12] Автор : stari4ok Дата : 14.05.2017 18:20 Оччень симпатично!!!Приём понравился.А в FM какие полосы пропускания?Есть ли шумодав? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 13] Автор : R3DI Дата : 14.05.2017 18:35 Какие фильтры выставите, такие и будут. Пробовал УКВ слушать 96к хватает, FM 88..108 ( у меня предел 106) "цикает" но не сильно, не хватает полосы 96k. Антенну приходилось к смесителю подпаивать, а то входные фильтры не пускали - баловство это все конечна, 88..108 на нем слушать. Шумодав не реализовал, функции добавлялись по мере необходимости, как-то пока не понадобился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 14] Автор : ua3ycv Дата : 14.05.2017 19:29 здорово! 144мгц "привинтить" можно?Шикарная машина получилась! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 15] Автор : R3DI Дата : 14.05.2017 19:32 А стоит ли? Баофенг для этих дел наверное лучше будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 16] Автор : R6BK Дата : 14.05.2017 19:33 Имеется возможность установить на выбор микросхему AD995x Посмотрел, тактируется 16 МГц. Есть ли возможность в меню установить множитель и значение тактовой частоты? Чтобы применить 50 или 100 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 17] Автор : RA4FIX Дата : 14.05.2017 19:34 А кодеки на 192кГц не было мысли прицепить?:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 18] Автор : R3DI Дата : 14.05.2017 19:40 Посмотрел, тактируется 16 МГц. Есть ли возможность в меню установить множитель и значение тактовой частоты? Чтобы применить 50 или 100 МГц. Да есть. На Ядиске есть видео с обзором меню. Частота и множитель задается через меню. А кодеки на 192кГц не было мысли прицепить?:smile: Ресурсов (по скорости) STMки не хватает для 192 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 19] Автор : RA1AGB Дата : 14.05.2017 20:08 Ресурсов (по скорости) STMки не хватает для 192 Скоро появятся STM32H743ZI http://www.st.com/content/st_com/en/products/microcontrollers/stm32-32-bit-arm-cortex-mcus/stm32h7-series/stm32h7x3/stm32h743zi.html Они почти в 4 раза производительнее чем STM32G407ZG. Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 20] Автор : Serg Дата : 14.05.2017 20:08 144мгц "привинтить" можно?Шикарная машина получилась! Трансвертер внедняйте, на сдр ключах не получится на 144, тут же не ddc. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 21] Автор : UN7RX Дата : 14.05.2017 20:08 144мгц "привинтить" можно? А кодеки на 192кГц не было мысли прицепить? Вы заголовок темы читали? Может просто забанить вас на сутки?:evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 22] Автор : Oleg UR6EJ Дата : 14.05.2017 20:53 R3DI, Евгений, послушал, посмотрел запись с YT, здорово, поздравляю!!! Единственное, что увидел не очень хорошее, так это асимметрию на самоконтроле. Я много занимался НЧ компрессорами, да и просто аудио, такое может быть при недостаточной емкости переходного конденсатора в тракте сигнала. На схеме у 9С9 номинал не подписан, а так все ОК. --- 9DR1 можно исключить, его роль компонента ВЧ фильтра выполняет 9R4. Если конструкция ДР. не на кольцевом сердечнике, то он может стать микро магнитной ант. со всеми вытекающими. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 23] Автор : R3DI Дата : 14.05.2017 21:42 9С9 и 9R9 не установлены, 9R6 0k - 9U1B тут как повторитель, а за анализ спасибо (нужно будет посмотреть...будто пост.составляющая какая...прям чет озадачила меня картинка:-|). 9DR1 - это со времен макетки, без него какая-то бяка была, не помню уже, так и перекочевал сюда. Когда смотрел осциллографом на кодаках, ничего такого не замечал, конечно не с такой разверткой, может это связанно с тем, что зв.карта к УНЧ подключена, на днях посмотрю с такой разверткой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 24] Автор : rx9cim Дата : 14.05.2017 21:51 Как обстоят дела с подавление зеркального канала? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 25] Автор : R3DI Дата : 14.05.2017 22:08 Как обстоят дела с подавление зеркального канала? На видео регулировки 1.0/0.0(т.е. без нее), из приборов осциллограф и генератор на AD9835. По зеркалке - померить особо нечем, есть ручная регулировка для каждого диапазона, ....вот подал с генератора (7100кГц)9+60дБ - зеркалка 5 балов без регулировки , с ней в 0 ушло ( опять же генератор AD9835 - насколько хороший там выход). На ВЧ диапазоне хуже, там нужно покрутить, а до 20м и не регулировал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 26] Автор : Serg Дата : 14.05.2017 22:14 По зеркалке - померить особо нечем, есть ручная регулировка для каждого диапазона, ....вот подал с генератора (7100кГц)9+60дБ - зеркалка 5 балов без регулировки , с ней в 0 ушло А если частоту на 10-20кГц герератора или VFO изменить, как подавление изменится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 27] Автор : RC3ZQ Дата : 14.05.2017 22:23 Красивое звучание у трансивера. Респект автору за такую интересную конструкцию! Поскольку далек от sdr конструкций интересует несколько вопросов. Микросхемы аудио кодеков каким образом программируются или они только управляются с контроллера? Там видимо они подчиняются определенным командам с контроллера (для изменения полосы и т.д)? И дилетанский вопрос по настройке - много сложных моментов или нет? Можно описать настройку как делалось "по старинке" в конструкциях для радиолюбителей или тут уже нужно иметь " sdr подкованность" ? P.S На схеме УМ есть два полевика 5q4,5q5 RD16HHF1 - роль их в схеме? А то что то не могу "въехать"((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 28] Автор : R3DI Дата : 14.05.2017 22:53 Ну если такой метод оценки годится, то на днях посмотрю(дома только трансивер), может даже с видео на тот-же Ядиск. А так помню, что от 20м и ниже не плохо все было,но на ВЧ да, не так красиво. Вот попробовать бы супергетеродинный сдр сделать, чтобы IQмиксер на ПЧ работал, но это уже совсем другое радио и когда-нибудь может и дойдут до него руки. Добавлено через 19 минут(ы): ... И дилетанский вопрос по настройке - много сложных моментов или нет? Можно описать настройку как делалось "по старинке" в конструкциях для радиолюбителей или тут уже нужно иметь " sdr подкованность" ? P.S На схеме УМ есть два полевика 5q4,5q5 RD16HHF1 - роль их в схеме? А то что то не могу "въехать"((( По настройке - выставить тип используемой микросхемы генератора, частоту ее кварца и множитель при необходимости все остальное через меню дело вкуса( усиление, фильтры ), про настройку PA в нем особенностей сдр-а нет, как и для всех трансиверов, ток покоя и тд.. 5q4,5q5 RD16HHF1 тут нужно сказать, что трансивер не только полевой бюджетный, но и экспериментальный, для параллельного включения полевых транзисторов, но так и не попробовал да есть сомнения теперь, что BFG591 для 4х маловато будет . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 29] Автор : Vlad9 Дата : 15.05.2017 07:45 Какие индуктивности применены в ДПФ? Хорошо разглядеть не получилось, если smd то где брали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 30] Автор : R3DI Дата : 15.05.2017 08:31 Smd 0805 и индуктивности и конденсаторы в ДПФ. Брал в ЧипДипе и расчет фильтров был исходя из наличия в магазине. Печальный опыт заказа с али(индуктивностей), набор 20 поз. по 30 шт.( или 30 по 20) был нарезан с 2х катушек :evil:, после долгих споров таки вернули( али вернул, не продавец ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 31] Автор : Radiotester Дата : 15.05.2017 09:09 R3DI, Евгений, добрый день. По дпф вопрос - Вы подстройку каким образом делали? Подстроечные конденсаторы используете? Да и по программирования STM- Вы его как то уже в схеме прошивали? Ваши аудио кодаки микросхемы куплены на Али? Просто интересно по ним много фуфла на Алике.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 32] Автор : rx9cim Дата : 15.05.2017 09:16 Маленький нюанс - по микрофону можно было тракт упростить. Оу с ограничителем (или компрессором) не нужны т.к. это можно все в программе сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 33] Автор : Radiotester Дата : 15.05.2017 09:18 rx9cim, Добрый день. Так может автор ресурсы процессора зарезервировал на будущее для дальнейшей модернизации.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 34] Автор : R3DI Дата : 15.05.2017 09:29 R3DI, Евгений, добрый день. По дпф вопрос - Вы подстройку каким образом .... Постройку никак, впаял и все. Дпф-ы с перекрытием др.друга. Stm программируется внутрисхемно, по сей день )). Кодаки с али 10шт рабочие были. Добавлено через 5 минут(ы): ... Оу с ограничителем (или компрессором) не нужны т.к. это можно все в программе сделать. Оу Ку 10 на первом на втором 1, так и есть, все програмно, но небольшое усиление и буфер перед АЦП оставил.(лучше не впаять,-чем мучитьсч с отсутсвием падстеков )) ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 35] Автор : Genadi Zawidowski Дата : 15.05.2017 09:38 на схеме ошибочка с названием процессора - существует STM32F407VGT6. Лихо использован PB2 - если в момент включения для обновления софта трансивера что-то говорить в микрофон, процесс не начнется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 36] Автор : R3DI Дата : 15.05.2017 09:57 Не вижу ситуацию, при которой нужно говорить в микрофон в момент обновления, да и компоратор ножно перекричать, хотя вход сравнения подтянуть наверное стОит, при 3м состоянии пина в момент прошивки коморатор бутет точно "закрыт" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 37] Автор : Radiotester Дата : 15.05.2017 09:59 Евгений, посредством UART записывали ПО в контроллер? На плате есть джемперы чтоб "играться с boot0 и boot1"? У Вас я так понимаю прошивка можно залить по usb тот что использован для cat? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 38] Автор : R3DI Дата : 15.05.2017 10:04 11J1 SWD разъем для программирования. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 39] Автор : Genadi Zawidowski Дата : 15.05.2017 10:04 Как обычно, предлагаю использовать мой код из проекта (https://188.134.5.254/browser/trunk)для поддержки на USB не только CAT, но и аудио устройства. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 40] Автор : Radiotester Дата : 15.05.2017 10:11 Genadi Zawidowski, Геннадий, добрый день. А в чем преимущество гнать аудио по usb? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 41] Автор : Genadi Zawidowski Дата : 15.05.2017 11:05 Отсутствие лишних проводов при работе цифровыми модуляциями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 42] Автор : R3DI Дата : 15.05.2017 12:20 Вот, как и обещал, записал видео по зеркальному каналу. Наверное один из самых часто задаваемых вопросов, возможно стоит прикрепить ссылку в заголовке темы. Видео к вопросу о зеркальном канале - https://yadi.sk/d/QuyGdEwM3H4HcB/IQ-mirror.mp4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 43] Автор : rn6xc Дата : 15.05.2017 12:34 R3DI, Не помешало бы автоматическое подавление зеркалки, правда если ресурсов хватит для эффективной работы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 44] Автор : R3DI Дата : 15.05.2017 12:41 Пробовал код Тюльпана, мощная функция, много ресурсов забирает, да и до конца не разобрался с ней. Вот идея пришла, если эту тяжелую функцию вызывать только после изменения VFO, она даст множители, а они так сейчас постоянно работаю. Как думаете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 45] Автор : Jozef55 Дата : 15.05.2017 12:55 Поздровляю. На картинке https://yadi.sk/d/QuyGdEwM3H4HcB/P1010009.JPG есть какая то величина 2211.8. Во время роботы она там изменяется.Что она означает? 73. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 46] Автор : R3DI Дата : 15.05.2017 12:58 Усиление АРУ, так для интереса вывел, но при таких значениях шумит очень, через меню выставляю значения(ограничения) 400-600. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 47] Автор : Jozef55 Дата : 15.05.2017 13:41 А с подавлением несущей на пример в диапазоне 28 сколько ?Делал я по такому принципу на FST3253 но делитель на 4 на другой если помнью 74ац74 и результат не был хороший. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 48] Автор : Genadi Zawidowski Дата : 15.05.2017 13:43 Пока код не видно, у вас какая структура внутри, частотная или временная область обработки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 49] Автор : Serg Дата : 15.05.2017 13:59 Вот, как и обещал, записал видео по зеркальному каналу. Спасибо, пойдет вполне для такой конструкции. Главное - графика и скорость спектра сделана нормально, пожалуй, одна из лучших среди самоделок. Ну, а по передаче миксер можно балансировать по-диапазонно при желании, цифровыми сопротивлениями, в SDR2000UA это с успехом сделали наверно еще лет 10 назад. В общем, на фоне дороговизны и мелкотурности для домашней пайки всяких радиочастотных ацп-плисов - вполне имеет право быть и такое радио. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 50] Автор : Vlad9 Дата : 15.05.2017 14:10 Пробовал код Тюльпана, мощная функция А если полученные коэффициенты хранить отдельно и считывать из памяти согласно участка диапазона? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 51] Автор : R3DI Дата : 15.05.2017 15:32 Последние исходники, но без файл-проекта на Ядиске (Source_Malamute_SDR_V2.0.zip). Добавлено через 14 минут(ы): А с подавлением несущей на пример в диапазоне 28 сколько ?Делал я по такому принципу на FST3253 но делитель на 4 на другой если помнью 74ац74 и результат не был хороший. Померить нечем, или не знаю как. Могу попробовать на FT817 SSB послушать, есть предположение, что только микрофонный общий шум будет и не оценю, дома буду попробую. Добавлено через 20 минут(ы): А если полученные коэффициенты хранить отдельно и считывать из памяти согласно участка диапазона? Да, но нужна функция скана, и будет она очень длительной. Лучше наверное просто - разовый вызов по кнопке. Но опять таки ПЧ-0 , те станция S9+60 должна рядом идти чтобы в мне в полосу 5-6 балов попало, есть подозрение что даже при полном балансе просто "хвосты" от того передатчика (9+60) мне больше картину испортят. Поправте если ошибаюсь, мало опыта в связи, может не приходилось чего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 52] Автор : ИгорьП Дата : 15.05.2017 15:44 R3DI, Расскажите пожалуйста какой софт применялся для управления и отображения на дисплее, а также платформа для разработки, интересно также нано технологии возможно внедрить в SDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 53] Автор : Vlad9 Дата : 15.05.2017 16:03 Да, но нужна функция скана, и будет она очень длительной. Один раз откалибровать и во флеш записать. Потом по надобности доставать оттуда. Насколько меняться эти коэффициенты от включения к включению будут? А калибровать, у вас второй канал si5351 не задействован, можно его задействовать попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 54] Автор : RD3Q Дата : 15.05.2017 23:18 R3DI, л/с посмотрите! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 55] Автор : Жекаа Дата : 16.05.2017 07:33 Подскажите энкодер с400 импульсов подойдет для такой конструкции или надо делитель применять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 56] Автор : UR3ACH Дата : 16.05.2017 08:03 энкодер с400 импульсов подойдет Да. У автора на 360 импульсов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 57] Автор : RX9UAO Дата : 16.05.2017 11:29 А как на схему TRX взглянуть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 58] Автор : UT0UM Дата : 16.05.2017 11:33 А как на схему TRX взглянуть? может в первом сообщении поискать? ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 59] Автор : R3DI Дата : 16.05.2017 12:33 А с подавлением несущей на пример в диапазоне 28 сколько ?... Ссылка на видео - https://yadi.sk/d/QuyGdEwM3H4HcB/28mHz_SSBAMFM.mp4 . Антенны в метре др. от друга. СВ-ая в 0,5м от траниверов на окне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 60] Автор : LZ1AO Дата : 16.05.2017 13:57 Vlad9, Баланс будеть менятся - из за изменения температуры, которые влияют и на аналоговой части и на синтезатора, весьма вероятно и из за изменения импеданса антенны, питания и т.д. Постоянная работа I/Q баланса обязательна. Никакие сканы и сохранения не помогут, если подавление зеркалного канала должно быт более 60dB. Делал такое в 2007 году, уверен что хорошая и постоянная работа балансировки - без алтернативы. Лучше убрать с кода что то другое... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 61] Автор : R3DI Дата : 16.05.2017 15:29 ... опять таки ПЧ-0 , те станция S9+60 должна рядом идти чтобы в мне в полосу 5-6 балов попало, есть подозрение что даже при полном балансе просто "хвосты" от того передатчика (9+60) мне больше картину испортят. Поправте если ошибаюсь, мало опыта в связи, может не приходилось чего. За 1,5 года слушать эфир без регулировки мне не мешало. А есть ли смысл вообще в постоянной регулировке для такого класса устройств? Выкинуть из кода нечего, FFT-Филтр-~FFT в SSB работает( при выключенных адаптивных фильтрах ), делать полосу 8кГц для освобождения времени, проще в супергетеродине DSP(фильтр) перед УНЧ поставить - но весь смысл(в панораме) теряется и это уже совсем другое радио. Увеличивать мощность ЦП это тоже уже другой класс - PCкомпьютер +SDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 62] Автор : UT0UM Дата : 16.05.2017 15:40 для такого класса устройств? а что слушать DSB приемник? при таком подходе это абсолютно бесперспективный проект, потому что есть с точно такой же мощностью проца, но со всеми возможными плюшками п.с. щас конечно приедет модератор и напихает, но прежде вопрос к автору а Вы смотрели как в чужом коде все это реализовано? Может проще его адаптировать, чем по своим граблям-то идти? А то полтора года "жрать кактусы" - это на любителя... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 63] Автор : R3DI Дата : 16.05.2017 15:51 а что слушать DSB приемник? при таком подходе это абсолютно бесперспективный проект, Вы видео смотрели? Не одного "ни DSB, ни катуса мне не попалось", (... а Вы смотрели как в чужом коде все это реализовано... ) и если посты читали то наверное видели что смотрел и пробовал, у меня нет задачи чужое в свой корпус установить. Р/любители проявили интерес к этому транисверу, о нем речь и идет. Никого DSB слушать не заставляю, и проект никому не навязываю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 64] Автор : sever2k6 Дата : 16.05.2017 16:02 а мне уже хочется плату )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 65] Автор : SVd2004 Дата : 16.05.2017 16:08 а мне уже хочется плату )) Плату в народ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 66] Автор : R3DI Дата : 16.05.2017 16:30 Важно: Проект не коммерческий, делался исключительно для себя, не для продажи готовых изделий. Конструкция разрабатывалась для изучения SDR техники, чтобы не только р/элементами, но и с элементами исходного кода программы можно было поэкспериментировать, узнать, что за что отвечает, как это влияет на те или иные параметры. Код трансивера модернизируется по сей день, и скорее всего так будет на протяжении всей его жизни. Исходники кода так же лежат на Ядиске. Функции добавлялись/изменялись по мере необходимости (многого еще нет). Тема открыта по просьбе р/любителей для обсуждения ( пояснения некоторых вопросов) из-за проявленного интереса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 67] Автор : ua3ycv Дата : 16.05.2017 17:22 а мне уже хочется плату ))и мне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 68] Автор : uy5um Дата : 16.05.2017 18:06 И мне -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 69] Автор : RN3KK Дата : 16.05.2017 18:10 Исходники кода так же лежат на Ядиске На гитхаб планируете выкладывать? На Ядиске им не место. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 70] Автор : ur3uw_ Дата : 16.05.2017 18:10 И МНЕ !!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 71] Автор : R3DI Дата : 16.05.2017 18:25 На гитхаб планируете выкладывать? На Ядиске им не место. Не планировал, не знаком я с ними - гитхабами. А почему на Ядиске не место, может их от туда ....8-) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 72] Автор : ua3ycv Дата : 16.05.2017 18:27 может их от туда .... ?ни в коем разе! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 73] Автор : UA0SM Дата : 16.05.2017 19:38 Мне тоже плату -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 74] Автор : R3KBL Дата : 16.05.2017 20:47 Присоединюсь , с печатными платами нужно что-то делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 75] Автор : UA9olb Дима Дата : 16.05.2017 21:01 Я тоже не отказался бы приобрести печатки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 76] Автор : EW2MS Mikhail Дата : 16.05.2017 21:18 Хорошая конструкция получилась. Спасибо Евгению за труд и хороший малогабаритный трансивер. Если автор будет не против, то Юрий R3KBL сможет помочь желающим с платами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 77] Автор : R3KBL Дата : 16.05.2017 21:32 Да, не вопрос, изготовим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 78] Автор : RA1AGB Дата : 16.05.2017 21:33 Если автор будет не против, Если автор будет не против, то можно выложить файлы PCB прямо тут. Потом попросить модераторов перенести файлы в первое сообщение темы. IMHO Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 79] Автор : R3DI Дата : 16.05.2017 21:49 Хорошая конструкция получилась. Спасибо Евгению за труд и хороший малогабаритный трансивер. Если автор будет не против, то Юрий R3KBL сможет помочь желающим с платами. Если честно, то не ожидал столько желающих, даже не знаю как быть. Просто не готов к такому количеству заказов. Сегодня закончил новую PCB, убрал 3 косячка (зеркальность разъемов) и так по мелочи, завтра для ознакомления выложу PDF топологию. Под продажу полного трх и не собирался, исходники прошивки схемы все тут, а pcb, поймите меня правильно, " хотелось бы чай с булочкой попить а не просто". Китай дешево но долго и боюсь таможня завернет. По месту цены посмотрел, зеленая перепончатая на шее как вцепилась, что и для себя тут заказывать не буду. Люди добрые подскажите как быть, чтобы и Вас не обидеть и у себя желание не отбить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 80] Автор : uu0jr Дата : 16.05.2017 22:10 Отличная конструкция. Автор будьте смелее. Делайте платы в Китае, это не сложно и быстро. Для начала предложите готовые платы. А дальше будет видно что к чему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 81] Автор : Radiotester Дата : 16.05.2017 23:04 R3DI, Однозначно в Китае заказывать. Дело в том что лично каждому по 1шт плате смысла заказывать нет. И дороже выдет в конечном итоге ежели купить у Вас включпю стоимость "сливочного масла и копытных издержек"))). Я как то изучал цены на платы если заказазывать в РФ. Ну прям таки дорого выходит. Не стоит у нашего производителя заказывать. А что бы "сливочное масло на булке" было из молока то было бы не плохо smd рассыпухой платы набивать а штыревое пусть уже сами желающие купить запаивают. Не у всех есть паяльные станции, фены...опыт работы с smd . P.S посмотрите личку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 82] Автор : ur5yfv Дата : 17.05.2017 00:09 Без FRAM при включении пишет ошибку. Нажатие на KEY1(PE15) выводит такое изображение. Нажатие на другие KEY ничего больше не меняет. Может еще чего можно нажать !? Не знаю. Больше не пробовал. Имею желание сделать только приемник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 83] Автор : RADIO-2015 Дата : 17.05.2017 04:04 Подпишусь на одну плату. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 84] Автор : AlexJ Дата : 17.05.2017 06:55 Интересная конструкция! Тоже хотелось бы повторить, и приобрести плату:crazy:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 85] Автор : ua3ycv Дата : 17.05.2017 08:56 сча в меня кидать начнут!моё мнение проект надо "проталкивать" как кит для изготовления.правда затратно и хлопотно но надо попробовать-думаю многие поддержат это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 86] Автор : SVd2004 Дата : 17.05.2017 09:04 Печатная плата. BOM Схема расположения элементов. Инструкция по прошивке и настройке. Прошивка. Достаточный минимум. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 87] Автор : UX5PS Дата : 17.05.2017 09:34 Off top http://dogsecrets.ru/alyaskinskiy-malamut.html :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 88] Автор : VICTORY Дата : 17.05.2017 09:44 UX5PS, у меня на эту ссылку интернет вырубается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 89] Автор : RC3ZQ Дата : 17.05.2017 09:46 UX5PS, у меня на эту ссылку интернет вырубается. Собачки испугался:ржач:. Впервые о такой породе слышу. Наверное у топик стартера дома живет красава такая:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 90] Автор : UX5PS Дата : 17.05.2017 09:47 VICTORY, другая ссылка http://vetinfo.com.ua/poroda/alyaskinskiy-malamut :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 91] Автор : rx9cim Дата : 17.05.2017 10:37 По поводу печаток - есть всем известная контора Резонит. Ценник на мой взгляд весьма гуманный. Все еще от сроков зависит и от того надо ли тестирование или нет. ну еще платы идут за приемкой ОТК, претензий к качеству ни разу не было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 92] Автор : R3DI Дата : 17.05.2017 10:46 Без FRAM при включении пишет ошибку. Нажатие на KEY1(PE15) выводит такое изображение.... Нужен часовой кварц, ждет когда часы запустятся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 93] Автор : RA9YTJ Дата : 17.05.2017 10:47 Посмотрел бегло исходники. Судя по всему структура классическая: Симплы в буфер, буфер в FFT в два раза длиннее, FIR над ним, NB, обратное FFT, перекрытие, теперь обработка во временной области (NR, демод АМ ФМ) и буфер симплов на выход. Вопрос только есть: Насколько понял, длина FFT 2048, соответственно буфер симплов 1024, такая же длина FIR фильтра (это очень хороший фильтр, практически прямоугольник), но как у Вас успевает стм на 96кГц оцифровки?? У меня получилось только на 48кГц, дальше ресурсов не хватает, если только не отказаться от адаптивного фильтра шумодава. Замеры загрузки проца DSP работой не делали? . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 94] Автор : R3DI Дата : 17.05.2017 11:13 Спасибо всем кто отозвался о просьбе разъяснить ситуацию с заказом PCB. Все будет , все закажем, подробности позже ( как до работы доберусь ). ....... контора Резонит. В Таберу золотые, в Резоните серебряные )))) , если кто готов конечно оплатить, не вопрос. В таберу и калькулятор есть , не за быть умножить на 5й класс точности и прибавить "булочку". Добавлено через 21 минут(ы): .... но как у Вас успевает стм на 96кГц оцифровки?? У меня получилось только на 48кГц, дальше ресурсов не хватает, если только не отказаться от адаптивного фильтра шумодава. Замеры загрузки проца DSP работой не делали? . 96к только фильтры и демодуляторы, без "плюшек" и с тормозами по графике. Замеры загрузки... да просто не знаю как)))). Светодиодик там горит когда DSP обработка и не горит на все остальное (графику кнопки и тд) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 95] Автор : sever2k6 Дата : 17.05.2017 11:23 дабы облегчить автору финансовую нагрузку, готов оплатить предзаказ платы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 96] Автор : RA9YTJ Дата : 17.05.2017 11:24 В начале программы вставляете: CoreDebug->DEMCR |= CoreDebug_DEMCR_TRCENA_Msk; DWT->CYCCNT = 0; // reset DWT->CTRL |= DWT_CTRL_CYCCNTENA_Msk; // enable the counter заводите переменную __IO int timer; В начале цикла DSP: DWT->CYCCNT = 0; в конце timer = DWT->CYCCNT; запускаете в дебаге, настраиваете на полную обработку всех типов, останавливаете и смотрите переменную, и сообщаете ее значение здесь :-P Буду благодарен. P.S. так значит на видео работает на 48к? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 97] Автор : VFO Дата : 17.05.2017 11:43 Проясните такой вопрос. Каким образом осуществляется в данном случае модуляция/демодуляция АМ/ЧМ сигналов при нулевой ПЧ? Ведь аудиокодек не пропускает постоянную составляющую, подавляя несущую. Код посмотрел, но не уяснил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 98] Автор : Z_E_V_S Дата : 17.05.2017 11:44 Дайте пож. ссылку на исходники. Может можно сюда выложить ? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 99] Автор : sever2k6 Дата : 17.05.2017 12:04 Дайте пож. ссылку на исходники. Может можно сюда выложить ? Спасибо. дык, в первом сообщении темы ссылка на яндекс диск -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 100] Автор : R2AIA Дата : 17.05.2017 12:07 Здравствуйте. Тоже приобрел бы платы для этой симпатичной конструкции. :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 101] Автор : R3DI Дата : 17.05.2017 12:22 Проясните такой вопрос. Каким образом осуществляется в данном случае модуляция/демодуляция АМ/ЧМ сигналов при нулевой ПЧ? Ведь аудиокодек не пропускает постоянную составляющую, подавляя несущую. Код посмотрел, но не уяснил. Настроиться в 0.0 вряд ли получиться, всегда смещение будет, а при ЧМ несущей может и не быть.На передачу АМ смещаю на 2 bin-a, примерно 46Гц от показаний частоты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 102] Автор : Жекаа Дата : 17.05.2017 13:24 А если сделать вариант печатных плат такой: 1) плата trx ; 2)синтезатор; 3) усилитель мощности;4) плата разъемов. Что б можно было встраивать в любой другой корпус. Лучше металический. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 103] Автор : VFO Дата : 17.05.2017 13:47 Тогда понятно. Не смотрел на даташит этого кодека, но обычно у дельта-сигм ФВЧ на доли герца. Значит в спектре сверх узкий провал. Ещё вопрос. Тут же мазохисты, которым не интересно готовые прошивки заливать, хочется всё самостоятельно пересобрать. Проект создавался в IAR? Тут есть неопределённые LIS302D, LED5, LED6, подключено несуществующее ..\Common\accelero.h, такое впечатление, что проект настраивался под плату Discovery. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 104] Автор : Genadi Zawidowski Дата : 17.05.2017 13:53 У этого кодека в регистре ADC_CONTROL (0x0E) есть два бита отключения HPF #define ADC_CONTROL_HPF_DISABLE_B 0x01 #define ADC_CONTROL_HPF_DISABLE_A 0x02 У себя не применял (работал с ПЧ 12 кГц), кажется есть какая-то завязка с режимом калибровки АЦП. Не помню, точно надо даташит смотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 105] Автор : avbelnn Дата : 17.05.2017 14:12 Проц.работает на 216МГц? По коду //uint32_t SystemCoreClock = 168000000; uint32_t SystemCoreClock = 216000000; Без сбоев? P.S.Не хотел обидеть... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 106] Автор : R3AZ Дата : 17.05.2017 14:15 Возможно, эта конструкция станет новым "Цифровым DI"... ;) А что означает Ваше название? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 107] Автор : Genadi Zawidowski Дата : 17.05.2017 14:17 Осторожно! Один коллега после вопросов про оверклок 16->25 ушел отсюда, а потом стер проект отовсюду, куда смог дотянуться. Я у себя STM32F429 "гнал" 180->216 по причине того, что иначе не получить работоспособный USB... недавно обнаружил, что даже там где можно забыл перевести процессор F7 в overclocking mode (для штатных 216 требовалось специальную процедуру переключения выполнить). Кстати, если перейти на 144 ноги - все будущие процессору и текущие модно менять без переразводки печатных плат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 108] Автор : avbelnn Дата : 17.05.2017 14:28 все будущие процессору и текущие модно менять без переразводки печатных плат Только stm32f429,у 746 I2S работает в полудуплексе,но есть два SAI(в отличие от 32f429). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 109] Автор : ur5yfv Дата : 17.05.2017 14:31 А что означает Ваше название? При старте(запуске) красивая собачка(заставка) появляется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 110] Автор : Genadi Zawidowski Дата : 17.05.2017 14:32 У всех... А про использование дуплексных I2S я не говорил... после 429 оно закончилось - пришлось поддержать на двух I2S. Вот так примерно у меня сейчас (автор, экономьте выводы!) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 111] Автор : R3DI Дата : 17.05.2017 15:18 Приветствую! Как и обещал для ознакомления с топологией платы на Ядиске файл ASDR_TOP_PCBv1.1.pdf. ( для нинф. 1250 отверстий) Был вопрос о первых платах - их было 5, 2 у меня собранных трх, 3 ушли друзьям ( 1 из трех уже в "приеме", 2 на этапе сборки). Ни одна дорожка не сгорела, доп перемычек ни одной не допаяно. V1.1 от V1,0 - отличие, как уже писал, поправил зеркальность 2х разъемов (тангенты и дисплея) и увеличил полигоны и добавил переходные отверстия под BFG591( они и так норм. били(по температуре) но так будет правильнее (вдруг попробую 4-е поливика))) ) ). По монтажу. Монтаж довольно плотный, шелкографию красиво и нормально нет места сделать. А потому любое, даже малое изменение приводит к тому, что необходима перекомпоновка и трассировка пол.платы. А это оттянет заказ на недели. Из трудностей по монтажу особых не было, РЕ4259 на первой плате с помощью паяльника на второй феном ( думаю можно пойти в ремонт сотовых и попросить их запаять у кого его нет). Из выше сказанного буду делать заказ PCBv1.1 к Китае как есть. Заниматься переделками отдельно TX/RX или на модули, друзья, поймите, просто нет времени. Есть желающие на ASDR_TOP_PCBv1.1, нужно начать с того что есть. PS Вот и сейчас основная работа требует от меня не только присутствия. По сему придется немного "выпасть" из темы до конца недели. Если кому не ответил в л/с, просто не успеваю, доберусь отвечу;-). Добавлено через 9 минут(ы): Проц.работает на 216МГц? По коду //uint32_t SystemCoreClock = 168000000; uint32_t SystemCoreClock = 216000000; Без сбоев? P.S.Не хотел обидеть... :-P а что тут обидного( по мне так это правильные вопросы, а вот на внедрение нано технологий даже и не знаю как реагировать - наверное, когда нано-паяльник заимею так и начну внедрять;-) )? Да 216, так приятнее по водопаду и спектру - шустренько. На работе весь день работает и дома ( у меня 2 трх). Пробовал 236, на работе норм весь день , а дома зависает мин. через 5-15 ( ЦП с одной коробочки ). 168 тоже нормально, но 216 приятнее(намного;-)). (427 может лучше будет, у него 180 по даташиту). Добавлено через 10 минут(ы): ...... (автор, экономьте выводы!) А зачем? Схемотехнику этого трансивера для себя уже менять не буду, только код код код... С ним для себя решил как из анекдота - "Сынок, работает солнышко - и не трогай". Хотите что-то изменить, пожалуйста, все в Вашем распоряжении, схемы исходники и видео как работает именно такое решение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 112] Автор : Vlad9 Дата : 17.05.2017 22:02 Марку индуктивности в ДПФ подскажите пожалуйста? Измерение ДПФ делали? Потери интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 113] Автор : D0ITC Дата : 17.05.2017 22:31 А что означает Ваше название? Это порода собак так называется, видимо и трансивер назван в честь одной из них. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 114] Автор : romanetz Дата : 18.05.2017 03:48 216, скорее всего, по температуре не работает (в диапазоне температур и напряжений) на 100% кристаллов, оттого и не попало в оф. спецификацию. У меня на 240 Мгц на STM32F407VGT6 USB отваливается, на 216 МГц при комнатной температуре и штатном питании 3,3 В работает стабильно. Но местами чип до 50ти градусов нагревается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 115] Автор : rx9cim Дата : 18.05.2017 07:32 В свое время экспериментировал с частотой. Заметил что есть окна - на разгоне на какой то низкой не работает, поднимешь - работает. Еще поднимешь - не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 116] Автор : ua3uhp Дата : 18.05.2017 08:28 интересная конструкция тоже мог бы вложится в печатную плату ........может так и наберем на партию :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 117] Автор : avbelnn Дата : 18.05.2017 08:37 180->216 по причине того, что иначе не получить работоспособный USB... Ближайшее минимальное значение частоты к заявленному производителем 180МГц для stm32f429 будет 192МГц.PLL N=384,PLL P=2,PLL Q=8(USB 48МГц).Почему я спрашивал про overclock,да потому,что приобретая процы у разных поставщиков(особенно с ali)нет гарантии,что будет стабильная работа в разных режимах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 118] Автор : romanetz Дата : 18.05.2017 09:43 Гарантию на оверклок не даёт никто, ни Али, ни официалы. В диапазоне температур и напряжений, видимо, баги 100% вылезут. Общий принцип - низкая температура и повышенное напряжение повышают вероятность успешного разгона. Маркетологам из ст тоже хочется цифирку побольше написать, но - увы и ах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 119] Автор : UR3IQO Дата : 18.05.2017 12:47 В диапазоне температур и напряжений, видимо, баги 100% вылезут. Они могут вылезти и при комнатной температуре, при задействовании новых блоков внутри контроллера. Как по мне, то лучше поставить что-то из серии stm32f7xx и работать в "штатном" диапазоне частот, заодно и для: только код код код... будет побольше возможностей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 120] Автор : Genadi Zawidowski Дата : 18.05.2017 13:24 Гарантию на оверклок не даёт никто, ни Али, ни официалы. В диапазоне температур и напряжений, видимо, баги 100% вылезут. Общий принцип - низкая температура и повышенное напряжение повышают вероятность успешного разгона. Маркетологам из ст тоже хочется цифирку побольше написать, но - увы и ах. Забыл. Конечно не 216. Для применений в радио с DSP я f4 уже совсем не рассматриваю, только в старых аппаратах остались - поддерживаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 121] Автор : R3DI Дата : 18.05.2017 18:39 На Ядиске видео 168vs216.mp4. Про ДПФ напишу и добавлю инф. позже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 122] Автор : rx9cim Дата : 18.05.2017 22:07 А децимацию не рассматривали? Или памяти не хватает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 123] Автор : RA9YTJ Дата : 19.05.2017 04:35 На счет оверклок, не помню где, но видел статью исследование на эту тему, вывод в ней - ограничение наступает не по температуре, а из-за отказа флеш. Если увеличить тайминг работы флеш, то можно разогнать и больше. Но это по большому счету не лучший путь, для самоделки пойдет, для производства на продажу нет. Настроиться в 0.0 вряд ли получиться, всегда смещение будет, а при ЧМ несущей может и не быть.На передачу АМ смещаю на 2 bin-a, примерно 46Гц от показаний частоты. Так значит все-таки работает на 48к? Какой версией Gcc компилировали и с каким ключом O? Добавлено через 8 минут(ы): А децимацию не рассматривали? Или памяти не хватает? А зачем она здесь? Добавлено через 44 минут(ы): Потестил Вашу функцию ANF_filter, отлично работает! Жрет только процессор сильно. Понизил порядок фильтра до 8, давит также, но сильнее заметна несущая при перестройке, но зато загрузка процессора стала вменяемая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 124] Автор : rx9cim Дата : 19.05.2017 09:01 Децимация позволила бы отказаться от оверклока - нагрзузка по высислениям падает практически пропорционально коэффициенту децимации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 125] Автор : UR3ACH Дата : 19.05.2017 10:28 Здравствуйте все участники форума. Можно ли применить дисплей на 3,2" на контроллере ili 9341 вместо дисплея на 2,2". И стабилизаторы обязательно свои на каждый узел ставить или можно ограничиться только общими. Если собирать трансивер на отдельных платах, то какие узлы с какими совместить чтобы уменьшить длину дорожек и соединительных проводов. При условии что РА, ФНЧ и ДПФ отдельно на своих платах. Вообще каких рекомендаций придерживаться. Я у автора на плате вижу кучу танталовых конденсаторов. На обычные электролиты можно заменить? Хотя бы на электролиты с LowERS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 126] Автор : Radiotester Дата : 19.05.2017 10:49 Тантал менять на электролиты на печатке где плотность монтажа не малая не стоит. А как потом при ремонте если ёмкость исчезнет с электролита. Лишний раз плату греть? Оно этого не стоит. Тантал есть тантал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 127] Автор : UR3ACH Дата : 19.05.2017 11:43 на печатке где плотность монтажа не малая не стоит Допустим печатка самодельная. Тантал дорогой. Хотя... Где лучше применить тантал, а где обычные электролиты? В стабилизаторах в блокировке можно и обычные электролиты. Так? Переходные тантал. Я так думаю.;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 128] Автор : UT0UM Дата : 19.05.2017 11:56 Тантал дорогой конкреткно в цифрах, пожалуйста сколько стоит весь тантал для трансивера по укр ценам? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 129] Автор : UR3ACH Дата : 19.05.2017 12:14 конкреткно в цифрах, пожалуйста Все. Проехали с танталом. Лучше перебдеть чем недобдеть. С дисплеем что? По точкам что на 2,2" , что на 3,2" и по контроллеру подходит. Там и там 240х320 точек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 130] Автор : stari4ok Дата : 19.05.2017 12:48 Пора заказывать печатки! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 131] Автор : R3DI Дата : 19.05.2017 13:38 Как и обещал по фильтрам https://yadi.sk/d/QuyGdEwM3H4HcB/LPF_BPF BPF: Идею взял из FLEX1500 с пересчетом на меньшее их количество, но расчетных номиналов (L) не оказалось в магазине, подгонял под то, что мог купить. Потому ДПФы могут иметь и лучшие характеристики. Индуктивности 0805 из ЧипДипа, исходники(RFsim99) и АЧХ в папке. LPF: Копия FT817. Конденсаторы Китай 2kV, исходники(RFsim99) и АЧХ в папке. Но вот собрал анализатор, померил конденсатор 510pf на 7100кГц - 327пик.... можт прибор, самодельный все таки, взял KCO-2 и зеленый квадратный танатал - KCO-2 510 - изм 511 пик, зеленый тантал (КМ4 вроде) 1100пик- изм.1098пик.( хоть с анализатором на рынок иди:crazy: ). На фото АЧХ именно китайские синие конденсаторы. Добавлено через 6 минут(ы): А децимацию не рассматривали? Или памяти не хватает? Нет, потому как не знаком с ней на столько хорошо. Немного почитал, я так понимаю можно 12 дБ добавить к динамике, но,к сожалению, совершенно нет времени заняться этим вопросом, а было бы очень интересно. Добавлено через 5 минут(ы): ...Так значит все-таки работает на 48к? ... Потестил Вашу функцию ANF_filter, отлично работает! .... Ага, 48k. За ANF_filter спасибо rx9cim​, не мой он. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 132] Автор : Radiotester Дата : 19.05.2017 13:46 R3DI, Евгений, а что за анализатор собрали что может на высокой частоте ёмкость измерять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 133] Автор : R3DI Дата : 19.05.2017 13:54 R3DI, Евгений, а что за анализатор собрали что может на высокой частоте ёмкость измерять? http://www.cqham.ru/forum/showthread.php?31323-%C0%ED%E0%EB%E8%E7%E0%F2%EE%F0-kuchura-%F1-%E3%F0%E0%F4%E8%EA%EE%E9-%ED%E0-STM32 Взял его фронэнд, графика контроллер свой. Тут на фото попал https://yadi.sk/d/QuyGdEwM3H4HcB/P1010023.JPG ( АЧХ скрины с подобного ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 134] Автор : Vlad9 Дата : 19.05.2017 14:08 По планарным конденсаторам с китайцами надо быть ооочень осторожно. Попадались таки что измеряешь, а емкость меняется от надавливания пинцета. А про температуру и говорить нечего. А прибор хороший сам таким пользуюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 135] Автор : Livas60 Дата : 19.05.2017 14:10 Скомпилировал проект. Евгений, такое количество предупреждений это нормально? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 136] Автор : R3DI Дата : 19.05.2017 14:13 Скомпилировал проект. Евгений, такое количество предупреждений это нормально? Что то мало, у меня 119:facepalm:. Проверте маил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 137] Автор : Livas60 Дата : 19.05.2017 14:16 Я старался. :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 138] Автор : R3DI Дата : 19.05.2017 16:34 Пора заказывать печатки! Фух...таки отправил на оценку заказ в Китай, жду ответа. Но действовать буду так: сначала закажу мало, хоть в трассировке были только поправки, но кто знает тот поймет - "одно лечишь другое калечишь". Придут, хотя бы одну проверю исходя из этого буду действовать дальше. Будем ждать. А пока надо как то передохнуть, а то как тему завел я весь :crazy::crazy2::crazy:, первая она у меня -тема( так что если что где.... извиняйте;-) ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 139] Автор : Radiotester Дата : 19.05.2017 16:37 R3DI, Евгений, я если че в очереди;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 140] Автор : ua3ycv Дата : 19.05.2017 16:48 Евгений, я если че в очередия то же....надо топ-лист составлять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 141] Автор : R3DI Дата : 19.05.2017 17:25 На Ядиске SI5351A(MUL).pdf для платки пот ЛУТ (в колодку AD9851 али боард) При использовании SI5351A - по схеме уст. 10R11 3.3V !!! По установке LPF и его АЧХ- нужен ли он при использовании SI5351? ( на видео без него ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 142] Автор : UA0SM Дата : 19.05.2017 18:11 И я...И я в очереди на платку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 143] Автор : UA3SKC Дата : 19.05.2017 19:38 Я тоже хочу в очередь за платами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 144] Автор : ua3uhp Дата : 19.05.2017 19:44 во как нас много уже в очереди:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 145] Автор : rx9cim Дата : 19.05.2017 20:25 К варнингам компилятора над с большим вниманием относиться. Любой варнинг не просто так выдается, может быть следствием явных косяков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 146] Автор : Ub6lod Дата : 19.05.2017 21:33 Интересно а сколько примерно будет готовый аппарат стоить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 147] Автор : UT0UM Дата : 19.05.2017 21:36 Интересно а сколько примерно будет готовый аппарат стоить? думаю, что-то около этого (http://www.dxnewradio.com/product_info.php?products_id=639) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 148] Автор : RD3Q Дата : 19.05.2017 22:07 R3DI, Евгений л/с посмотрите! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 149] Автор : Comandante Дата : 20.05.2017 06:49 Тоже в очереди на платку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 150] Автор : VICTORY Дата : 20.05.2017 06:52 Мне особенно понравилась работа S-метра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 151] Автор : ua3ycv Дата : 20.05.2017 08:32 Интересно а сколько примерно будет готовый аппарат стоить? думаю, что-то около этогоесли собирать самому-явно получится подешевли МОНКА-по тому что в комплектующих я не увидел не чего "экстровогантного"-большую часть деталек можно купить в России-ну или в три раза дешевле на Али. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 152] Автор : US7IGN Дата : 20.05.2017 08:49 если собирать самому-явно получится подешевли МОНКА-по тому что в комплектующих я не увидел не чего "экстровогантног о"-большую часть деталек можно купить в России-ну или в три раза дешевле на Али. а в монке что экстравагантного и чего нельзя купить? я не большой специалист, но схемы достаточно схожи... BOM есть у кого-то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 153] Автор : UX5PS Дата : 20.05.2017 10:12 Вопрос к ТС: измерялись ли (или оценивались) параметры радиочастотного тракта - чувствительность, двухсигнальная избирательность, взаимная модуляция, выходная мощность? И ещё. В своё время немало копий было поломано вокруг пластикового корпуса SW-201*. Вас этот вопрос не смущает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 154] Автор : VICTORY Дата : 20.05.2017 11:35 С появлением этого проэкта, эра СВ уже закончилась:cry:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 155] Автор : UT0UM Дата : 20.05.2017 11:46 С появлением этого проэкта, эра СВ уже закончилась:cry:. да ничего она не закончилась подобный проект есть уже более 3-х лет и ниче, СВ жив-здоров -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 156] Автор : US7IGN Дата : 20.05.2017 13:09 эра СБ закончится когда подобные этому СДРы в готовом и доведенном до ума виде будут продаваться не дороже 350$ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 157] Автор : R3DI Дата : 20.05.2017 13:37 Вопрос к ТС: измерялись ли (или оценивались) параметры радиочастотного тракта - чувствительность, двухсигнальная избирательность, взаимная модуляция, выходная мощность? И ещё. В своё время немало копий было поломано вокруг пластикового корпуса SW-201*. Вас этот вопрос не смущает? Параметры не измерялись, нет приборов для измерения. Для чего его сделал написал тут #67. Про мощность, РА до конца у меня не отлажен (нет времени нормально заняться этим вопросом), но то, что не буду пытаться получить с 1-го транзистора RD16 более 8-10Вт(SSB) при 12В это точно, исходя из datasheet графика Pin-Po CHARACTERISTICS (... и оценки двухтонового сигнала). По корпусу, мое мнение такое - использовать трансивер с кабелем в качестве противовеса антенны не буду, около свар.аппарата тоже, при трассировке платы определились габариты под них и выбрал корпус. Меня корпус устраивает, никого не призываю использовать именно его. По поводу BOM (список элементов): Повторюсь, тех.док. по стандартам не готовил (для себя и монтажных карт не делал;-)), есть "сырой" список, нужно приводить его в порядок, времени нет, может общими силами.... В пн/вт скину как есть (дома списка нет). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 158] Автор : SVd2004 Дата : 20.05.2017 16:38 14U1 что за м/с? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 159] Автор : R3DI Дата : 20.05.2017 16:45 14U1 что за м/с? Еще один МК STM, не устанавливается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 160] Автор : ua3ycv Дата : 20.05.2017 16:50 а AD9850 можно использовать?есть установка в меню? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 161] Автор : R3DI Дата : 20.05.2017 16:57 а AD9850 можно использовать?есть установка в меню? Да. Но не выше 20м-го диапазона. PS Нужно будет поправить в описании темы на AD9850/AD9851. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 162] Автор : Wall Дата : 20.05.2017 17:08 Не пробовали раскачивать 4 штуки RD16 парой BFG591? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 163] Автор : R3DI Дата : 20.05.2017 17:15 Не пробовали раскачивать 4 штуки RD16 парой BFG591? Так руки и не до шли, все времени нет. Самому очень интересно. ( Есть подозрение, что здесь быстрее попробуют :-P) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 164] Автор : Wall Дата : 20.05.2017 18:01 Я на днях буду пробовать :crazy:. О результатах постараюсь доложить.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 165] Автор : ra6ljm Дата : 20.05.2017 18:05 Лучше питание выходного каскада поднять преобразователем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 166] Автор : R3DI Дата : 20.05.2017 18:22 Лучше питание выходного каскада поднять преобразователем. Потому питание оконечника на отдельном разъеме ;-). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 167] Автор : ua3ycv Дата : 20.05.2017 18:27 и всёже несколько отвлекусь-насколько я понял из поста на первой странице-что радио позволяет приём до 106мгц-соответственно 50мгц то-же можно слушать?(ну допустим с доработкой дпф)и конечно хотелось бы вариант подключения трансвертара на 144мгц с выводом на дисплей конкретной частоты работы тх-рх? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 168] Автор : SVd2004 Дата : 20.05.2017 20:05 11J1 SWD разъем для программирования. Это не ошибка? На плате подписан KEY. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 169] Автор : R3DI Дата : 20.05.2017 20:37 Это не ошибка? На плате подписан KEY. Не заметил, на шелкографии ошибка:-(, см. схему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 170] Автор : R6BK Дата : 21.05.2017 12:03 см. схему На схеме есть значения компонентов со звездочкой или с пометкой "не установлено", можно откорректировать схему удалив не нужное и проставить примерное значение компонентов пометив их звездочкой? А так же удалив с монтажки ненужное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 171] Автор : ur5yfv Дата : 21.05.2017 13:03 можно откорректировать схему удалив не нужное и проставить примерное значение компонентов пометив их звездочкой? А может и еще какие то "подводные камни" или не точности на схеме. Тоже перерисовываю по немного схему на свой лад и хотелось бы чтобы заработало сразу, без резания дорожек и проводников. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 172] Автор : R3DI Дата : 21.05.2017 15:06 На схеме есть значения компонентов со звездочкой или с пометкой "не установлено", можно откорректировать схему удалив не нужное и проставить примерное значение компонентов пометив их звездочкой? А так же удалив с монтажки ненужное. Элементы имеющие в своем обозначении номинал и помеченные "*", могут корректироваться, сейчас установите номиналы согласно схеме. Элементы помеченные "none" или только "*" у меня на платах не установлены, но это не означает что они не нужны вовсе. Так, например, 4С19 в РА отвечает за коррекцию АЧХ усилителя, которая будет зависеть от многих факторов ( качества элементов, трансформаторов и д.т. ) предугадать которые невозможно, может потребоваться подбор и установка этого элемента(настройка PA отдельная тема, не имеющая отношения к сдр или точнее сказать, для него нет разницы какими методоми был сформирован его входной сигнал). Потому удалять их не буду. Это моя 3я версия сдр, первые две "покоятся в столе", поверте, то что считал возможным удалить - давно уже удалил. PS. Про 10U6/10U7 и 12U1/12U2 думаю Вы понимаете, что тут на выбор ( у меня уст. 10U7 и 12U1). И еще, номиналы РА для установки только 2х RD16 (4е еще сам не пробовал). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 173] Автор : R6BK Дата : 21.05.2017 16:31 помеченные "none" или только "*" у меня на платах не установлены Вот это меня и интересовало, остальное - в курсе, не сильно глуп :-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 174] Автор : R3DI Дата : 21.05.2017 16:52 R6BK, и в мыслях не было, извиняюсь, если дал повод так думать. Старался дать полный ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 175] Автор : R6BK Дата : 21.05.2017 17:03 и в мыслях не было, извиняюсь, если дал повод так думать Если все принимать всерьез, то..... Я же в шутку так высказался :-P Как раз сейчас веду сборку платы. Пришли дисплеи, но по размерам чуть больше посадочного места на панели :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 176] Автор : UR3ACH Дата : 21.05.2017 18:22 Как раз сейчас веду сборку платы.:shock: Это как? Ведь плат еще нет,или я что-то упустил? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 177] Автор : R3DI Дата : 21.05.2017 18:31 Приветствую! ...... Был вопрос о первых платах - их было 5, 2 у меня собранных трх, 3 ушли друзьям ( 1 из трех уже в "приеме", 2 на этапе сборки). ...... Было и такое (пост #111) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 178] Автор : R3DI Дата : 22.05.2017 13:10 ...... По поводу BOM (список элементов): Повторюсь, тех.док. по стандартам не готовил (для себя и монтажных карт не делал;-)), есть "сырой" список, нужно приводить его в порядок, времени нет, может общими силами.... В пн/вт скину как есть (дома списка нет). Список на Ядиске SDR_BOM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 179] Автор : VICTORY Дата : 22.05.2017 13:36 --- -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 180] Автор : R3DI Дата : 22.05.2017 14:00 --- -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 181] Автор : R6BK Дата : 22.05.2017 14:02 SDR_BOM Завершаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 182] Автор : SVd2004 Дата : 22.05.2017 18:10 через переходную платку Si5351 Кварц какой на плате Si5351? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 183] Автор : R3DI Дата : 22.05.2017 18:35 Кварц какой на плате Si5351? 25 мГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 184] Автор : Павел Непийвода Дата : 22.05.2017 21:50 А схему в Splane может кто нарисует . Павел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 185] Автор : user12 Дата : 22.05.2017 21:52 А может сразу на листке в клеточку? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 186] Автор : romanetz Дата : 23.05.2017 04:01 Судя по особенностям графики, оригинал схемы в пикаде (альтиум дизайнере) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 187] Автор : SVd2004 Дата : 23.05.2017 05:56 Судя по особенностям графики, оригинал схемы в пикаде (альтиум дизайнере) И что? Нужно оттуда его достать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 188] Автор : ur5yfv Дата : 23.05.2017 12:16 А схему в Splane может кто нарисует пока рисуем, а дальше будет видно ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 189] Автор : UT0UM Дата : 23.05.2017 12:31 пока рисуем смотрите не дорисуйтесь.... ... уже и кварц на 36768 в схеме появился -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 190] Автор : UR3ACH Дата : 23.05.2017 12:41 ... уже и кварц на 36768 в схеме появился Он там и был. а дальше будет видно ... :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 191] Автор : UT0UM Дата : 23.05.2017 12:42 Он там и был. та Вы шо?! а не 32768 ли был? все эти перерисовывания схемы к добру не ведут, особенно если по ним потом печатку делать я так понимаю, что это ведь конечная цель - съэкономить на печатке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 192] Автор : UR3ACH Дата : 23.05.2017 12:54 а не 32768 ли был? Извиняюсь. Был 32768. Как раз сидел смотрел схему. На той схеме что рисовал ur5yfv ошибка. Но это можно поправить. Ничего страшного там нет. особенно если по ним потом печатку делать я так понимаю, что это ведь конечная цель - съэкономить на печатке? Я например только за если будет малосигнальная часть. Без усилителя и фнч. Все это можно сделать самому. Да и дпф тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 193] Автор : UT0UM Дата : 23.05.2017 12:59 Ничего страшного там нет. ничего страшного нет, если по ходу перерисовывания не возникнет других ошибок -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 194] Автор : VICTORY Дата : 23.05.2017 13:04 Ошибок не будет, если ничего не делать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 195] Автор : UT0UM Дата : 23.05.2017 13:06 Ошибок не будет ну или купить плату у автора :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 196] Автор : R3DI Дата : 23.05.2017 13:26 ur5yfv, U1 pin 23<->94, так задумано ( наверное pin94/R2/R3 - Boot0) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 197] Автор : SVd2004 Дата : 23.05.2017 17:03 Схемотехнику этого трансивера для себя уже менять не буду, только код код код... А какие планы по программе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 198] Автор : R3DI Дата : 23.05.2017 17:32 А какие планы по программе? CW ключ добавить, а так планов пока нет, кроме всякой мелочевки по интерфейсу и тд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 199] Автор : Павел Непийвода Дата : 23.05.2017 20:32 ur5yfv, В микросхеме неправильльно две последних буквы ( может я не прав - но раньше тоже об этом писали ) .STM32F407VGT6 - такое название правильное. Если я прав то исправте - а то будет далее шагать такое название. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 200] Автор : R3NC Дата : 23.05.2017 21:04 Доброго времени! Стесняюсь спросить, а что в аппарате эл. ключа не предусмотрено?! Или я что-то просмотрел?! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 201] Автор : R3DI Дата : 23.05.2017 23:55 ... Или я что-то просмотрел?! ... скорее всего, первый вопрос и первый ответ в теме. Разъем есть, функции в коде нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 202] Автор : RD3Q Дата : 24.05.2017 18:40 Евгений, а какую ERA применили? Составляю перечень заказа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 203] Автор : avbelnn Дата : 24.05.2017 19:23 R3DI, ADC0-измериловка Uпит?26333826333911R1-скорее всего 43(47)кОм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 204] Автор : RX9UAO Дата : 25.05.2017 03:38 Дисплей ILI9341 2,2'' 320*240 9pin (размер платки 67*40мм ) К сожалению, этот товар теперь недоступен! (констатирует Али экспресс). Что ставить будем? (вопрос уже звучал). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 205] Автор : denska Дата : 25.05.2017 04:13 Здравствуйте!поделитесь печатной платкой)))):crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 206] Автор : UA3SKC Дата : 25.05.2017 07:08 Я присоединяюсь к вопросу по поводу плат. Прошу выделить и мне платку. Ну очень заманчивый получается аппаратик. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 207] Автор : RD3Q Дата : 25.05.2017 07:55 denska, и др. Может стоит дождаться изготовления плат для начала! И всю тему прочитать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 208] Автор : ur5yfv Дата : 25.05.2017 07:55 К сожалению, этот товар теперь недоступен! (констатирует Али экспресс). А что на Али только один продавец ? 2.2 inch SPI TFT LCD module ILI9341 (https://ru.aliexpress.com/wholesale?ltype=wholesale&d=y&origin=y&isViewCP=y&catId=0&initiative_id=SB_20170524205039&SearchText=2.2+inch+SPI+TFT+LCD+module+ILI9341&blanktest=0&tc=af) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 209] Автор : RD3Q Дата : 25.05.2017 07:57 RX9UAO, https://ru.aliexpress.com/item/J34-F85-Free-Shipping-1PC-2-2-Inch-SPI-TFT-LCD-Serial-Port-Module-Display-ILI9341/32601507922.html?spm=2114.14010208.99999999.327.8CdS3E а это что? Лень наверно искать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 210] Автор : R3DI Дата : 25.05.2017 09:30 Евгений, а какую ERA применили? Составляю перечень заказа. Ставил ERA-3sm, резистор 6R1 51 ом. R3DI, ADC0-измериловка Uпит?11R1-скорее всего 43(47)кОм. 11R1 10k, 11R2 1k делитель (1/11). Спасибо, поправлю. К сожалению, этот товар теперь недоступен! (констатирует Али экспресс). мнда.... 1.5 года назад их там.... ну страниц только десяток было. Вчера заказал по ссылке выше от RD3Q, а потом почитал отзывы - так и отменил заказ, у другого продавца не стал заказывать из-за цен с доставкой. Но потом заказал 2.4, жду, сам хочу посмотреть на него, по ширине пишут больше на 2 мм, по длине на 10, смотреть нада. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 211] Автор : UR3ACH Дата : 25.05.2017 09:48 Уже спрашивал. Можно применить дисплеи на 2,8" и 3,2'' ? С контроллером ili9341. Таких дисплеев как грязи. Чем обусловлен выбор дисплея. Контроллеры то одинаковые. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 212] Автор : R3DI Дата : 25.05.2017 09:58 ... Чем обусловлен выбор дисплея... Обусловлен выбранным корпусом G767. Код написан для SPI ILI9341 240*320. Дисплеев 2,8" и 3,2'' у меня нет (с spi). сказать точно не могу. Будьте аккуратнее, есть дисплеи где SPI на сдвиговом регистре сделан - такой точно не подойдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 213] Автор : RD3Q Дата : 25.05.2017 11:49 Евгений ссылку на новый дисплей скинь, пока не заказывал, анализирую! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 214] Автор : R3DI Дата : 25.05.2017 13:28 RD3Q, Заказал этот https://ru.aliexpress.com/item/F98-2016-newestA96-Free-Shipping-2-4-240x320-SPI-TFT-LCD-Serial-Port-Module-PBC-Adapter/32605396877.html?spm=2114.13010608.0.0.Qf3p9J , на пробу. Его не проверял! Вот придет, буду думать как его внедрить, возможно придется со своей платкой под ЛУТ, а от него использовать только индикатор, придет - будет видно. Раздвигать стороны некуда, см. фото (на фото 2,2"). 263361263362 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 215] Автор : RD3Q Дата : 25.05.2017 13:45 R3DI, ну тут вариант удлинить ось энкодера и сместить его (ручку настройки уменьшить) или корпус менять! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 216] Автор : UR3IQO Дата : 25.05.2017 16:47 Раздвигать стороны некуда Поставьте энкодер поменьше. Например, EMS22 серия у Bourns. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 217] Автор : R3DI Дата : 25.05.2017 17:17 ...EMS22 серия у Bourns. Смотрел на них и подобные, дорогие они :-(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 218] Автор : UR3IQO Дата : 25.05.2017 17:57 дорогие они Тогда EMS14, но там меньше импульсов будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 219] Автор : SVd2004 Дата : 25.05.2017 19:00 Раздвигать стороны некуда Компоновку менять нужно. Передняя панель большая (по размеру платы), а глубина маленькая (как портативный приёмник). Тогда размер дисплея будет любой, и разрешение, если Вам захочется, можно увеличить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 220] Автор : RD3Q Дата : 25.05.2017 20:00 R3DI, Л/С посмотрите! Что то не следите за сообщениями!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 221] Автор : R3DI Дата : 25.05.2017 20:31 RD3Q, слежу, ток с телефона, как сейчас особа не развернешся с набором сообщения, как буду у компьютера- отвечу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 222] Автор : SVd2004 Дата : 25.05.2017 20:56 как буду у компьютера- отвечу Можно схему платы Si5351A? У кварца какая стабильность? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 223] Автор : R3DI Дата : 25.05.2017 21:33 Можно схему платы Si5351A? У кварца какая стабильность? Только завтра с работы, дома нет схемы. А если на пальцах - кварц 25 мГц с материнки брал, резисторы на i2c ставил по 10к, сверху где питание проходной смд дроссель с материнки и конденсаторы на землю 0,1 мкФ и 100 пик, выход клока проходной конденсатор 0,1 мкФ делитель 2 резистора 100 ом и 51 на землю, все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 224] Автор : ur5yfv Дата : 25.05.2017 23:47 Можно схему платы Si5351A? Может так !? 263419 И ... продолжение с исправлениями замеченных ошибок и неточностей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 225] Автор : RA9YTJ Дата : 26.05.2017 04:33 По этой схеме ток выхода си5351 достигает 15мА ( с учетом внутреннего сопротивления 50 Ом), а по даташиту должен быть не более 5мА. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 226] Автор : SVd2004 Дата : 26.05.2017 06:51 Может так !? Схема сделана великолепно! Нашёл маленькие неточности c фото платы. R90 на плате отсутствует. R87, R91 номиналы наоборот. На выводе 1 Si5351a ещё 1 конденсатора на землю 100пФ. И 1 конденсатор на землю перед дросселем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 227] Автор : UA9olb Дима Дата : 26.05.2017 09:36 Подскажите PE4259 в каком корпусе брать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 228] Автор : ur5yfv Дата : 26.05.2017 10:16 Подскажите PE4259 в каком корпусе брать? PE4259 - корпус SC‐70 263441263440 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 229] Автор : Виктор1 Дата : 26.05.2017 10:51 Рисунок печатки где можно увидеть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 230] Автор : LY3BD Дата : 26.05.2017 11:04 [QUOTE=ur5yfv;1400820]Может так !? 263419 В этой схеме допущены сразу две грубые ошибки. Зачем поставили резисторы R88, R92? Чтобы завалить фронты импульсов и увеличить фазовые шумы? Почему на тактовые входы триггеров CLK сигналы подаются с разных выходов буфера? Чтобы увеличить фазовую разбежку между сигналами CLK и тем самым увеличить ошибку в фазовом сдвиге 90 градусов между выходными сигналами CLQ I, CLQ Q? Без обид, но эта схема пример того, как нельзя строить подобные схемы! Схема работать будет, но как? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 231] Автор : ur5yfv Дата : 26.05.2017 11:33 У Автора именно такой вариант подключения предложенный и сейчас работает. Может конечно и отличается от предоставленной схемы включения. 263445 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 232] Автор : SVd2004 Дата : 26.05.2017 11:43 Схема работать будет, но как? Все видео здесь https://yadi.sk/d/QuyGdEwM3H4HcB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 233] Автор : LY3BD Дата : 26.05.2017 11:44 Ну так одни и те же ошибки кочуют из схемы в схему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 234] Автор : ur5yfv Дата : 26.05.2017 11:58 Ну так одни и те же ошибки кочуют из схемы в схему. Наверное еще из МОНКИ. Никто ж жалуется ! И все повторяют ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 235] Автор : R3DI Дата : 26.05.2017 12:07 У меня установлена 10U7 (SN65LVDS34D), 10R10 10R14 10C26 - не установлены, 10R12 10R18 0-ки. Поправлю схему, спасибо. (блок из FLEX 1500) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 236] Автор : SVd2004 Дата : 26.05.2017 12:21 Почему на тактовые входы триггеров CLK сигналы подаются с разных выходов буфера? Буфер вообще нужен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 237] Автор : LY3BD Дата : 26.05.2017 12:30 Буфер вообще нужен? Да, нужен. Но сигнал лучше снимать с одного выхода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 238] Автор : R3DI Дата : 26.05.2017 12:40 Да, нужен. Но сигнал лучше снимать с одного выхода. :shock:Так там же противофазные сигналы, как с одного выхода ??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 239] Автор : SVd2004 Дата : 26.05.2017 12:58 Схема Монки и доработка LY3BD 263447263448 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 240] Автор : R3DI Дата : 26.05.2017 13:11 Схема Монки и доработка LY3BD Если не ошибаюсь, то в схеме Монки Fприем=Fген/4, а у меня Fприем=Fген/2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 241] Автор : ur5yfv Дата : 26.05.2017 13:13 В живую графика смотрится гораздо краше. На словах не передать. 263449263450263451 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 242] Автор : R3DI Дата : 26.05.2017 13:17 Это точно, ни видео ни фото не передает всей красоты. Вижу дисплей с 4мя отверстиями справа (у меня 5), можете сделать фото обратной стороны и дать размер платы дисплея? (И если брали не давно, то ссылку где брали) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 243] Автор : R4HBM gonchuk Дата : 26.05.2017 13:22 А коммерческую версию "Маламута" скоро можно будет увидеть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 244] Автор : ur5yfv Дата : 26.05.2017 13:28 Давно брал 2 шт., два года назад. Обратная сторона такая. Размер платы 40 х 67 263453263455 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 245] Автор : LY3BD Дата : 26.05.2017 13:32 Если не ошибаюсь, то в схеме Монки Fприем=Fген/4, а у меня Fприем=Fген/2. Прошу прощения, не обратил внимания на то, как сделаны обратные связи в фазавращателе!:oops: В вашем случае действительна на тактовые входы триггеров необходимо подавать такты в противофазе. Но к сожалению такой фазавращатель имеет повышенную ошибку в сдвиге фаз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 246] Автор : R6BK Дата : 26.05.2017 14:14 Но к сожалению такой фазавращатель имеет повышенную ошибку в сдвиге фаз. "Флексы" лажу подсунули :cry:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 247] Автор : ur5yfv Дата : 26.05.2017 14:34 Не получается зайти в меню. :( Посмотреть что там ... Как ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 248] Автор : R3DI Дата : 26.05.2017 14:43 Не получается зайти в меню. :( Посмотреть что там ... Как ? KEY1 - выбор "быстрого меню", УДЕРЖАНИЕ - вход в меню установок -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 249] Автор : PA8MM Дата : 26.05.2017 16:53 В живую графика смотрится гораздо краше. На словах не передать. А как углы обзора? Может мне попался такой дисплей - но смотреть на него нужно было строго перпендикулярно. 10-15 градусов в сторону и изображение уже с трудом читалось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 250] Автор : ur5yfv Дата : 26.05.2017 17:29 А как углы обзора? Может мне попался такой дисплей - но смотреть на него нужно было строго перпендикулярно. Да вроде бы ... так себе ... ничего ... https://www.youtube.com/watch?v=h-JOYO4yLlQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 251] Автор : ua3ycv Дата : 26.05.2017 17:43 да получше чем на МОНКЕ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 252] Автор : Сергей1971 Дата : 26.05.2017 19:29 Всем доброго дня.Я так понимаю это пока работает только на прем? Когда можно ожидать законченную версию? С уважением Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 253] Автор : R3DI Дата : 26.05.2017 19:44 Сергей1971, приветствую, на передачу тоже, посмотрите видео, да и в описании об этом есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 254] Автор : ur5yfv Дата : 26.05.2017 22:20 R3DI, правильно ли у вас на схеме MCP6001UT ? 263502 потому что у меня в "рисовалке" он так 263503263504 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 255] Автор : R3DI Дата : 26.05.2017 22:58 R3DI, правильно ли у вас на схеме MCP6001UT ? Правильно, см datasheet. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 256] Автор : ur5yfv Дата : 26.05.2017 23:38 удалено -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 257] Автор : ikSS Дата : 26.05.2017 23:54 Вот картинка из даташита. Вы показли картинку для MCP6001, а нужно смотреть MCP6001U -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 258] Автор : ur5yfv Дата : 26.05.2017 23:59 Вы показли картинку для MCP6001, а нужно смотреть MCP6001U Ага, теперь понятно. Уже увидел. Извиняюсь. :oops: На сегодня пора заканчивать. :rotate: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 259] Автор : SVd2004 Дата : 27.05.2017 06:26 R3DI В качестве операционников не рассматривали THS4522, THS4524 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 260] Автор : R3DI Дата : 27.05.2017 09:43 В качестве операционников не рассматривали THS4522, THS4524 ? Много чего рассматривал, и THS4520 из Флекса, но у нас тут долго дорого и минимум полведра. Весь трх собирал и того что есть в "столе" дома или на работе :super:. Покупки с али были только кодаки, дисплей и валкодер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 261] Автор : SVd2004 Дата : 27.05.2017 10:24 THS4520 из Флекса Корпус у них не радиолюбительский, сложно запаять. и того что есть в "столе" Хороший стол! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 262] Автор : VFO Дата : 27.05.2017 14:03 Хочу вернуться к вопросу о схеме синтезатора из поста #224. У автора схемотехническое решение изначально под DDS. Там действительно нужно из синусоиды получить противофазные меандры для делителя. А для SI5351, у которой логические уровни на выходе это зачем? Трансформатор, LVDS приёмник? Помимо того, что это нестандартная нагрузка для SI5351, так LVDS приёмники ещё и содержат триггер Шмитта, что не улучшает качества сигнала гетеродина. Ещё один момент. У автора весь этот формирователь включён за ФНЧ. При подаче сигнала с SI5351 непосредственно на трансформатор, какие требования к широкополосности этого трансформатора? Ведь он должен в этом случае обеспечивать качественный баланс амплитуд и фаз не только на основной частоте, но и на гармониках гетеродина, иначе скважность на выходе LVDS приёмника может не соответствовать 50%. Отсюда вывод. Надо или повторять всю эту цепочку с ФНЧ, или упростить, выкинув трансформатор, LVDS приёмник, работать напрямую с логическими уровнями, сформировав противофазные сигналы, например, на той же 74АС86. Кто что думает по этому поводу? Вполне допускаю, что несмотря на все эти подозрения, сойдёт и так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 263] Автор : SVd2004 Дата : 27.05.2017 14:11 Кто что думает по этому поводу? Плата сделана как полигон для экспериментов, в ней предусмотрены различные варианты установки элементов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 264] Автор : VFO Дата : 27.05.2017 14:23 У автора да, но тут же народ хочет из всего этого сделать полноценный девайс, не полигон. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 265] Автор : ua3ycv Дата : 27.05.2017 15:25 но тут же народ хочет из всего этого сделать полноценный девайс, не полигон.полигон тож не плохо-чем "навешивать" платки сверху! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 266] Автор : R3DI Дата : 27.05.2017 17:14 Применяю si5351 без ФНЧ, прямо сейчас работаю /р, шумы 0-1бал, станции +20 в зеркальном не наблюдаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 267] Автор : R3DI Дата : 27.05.2017 19:09 Прием с era-3sm предусилителем на ядиске era3sm. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 268] Автор : RD3Q Дата : 27.05.2017 20:07 R3DI, Евгений видео работы /р не делали? Видно диапазон 40м, антена какая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 269] Автор : R3DI Дата : 27.05.2017 20:28 RD3Q, антенна диполь , 7 и 2 метра высота концов. https://www.youtube.com/watch?v=cQxUiROz4zo (https://youtu.be/cQxUiROz4zo) https://www.youtube.com/watch?v=c4WXO5szGko -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 270] Автор : SVd2004 Дата : 27.05.2017 22:19 R3DI Интересно, что с платами? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 271] Автор : SVd2004 Дата : 28.05.2017 07:56 Трансивер сделан на базе Flex1500. Сравнительная таблица характеристик Flex1500-Flex5000 263620 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 272] Автор : RD3Q Дата : 28.05.2017 08:10 SVd2004, А к чему здесь эта таблица, что Вы хотели этим показать??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 273] Автор : SVd2004 Дата : 28.05.2017 08:13 что Вы хотели этим показать Что есть большой запас по развитию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 274] Автор : R3DI Дата : 28.05.2017 09:24 Интересно, что с платами? Уже изготовили и отправили, ждемс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 275] Автор : UA3SKC Дата : 28.05.2017 10:07 С платами здорово. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 276] Автор : stari4ok Дата : 28.05.2017 11:02 TU R3DI.А как на платки в очередь стать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 277] Автор : denska Дата : 28.05.2017 11:25 TU R3DI.А как на платки в очередь стать? Присоединяюсь -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 278] Автор : R3DI Дата : 28.05.2017 11:38 TU R3DI.А как на платки в очередь стать? и вот так тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 279] Автор : ua3ycv Дата : 28.05.2017 11:53 TU R3DI.А как на платки в очередь стать? и вот так тоже.Евгений-пора список формировать!я где то в середине:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 280] Автор : uy5um Дата : 28.05.2017 12:01 Я заказывал одним из первых , вот только как с пересылкой к нам сюда на Украину ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 281] Автор : RD3Q Дата : 28.05.2017 12:08 Друзья не забываем, что это технический форум! Есть л/с - пишите там! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 282] Автор : RD3Q Дата : 28.05.2017 20:42 R3DI, Евгений какие дальнейшие планы по развитию проекта? Как обстоят дела с внедрением CW ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 283] Автор : R3DI Дата : 28.05.2017 21:31 Про CW не знаю когда, едва хватает времени документацию поправлять :-(. Есть функция тона, если по простому связать ее с пином входа ключа, тогда там получается передача кратна 23.4ms(при 48k), но, если правильно понимаю, нужно еще ввести функцию задержки по времени перевода в режим приема после нажатия на ключ и функцию анти дребезга контактов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 284] Автор : Serg Дата : 28.05.2017 21:57 нужно еще ввести функцию Разумеется еще и форму посылок сделать "колокол-образную", чтобы щелчков от ключевания не было. В SDR это было бы интересно программно сделать, чтобы форму можно было в некоторых пределах на вкус оператора настраивать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 285] Автор : UT0UM Дата : 28.05.2017 23:37 Разумеется еще и форму посылок сделать "колокол-образную" ну щас народ напаяет плат и будут сообща кодить, как в монке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 286] Автор : Victor72 Дата : 29.05.2017 08:09 Запишите и меня в очередь на платку… Ну очень понравился проект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 287] Автор : ua3ycv Дата : 29.05.2017 09:10 ну щас народ напаяет плат и будут сообща кодить, как в монкеАлексей-а что плохого в этом?я считаю нормальная площядка для взлёта:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 288] Автор : UA3SKC Дата : 29.05.2017 10:17 прошу админа удалить это сообщение! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 289] Автор : R3DI Дата : 29.05.2017 12:49 Не пойму как управлять вложениями в описании темы, вот новая схема, прошу администраторов закрепить новый файл и удалить это сообщение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 290] Автор : RD3Q Дата : 29.05.2017 18:44 R3DI, Евгений а что в схемах изменилось или подчищено лишнее? Не увидел разъёма LINE OUT - его нет на плате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 291] Автор : R3DI Дата : 29.05.2017 18:51 R3DI, Евгений а что в схемах изменилось или подчищено лишнее? Не увидел разъёма LINE OUT - его нет на плате? Номиналы в DDS там где формирователь квадратурного сигнала, подписал кнопки, развернул диоды в ФНЧ на реле как нужно, номинал измерялки напряжения питания 11R1 11R2 . LINE OUT и не было изначально, нет места, запись делал с разъема для наушников. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 292] Автор : RD3Q Дата : 29.05.2017 19:04 R3DI, тогда вопрос для чего нужен LINE IN, как понимаю если есть линейный вход и выход - можно цифру прикрутить! А так что туда подключать? В ВОМ файле изменения есть по новой схеме? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 293] Автор : UT0UM Дата : 29.05.2017 19:11 если есть линейный вход и выход - можно цифру прикрутить это в ДИ так цифру прикручивают а в такого класса радио вся цифра должна через USB идти а то получается новое радио со старыми костылями -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 294] Автор : RD3Q Дата : 29.05.2017 19:17 UT0UM, Так пока нет по USB звука, так хоть через комп.звуковую пустить! Это только начало проекта, думаю Евгений что то придумает! В принципе лучше сперва CW запустить, цифра на любителя! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 295] Автор : R3DI Дата : 29.05.2017 20:09 это в ДИ так цифру прикручивают а в такого класса радио вся цифра должна через USB идти а то получается новое радио со старыми костылями Никому она ничего не должна, если кто считает иначе - пусть ищет себе другое радио с «долгами», или вставляет код преложенный Геннадием, будет работать - разместим тут и такую прошивку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 296] Автор : UT0UM Дата : 29.05.2017 20:17 пусть ищет себе другое радио с «долгами» другое у меня уже есть там и телеграф есть и I Q по USB в комп идет а если так огрызаться на каждое слово, то карма испортится -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 297] Автор : Genadi Zawidowski Дата : 29.05.2017 20:31 А что за вторая большя микросхема на фото платы https://1.downloader.disk.yandex.ru/disk/41a4e412114fa39344711ee5f554e8dd2ac1c49f2f2577ca43fce67215882304/592c932f/TPa5q-7mdBDpw-9k2qcuMw7RW6xIR-g1EmFCNEevtRWRxakSgaziDXw2-jKGn5bkoJj5FZ6TeYLNuy3RMbVhhg%3D%3D?uid=0&filename=VqqvmtPk5k4.jpg&disposition=inline&hash=&limit=0&content_type=image%2Fjpeg&fsize=359058&hid=1ee8d9db719b8533fe25680ad9f2241b&media_type=image&tknv=v2&etag=a3b6d99734471ff581540ad52c37c514 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 298] Автор : R3DI Дата : 29.05.2017 20:34 А что за вторая большя микросхема на фото платы Место под еще один STM, не понадобился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 299] Автор : Genadi Zawidowski Дата : 29.05.2017 21:01 И правильно... все в одном помещается... у меня без панорамы только. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 300] Автор : ur5yfv Дата : 29.05.2017 23:09 После перерисовки схемы и авто проверки остались зависшие в воздухе SPI2_CLK, SPI2_MISO, SPI2_MOSI, SPI2_NSS ну и OCS_CPU. Или я плохо искал или никуда не идут ?! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 301] Автор : R3DI Дата : 29.05.2017 23:32 ...остались зависшие в воздухе SPI2_CLK, SPI2_MISO, SPI2_MOSI, SPI2_NSS ну и OCS_CPU...! На второй процессор который не используется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 302] Автор : ur5yfv Дата : 29.05.2017 23:52 На второй процессор который не используется. Понятно. А платы которые идут с двумя процессорам ? Как на картинках ? Или по новому разведенные ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 303] Автор : R3DI Дата : 30.05.2017 00:27 ...а если так огрызаться на каждое слово, то карма испортится Это вовсе не "огрызание", видимо так выглядит сокращенный ответ. Такие параметры как побочное излучение, подавление несущей частоты, подавление внеполосных излучений и т.д. и т.п. параметры, которые действительно должны быть соблюдены для любого класса р/л аппаратуры. Остальные, наличие панорамы, цветной индикатор, наличие USB САТ или эмуляция внешней звуковой карты т.п., о том, что эти параметры являются обязательными( и должны быть) мне не известно. Превращать этот трансивер обратно во Flex у меня интереса нет, о целях создания этого трансивера было указано ранее. А потому остаются два варианта для тех кому нужен USB трансивер - или искать другой, или самому внести в код изменения ( исходники открыты). Зная свой код и сколько там задействовано ресурсов и как они взаимосвязаны, задача по внедрению USB мне не кажется простой, по сути нужно создавать новый проект на этом "железном полигоне". Повторюсь - это р/любительская конструкция, делалась для себя, никого не призываю ее использовать. Добавлено через 6 минут(ы): А платы которые идут с двумя процессорам ? Как на картинках ? Или по новому разведенные ? С двумя как на картинках ( вдруг пригодится ;-) ), по новому - там убрана "зеркальность" 2-х разъемов и немного выдвинул jack3.5 разъемы и так по мелочи, но, как практика показала, любое изменение требует проверки 8-). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 304] Автор : UT0UM Дата : 30.05.2017 00:52 сокращенный ответ ну может я не так выразился, может и не должен но делают же на точно таком же железе все эти плюшки неужели не интересно сделать как минимум не хуже? но тут, конечно, автор хозяин: хочет - код улучшает, не хочет - булку маслом намазывает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 305] Автор : UN7RX Дата : 30.05.2017 05:39 но делают же на точно таком же железе все эти плюшки неужели не интересно сделать как минимум не хуже? У меня (да наверное и у каждого) был знакомый радиолюбитель, у которого трансивер всю жизнь простоял открытый и на боку. Он его непрерывно "совершенствовал". Зрелище было довольно печальное. То что предлагаете вы и еще некоторые и есть вот такой "трансивер на боку". Автор предложил готовый, рабочий аппарат. Если соберет идей и решений на новую версию - это будет другой трансивер. Посмотрите сколько версий того же SW. Если бы его автор слушал таких советчиков, до сих пор бы переделывал первую в сто первую. Давайте на разводить в теме ненужный флуд и тем более не стоит что-то там предъявлять автору. Умеете лучше - сделайте и предложите, все остальное просто болтовня. И крайне рекомендую ВСЕМ прочитать мое предупреждение в закрепленном первом посту, оно именно на эту тему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 306] Автор : R3KDZ Дата : 30.05.2017 09:14 Тоже заинтересовался платой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 307] Автор : UX5PS Дата : 30.05.2017 09:38 Тоже заинтересовался платой. Запишите и меня в очередь на платку… Ну очень понравился проект. ИМХО, такие запросы надо сразу отправлять ТСу в личку или на E-mail, указав свои реквизиты для включения в список. Ибо Друзья не забываем, что это технический форум! Есть л/с - пишите там! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 308] Автор : UT0UM Дата : 30.05.2017 11:52 То что предлагаете вы и еще некоторые и есть вот такой "трансивер на боку". это Ваше личное заблуждение основные улучшения - это код точно также будет и в этом проекте п.с. и какой же это готовый аппарат, в котором нет даже тлг? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 309] Автор : RX9UAO Дата : 31.05.2017 04:46 Значит будет.Кончай доставать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 310] Автор : R4DZ Дата : 31.05.2017 09:45 http://www.cqham.ru/forum/images/cqham/statusicon/user-offline.png http://www.cqham.ru/forum/customavatars/avatar20703_1.gif (http://www.cqham.ru/forum/member.php?20703-UT0UM)Регистрация06.01.2012АдресУкраинаСообщений1,374 http://www.cqham.ru/forum/images/misc/quote_icon.png Сообщение от UN7RX http://www.cqham.ru/forum/images/buttons/viewpost-right.png (http://www.cqham.ru/forum/showthread.php?p=1402037#post1402037) То что предлагаете вы и еще некоторые и есть вот такой "трансивер на боку". это Ваше личное заблуждение основные улучшения - это код точно также будет и в этом проекте п.с. и какой же это готовый аппарат, в котором нет даже тлг? Видимо автору не интересен тлг или какие нибудь сложности с реализацией этого вида работы! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 311] Автор : R3DI Дата : 31.05.2017 13:02 Видимо автору не интересен тлг или какие нибудь сложности с реализацией этого вида работы! Да, в тлг. не работаю потому и не добавлял такую функцию в код, но интересн - потому есть разъем на плате, сложности с реализацией особой не вижу - со временем сложность, нет его :-(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 312] Автор : VICTORY Дата : 31.05.2017 13:10 Какое-то странное изложение:evil:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 313] Автор : R3DI Дата : 31.05.2017 18:32 Подскажите минимальные необходимые настройки для тлг ключа/режима.(имеется ввиду необходимые поля, не значения) ........... SdrStr.SdrSetup. CW_Tone = 700;//Hz SdrStr.SdrSetup. CW_Key = 0; //0-простой 1-auto 2-Inv auto SdrStr.SdrSetup. CW_TXDeb = 10; //time ms антидребезг SdrStr.SdrSetup. CW_RXDelay = 250;//time ms SdrStr.SdrSetup. CW_Dot = 100;//time ms SdrStr.SdrSetup. CW_Dash = 300;//time ms ........... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 314] Автор : Genadi Zawidowski Дата : 31.05.2017 18:49 Антидрбезга как такового не требуется, нажатие регистрируется мгновенно. Если в момент окончания элемента еще что-то нажато - формируем. Из опыта: системный таймер для формирования плавно изменяющейся скорости не очень подходит. Я сделал отдельный аппаратный таймер с периодом 1/10 длительности точки, программированием этого таймера получается требуемая скорость. Автоматически получатся возможность через 1/10 длительности точки регулировать тире и паузы. Кроме CW_RXDelay не к параметрам электронного ключа но ко всему тракту относится время задержки подачи несущей при переходе на передачу и время перехода на прием (скажем так - параметры антенного реле). И, разумеется, время нарастания/спада огибающей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 315] Автор : LZ1AO Дата : 31.05.2017 18:53 SdrStr.SdrSetup. CW_Dot = 100;//time ms SdrStr.SdrSetup. CW_Dash = 300;//time ms По моему вместо того выше: CW_Speed= 25 (words per minute) или в знаков в минуту CW_Weight = 3 (соотношение тире/точка) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 316] Автор : UR3IQO Дата : 31.05.2017 19:16 И еще CW_Shape = 2..7ms - длительность наростания/спада тлг. посылки. Вот здесь можете почитать, как формирование у меня старом аппарате работает: http://t03dsp.skydan.in.ua/CWExciter.htm -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 317] Автор : Genadi Zawidowski Дата : 31.05.2017 19:25 Олег, это синус в четвёртой степени? Я про формулу по ссылке. Да, таймер работает непрерывно, реакция на нажатия манипулятора происходит с дискретом 1/10 длительности точки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 318] Автор : UR3IQO Дата : 31.05.2017 21:06 Олег, это синус в четвёртой степени? Да. Огибающая будет такая же, как если пропустить сигнал манипуляции через FIR фильтр с импульсной х-кой sin^4. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 319] Автор : R3DI Дата : 01.06.2017 15:07 Сегодня добавил функцию тлг ручного манипулятора. В режиме CW CWR переходит в режим тона и по манипулятору и по тангенте (только тон, микрофон не слушает ). Режим установки частоты тона и времени задержки RX уже работают. Для сглаживания фронтов использовал оконную функцию, которая применяется для анализатора спектра, регулировку времени нарастания/спад еще не делал, пока по 10ms. Добавил отдельные фильтры для режима CW, что бы не переключать каждый раз в ручную при переходе с SSB на CW на узкополосные. С режимом auto key позже, когда появиться время. Вопрос, если правильно понимаю, в режиме SSB тангента-микрофон, но на ключ-тон тоже реакция должна быть, в случае оперативного ответа тлг. ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 320] Автор : Genadi Zawidowski Дата : 01.06.2017 16:25 Меня долго пинали пока не сделал что пр и. Ссб ключ работает в режиме тренировки, ничего не передавая в эфир, просто на самоконтроль. Что такое авто кей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 321] Автор : Сергей 12701 Дата : 01.06.2017 16:29 в режиме SSB тангента-микрофон, но на ключ-тон тоже реакция должна быть, в случае оперативного ответа тлг. ? Да , Евгений, это хорошая фича... к сожалению почему-то в промышленных аппаратах этого нет.. для QRP это особенно актуально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 322] Автор : R3DI Дата : 01.06.2017 16:31 Что такое авто кей? Автоматический ключ - так вроде называется, 2х контактный, поправьте если ошибаюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 323] Автор : Genadi Zawidowski Дата : 01.06.2017 16:38 А... Я просто к названию электронный ключ привык. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 324] Автор : LZ1AO Дата : 01.06.2017 17:46 В англоазичной литературе употребляют Key, Bug, Paddle(s), Keyer. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 325] Автор : RD3Q Дата : 02.06.2017 20:20 R3DI, Евгений дисплей 2.4 получили? Как он вписался и работает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 326] Автор : R3DI Дата : 02.06.2017 20:41 31.05 только отправили, как получу с меня фотоотчет;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 327] Автор : R3DI Дата : 03.06.2017 15:55 Сегодня добавил в код функцию электронного ключа, wpm 1-60, weight 2.0-5.0, shape 0-10ms. Улучшил правильность отображения на дисплее фильтров... ну и так по мелочи. Тестовые платы вчера покинули Европу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 328] Автор : ua3ycv Дата : 03.06.2017 16:07 Тестовые платы вчера покинули Европу.хорошая новость. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 329] Автор : PICachu Дата : 03.06.2017 16:10 Вот и появляется DI 21 века. TNX R3DI! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 330] Автор : TIKHVIN Дата : 03.06.2017 17:49 R3DI, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 331] Автор : R3DI Дата : 03.06.2017 18:18 Небольшой видео отчет тут https://yadi.sk/d/QuyGdEwM3H4HcB/cw_txmode_1.mp4 , динамик трх-а прям в телефон кричал и на видео не такой "мягкий" фронт как на слух. PS :ржач: Сам видео посмотрел и заметил какой-то спектр снизу широкий при передачи cw, а микрофон то не отключил при тлг.:crazy2:, точнее в передачу отключен, а в отображение спектра попадает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 332] Автор : ua3ycv Дата : 03.06.2017 19:13 всё хорошо-Москву тож не быстро строили! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 333] Автор : R3DI Дата : 04.06.2017 13:46 Немного о валкодере. Валкодер 2 фазы, не интеллектуальный(функция в коде) , у меня применен на 360 имп/об, брал на али давно и ссылка уже не работает, но поиск по фразе " Кодер 360 P/R Инкрементальный Фотоэлектрический 5-24 В AB Две Фазы 6 мм Вал " дает много новых ссылок и цены приятные ( дешевле чем брал 1.5 года назад ). По ссылкам с али картинки наклейки валкодера размыты, такой и брал. Из его доработок - только убирал 7805. Фото(еще с установленным 7805) того, что у меня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 334] Автор : RD3Q Дата : 04.06.2017 21:32 R3DI, Евгений данные по трансформаторам опубликуйте для информации пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 335] Автор : R3DI Дата : 05.06.2017 12:54 Трансформаторы. 1TR1 - М600НН 7х4х2 I-отрезок RG-58 без оплетки, II- 12 вит. 4TR1, 6TR1, 6TR2, 10TR1, 10TR2 - М600НН 7х4х2(10х6х3) скрутка в три провода 5-7 вит. 4TR2 - пока еще не определился (драйвер PA). 5TR1 - BN-43-3312 I-медные трубки внутри II-2 вит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 336] Автор : RD3Q Дата : 05.06.2017 19:21 R3DI, Евгений 4TR2 для 2 RD16 наверно сделать как в SW 2шт.7х4х2 600-1000НН 5 вит. в 3 провода 0.27мм , для 4 выходных транзисторов придется изменять кол-во витков и напряжение поднимать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 337] Автор : R3DI Дата : 05.06.2017 19:58 RD3Q, да и у меня сейчас так, но мне это не нравится, по даташит на RD16 на один более 50mW в SSB подавать не стоит ( если канечно правильно понимаю график) т.е. 2х bfg591и на четыре хватить должно... Или согласование драйвера вх/вых, или вообше с первого каскада нужно начать, пока в схеме те номиналы при которых провожу связь, но улучшать есть куда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 338] Автор : RD3Q Дата : 05.06.2017 20:21 R3DI, Евгений для 2х выходных транзисторов при питании выходного каскада 24В и токе покоя 200мА - нормальный режим и линейность получается, мощность 40-50Вт. Для четырех придется менять и предоканечный каскад, да и экранировка скорее всего понадобится от ВЧ наводок и хороший радиатор с обдувом. Для такого корпуса 2 шт. в самый раз! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 339] Автор : R3DI Дата : 06.06.2017 16:20 RD3Q, да и у меня сейчас так, но мне это не нравится.... Тут поясню. Старых измерений не осталось и сегодня провел лабораторную работу. Произвел измерение анализатором вход 2*RD16. По входу трансформатор 1:1, на вторичке произвел OSL калибровку анализатора (1к/0,1r/50r) и сделал тест 200 омного резистора. Сделал 9 измерений Rн 33/50/75 ом - непосредственно к вых. трансформатору/ LPF40/ LPF10. Копии экрана анализатора ниже ( SWRбелый/Rзеленый/Xсиний - снизу экранов значения по зеленому маркеру ). Так же произвел измерения разных выходных трансформаторов драйверов 2*BFG591 на разных нагрузках и на разных частотах, занес в таблицу. До этого дня был установлен 4TR2 BN-43-202 I-1 вит. с отводом от середины II-2 витка. Сейчас поставил 3й вариант из таблицы I- 3+3 вит. II - 6 вит. Вот пишу сообщение и мысль пришла, нужно было и двух-тоновым сигналом драйвер проверить, но уже пора и работу работать, в другой раз. PS Вопрос, как вкладывать изображения так, что бы они открывались не в отдельной вкладке, а в окне, где есть кнопки просмотра "Вперед/назад/ закрыть" ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 340] Автор : Integral Дата : 06.06.2017 20:49 как вкладывать изображения так, что бы они открывались не в отдельной вкладке, а в окне, где есть кнопки просмотра "Вперед/назад/ закрыть" ? В быстром ответе нажимаете пиктограмму Вставить изображение, затем выбираете файл и загрузить файлы. Файл вставляется там где курсор. Затем повторяете процедуру - пиктограмма Вставить изображение. При этом должна быть все время включена вкладка С этого компьютера, она как правило после первого файла изображения сбрасывается на По ссылке из интернета. 264264 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 341] Автор : RD3Q Дата : 06.06.2017 20:57 R3DI, Евгений добрый вечер. Большая работа проделана тобой по оконечнику, только все молчат! А все по тому что это уже мусолили 3 года в теме SW! Не в обиду тебе! Все что можно уже выжали и опять поднимать эту тему врятли стоит! Это мое мнение, если есть желание что то переделать и улучшить, по экспериментировать, я согласен помочь. Отдельный оконечник на 2хRD16 и 2хBFG591 у меня на столе! Вот и Сергей Integral здесь и может подтвердить по УМ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 342] Автор : R3DI Дата : 06.06.2017 22:13 RD3Q, Игорь, здравствуйте! Это да, желание по экспериментировать, "пощупать" и т.д.... главное не ухудшить :-P. Сейчас подумал, что те замеры входа 2хRD16 ни о чем т.к анализатор измеряет на малых напряжениях(mV), а по даташит Ку транзистора падает с увеличением входной мощности, получается и по входу будут совсем другие показатели. Нужно попробовать как в SW, но беда в том, что не могу понять на каком последнем варианте там остановились, мне попадались разные схемные решения PA и тем много и в интернете много, нужно все проштудировать. Выбрал в драйверы BFG591 из-за доступности в магазинах, а последняя схема SW, которая мне попалась в трансформаторе драйвера не имеет вторичной обмотки. Игорь, если Вы укажите мне мне направление, буду очень благодарен. С удовольствием попробую опробованный "выжатый" вариант и, если будет интересно, доложу о результате с картинками, вот только знать бы какой вариант пробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 343] Автор : amator Дата : 06.06.2017 22:23 схема SW, которая мне попалась в трансформаторе драйвера не имеет вторичной обмоткиИ именно так и получился наиболее качественный ВЧ сигнал. Раз драйвер двухтактный, то и брать надо непосредственно с коллекторов через RC цепи, и нужен лишь симметрирующий трансформатор без вторичной обмотки. С ней только портится равномерность АЧХ и форма сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 344] Автор : RD3Q Дата : 06.06.2017 22:33 R3DI, Евгений у меня SW2016VHF трансивер, посмотри эту ветку - там последняя версия на сегодняшний день схема УМ. Вот и сам автор amator подсказку дает! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 345] Автор : R3DI Дата : 06.06.2017 22:38 amator, спасибо. Завтра попробую, о результатах доложу. Для таких экспериментов в прошивке есть генерация тон- и двух-тонового сигнала, просто резисторы запаять много времени не займет, с утра и займусь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 346] Автор : R3DI Дата : 07.06.2017 13:49 Провел эксперимент. Проводил на одной частоте с одной и той же мощностью. Первая фотка - трансформатор мой BN43-202 3+3/6 вит, пиковое напряжение 40V (40*0,707)^2/50 = 16W видна компрессия сигнала (для работы SSB ограничиваю входную мощность до выходной 10-12W). Потом поставил трансформатор без вторичной обмотки( правда был готовый 8+8вит К10*6*5) и резисторы по 30 Ом - мощность упала, компрессия сигнала та же ( фото 2 )(и обратную связь поставил 2к2 вместо 750 Ом токи покоя по 500mA , потом выставил по 100mA компрессия сигнала стала меньше и мощность немного меньше). Третья фото - замер после резистора 30 Ом ( если по SW2016VHF R19-C16 ), сигнал хороший. Что посоветуете , попробовать запитать 2хRD16 через отдельный симметрирующий трансформатор? 264293264294264295 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 347] Автор : den69 Дата : 07.06.2017 16:18 Схема KX3. Очень интересно выполнен УМ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 348] Автор : R3DI Дата : 07.06.2017 16:55 Вот что происходит при напряжении 12V на стоках и на нагрузке 50 Ом (Рвх 50% и 100%). Увеличение числа витков во вторичной обмотке выходного трансформатора оконечника до 3 картины не изменило. 264298264299 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 349] Автор : LZ1AO Дата : 07.06.2017 17:12 R3DI, Что Вы хотите получить? Питание, мощность которую хотите получить? То что на первых 2 картинках выше в №346 - ужасно. Если компресия находится в нормальных рамках, т.е IMD меньше -26дБ, ее осцилоскопом трудно увидеть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 350] Автор : R3DI Дата : 07.06.2017 17:55 LZ1AO,Сергей, хочу понять что можно получить с 2хRD16 при напряжении питания 12,7V. Можно ли получить ~16W сигнал с хорошим качеством. Мало опыта в постройке PA. Добавлено через 14 минут(ы): Прошу прощения что немного не в тему, но был вопрос по китайскому программатору - проверил - работает (11J1 pin: 2(PA14)-SWCLK, 3(GND)-GND, 4(PA13)-SWDIO, 5(RST)-RST ). 264300 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 351] Автор : LZ1AO Дата : 07.06.2017 18:11 При Вашем питании, с трансформатором 50:12.5 Ом, 16W с хорошей линейности получаtся, даже с некоторым запасом.Я делал подобное, но с близнецом RD16HHF1 - RD15HVF1, без обратной связи, на входе трансформатор 1:1, с затворах транзисторов на землю по ВЧ - по 24 Oм на транзистор. работало все ето с 1.5 до 30MHz. Мощность драйвера, ЕМНИП, около 0.3W, к сожалению не нашел мои записки, давно ето было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 352] Автор : R3DI Дата : 07.06.2017 18:20 LZ1AO, а у меня вот не получается :-(... пост #348 первая картинка ~25V (25*0.707)^2/50=6.2W, ну может 7-8W и сигнал на стоках уже "упирается в землю", дальнейшее увеличение входной мощности приводит к картинке 2. Выходной трансформатор BN-43-3312 I-медные трубки, внутри II-2 вит, из картинок поста #348 вижу что происходит, но что с этим делать не знаю :cry:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 353] Автор : RD3Q Дата : 07.06.2017 18:29 R3DI,Евгений 16Вт при питании 12в получить можно, но линейность и хороший сигнал на выходе лучше будет при 24в питании. Любят они RD16 побольше напряжение, ну и ФНЧ не забываем! А может стоит отказаться от бинокля и сделать трансформатор на кольцах как в SW? Мне уже едет такой же программатор, дешево и сердито!Спасибо за ссылку! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 354] Автор : RV3DLX Дата : 07.06.2017 18:44 R3DI, наверно качество сигнала лучше всего характеризует не осциллограмма двухтонового сигнала, а картинка на анализаторе спектра, которая показывает уровень ИМД. Мой опыт показывает, что качественный сигнал с усилителя на RD16 при питании 12 Вольт с мощностью более 10 Ватт получить трудно (скорее всего невозможно). Вот сейчас посмотрел ИМД не самого моего плохого усилителя на RD16 при питании от 12-ти Вольт и получились значения ИМД: 3W-30dBm, 5W-25dBm, 10W-22dBm. Может быть у кого то лучшие результаты, но сомневаюсь. Юрий. P.S. Дешевенький китайский программатор прошивает STM контроллеры ни сколько не хуже чем Дискавери. Да еще хотел добавить, что ФНЧ после усилителя мощности очень полезны, они уменьшают уровень высших гармоник, но на линейность влияния не оказывают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 355] Автор : RK4CI Дата : 07.06.2017 18:45 Выходной трансформатор BN-43-3312 I-медные трубки, внутри II-2 вит,Сам объём феррита на котором выполнен трансформатор взят с хорошим запасом. Но нет ничего о других комплектующих. Например, есть ли в истоках симметрирующий трансформатор. Хотя, совсем не обязательно выполнять первичку медной трубкой. Это может быть 1+1 виток проводом ПЭВ. И 4 виточка на выход. Без цепей ООС, получить хорошую линейность, особенно при 12 вольтах питания, вряд ли получится. Те кто пишут обратное, просто никогда её не измеряли. И их представление о "высокой линейности" строится на хороших рапортах в эфире, не более. Может вы уже выкладывали схему применяемого УМ, но в последних сообщения её что то не видно. Поэтому, не совсем понятно что обсуждается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 356] Автор : R3DI Дата : 07.06.2017 19:35 RV3DLX, спасибо за ответ. Анализатора нет, только осциллограф, нагрузка подключена через ФНЧ. Вот и у меня получается ватт 10. Схема та что в начале темы и на ядиске, только 2хRD16 установлены. Включаю режим передачи 2х тонового сигнала, Рвх 0, на стоках 12.5В, начинаю увеличивать Рвх, колебания напряжения на стоках относительно 12.5В увеличиваются, при Рвх 60% колебания относительно питания на стоках достигают почти 0В, ясно что дальше некуда и будет только компрессия сигнала, что и видно на нагрузке и на фото 2 пост #348. Вот и получается ватт 8-10. Я так понимаю о большей мощности и речи быть не может при таком напряжении питания и 2хRD16? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 357] Автор : VICTORY Дата : 07.06.2017 19:43 Нужно питание на стоки подавать через отдельный дроссель, мимо выходного трансформатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 358] Автор : R3DI Дата : 07.06.2017 19:48 VICTORY, пробовал через симметрирующий трансформатор и потом выходной трансформатор конденсаторами отделял, картина такая же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 359] Автор : ua3ycv Дата : 07.06.2017 19:50 парни дайте ссылку на программатор-а лучше на первый пост прикрутить-это чтоб "личку не забивать". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 360] Автор : VICTORY Дата : 07.06.2017 19:55 Может транзисторы "левые". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 361] Автор : UT0UM Дата : 07.06.2017 20:02 программатор скорее всего такой (https://ru.aliexpress.com/item/Hot-Sale-1PCS-ST-LINK-Stlink-ST-Link-V2-Mini-STM8-STM32-Simulator-Download-Programmer-Programming/32343514985.html?spm=2114.13010608.0.0.4bAq9n) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 362] Автор : RD3Q Дата : 07.06.2017 20:09 ua3ycv, личка для этого и нужна, а не тему забивать. Держите https://ru.aliexpress.com/item/ST-Link-V2-stlink-mini-STM8STM32-STLINK-simulator-download-programming-With-Cover/1956977845.html?spm=2114.13010608.0.0.om8WKw ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 363] Автор : LZ1AO Дата : 07.06.2017 20:35 R3DI, из любительских конструкции, которые повтарялись многими, самое близкое к тому о чем я говорил вот ето: https://www.tapr.org/pdf/PennyWhistleManual.pdf Трансформатор на выходе можно сделать и по иному. Я ползовался трансформатором, где первичная из медной оплетки надевается туго на 4 свитые попарно провода МГТФ 0.35. Делаем 2 витка, соединяем пар в серии и получаем 2 к 4 витка. Оплетка, разумеется нужно обмотать стеклолентой. Ферит у меня 4C65, u=125, иногда ползуюсь или болгарским материалом с u=300, которого делали по нашему заказу. По моему, 4C65 и материал типа 61 Micrometals, которого легче покупить - еквиваленты. Описанная конструкция позволяеть сделать вывод в середине первичной обмотки, так что в некоторых случаях можно обойтись и без допольнителного симетрируючего трансформатора. Недостаток - выводы и первичной и вторичной обмотке находятся с одной стороне ферита. Такая конструкция позволяеть делать и трансформаторы с дробным коеффициентом. Я в носимой 10W КВ радиостанции пользовался трансформатором 50/22.2 Ом, в другой (130W/26V) - 50/8 Ом. По поводу того кто что зачем когда измерял, скажу что у меня работа такая, что измерительние приборы, которые могуть понадобятся за измерении всякого до нескольких GHz и нескольких килловат - всегда в наличии. Никого не призываю пользоватся или не пользоватся обратной связи. Есть достаточно аргументов и за и против. Могу рассказать поподробнее, но не в етой ветке. Если интересно, на форуме VE7KF Игорь1977 показал некоторье весьма показательные картинки и цифры с его текущего експеримента с RD70HHF. У вас трансформатор с одновитковой обмотке с трубками, как описали, так что настоятельно советую поставить и отдельний симметрирующий трансформатор между транзисторах и подать питание через него. При вашей одновитковой конструкции магнитная связь между двух половин первичной обмотки практически отсуствует. Посоветую еще, пока уточняете возможности выходной части усилителя, уберите обратную связь, оставьте ее в покое пока.Поставьте временно 2Х24 Ом и трансформатор 50/50 на входе, или даже 2Х6.8 и трансформатор 50/12.5 Ом, как я рассказал и пострайтесь достичь уровень мощности, которий Вам требуется. Потом можете восстоновить обратную связь и поигратся. Если полоса Вашего осциллоскопа позволяеть , сделайте все первые шаги в режиме CW, наблюдая форму напряжении на стоках. Она весьма показательна. Посмотрите еще, какой трюк делають с помощью серийних диодов в цепи преднапрежения в усилителях с RD100HHF в почти всех трансиверов последных лет. Реализация там - частичное автосмещение за счеть входного ВЧ напяжения. Очень помагает. Если у вас есть сомнения о оригинальности транзисторов их можно весьма грубо оценить, измеряя емкость затвор-исток. У RD16HHF1 она около 40-50pF. Если замер показал намного менше, у Вас - наверно перемаркированний RD06HHF1. Видел такое уже. Если замер покажеть намного больше, ето вероятно перемаркированний ключевой транзистор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 364] Автор : UR3IQO Дата : 07.06.2017 22:19 на форуме VE7KF Игорь1977 показал некоторье весьма показательные картинки и цифры с его текущего експеримента с RD70HHF. Не, у него RD70HVF1, на RD70HHF1 у меня http://neon.skydan.in.ua/PA.php -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 365] Автор : amator Дата : 08.06.2017 00:15 Я ползовался трансформатором, где первичная из медной оплетки надевается туго на 4 свитые попарно провода МГТФ 0.35. Делаем 2 витка, соединяем пар в серии и получаем 2 к 4 витка.Я тоже в свое время намучился с биноклями. Сложно, не технологично и плохо повторяемо. Перешел на кольца и забыл это. Симметрирующий в 2 провода, и выходной в 3 провода сложенные в параллель. При 21 вольт питания 60 ватт легко. И ничего не греется. При 13.8 18 ватт без ограничения амплитуды. В диапазонах 7-14 до 20 ватт без ограничения. Дальше есть завал, из-за не оптимальности вторичной обмотки для ВЧ. Поэтому от 14 и выше включен конденсатор последовательно вторичке. Связь между обмотками в этом случае происходит за счет индуктивной связи между витками. А сердечник нужен лишь для получения достаточной индуктивности для НЧ диапазонов. Я применяю N87 проницаемость 2200, диаметр колец 12. 5 мм. Для 60 ватт этого с головой хватает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 366] Автор : Radiotester Дата : 08.06.2017 01:25 amator, N87 проницаемость 2200 -это амидоновские кольца? Нашенское подойдёт что? А если будут 4шт rd16 какое колечко применить? Там уже при 21-24в питалова сотенку ватт срубить можно же..? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 367] Автор : amator Дата : 08.06.2017 01:31 N87 проницаемость 2200 -это амидоновские кольца? Нашенское подойдёт что?Это Epcos N87. Покупаем тут; http://ferrite.com.ua/ Но думаю пойдут любые проницаемость 2000 и выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 368] Автор : Radiotester Дата : 08.06.2017 01:37 Это Epcos N87. Покупаем тут; http://ferrite.com.ua/ Но думаю пойдут любые проницаемость 2000 и выше. Тоесть 2000 и выше это НН ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 369] Автор : amator Дата : 08.06.2017 01:45 Тоесть 2000 и выше это НН ?Да. HM кажись проводящий сердечник, его желательно чем то обматывать перед на намоткой. Можно тестером проверить на килоомах. Хотя в данном случае может и не существенна эта утечка между витками, если продавится провод местами на кольцо. В Epcoc края круглые, и мотается легко. Да и сердечник покрыт защитным слоем. Но главное должна быть достаточная индуктивность для НЧ. Поэтому 2000 и выше надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 370] Автор : Wall Дата : 08.06.2017 03:50 При 21 вольт питания 60 ватт легко. Можно посмотреть форму сигнала с такой мощностью? Более 35 ватт (размах около 120В) мне получить не удалось. Уже с такой мощностью становится заметно ограничение сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 371] Автор : alex_m Дата : 08.06.2017 09:01 первая картинка ~25V (25*0.707)^2/50=6.2W мощность по амплитудному значению Um удобнее считать так: P = Um2 / (2 * Rн). Для Rн=50 Ом, получаем P = Um2 / 100. Т.е. для 25 В: P = 252 / 100 = 625 / 100 = 6.25 Вт Меньше операций и точнее результат :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 372] Автор : sergdoc Дата : 08.06.2017 09:09 удобнее считать так ещё вариант подсчёта http://www.f1cd.ru/soft/base/mini_db-calculator/mini_db-calculator_132/ По моему удобней. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 373] Автор : alex_m Дата : 08.06.2017 09:12 Вот и получается ватт 8-10. Я так понимаю о большей мощности и речи быть не может при таком напряжении питания и 2хRD16? на мини SW2016 такой же результат. На двухтональнике мощность выше 8 Вт не тянет - искажения начинаются. Тоже 2 x RD16, питание 13.8 В. Так что возможно это предел для RD16. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 374] Автор : sergdoc Дата : 08.06.2017 09:14 это предел для RD16. Говорят,что RD16-м больше нравится 24в -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 375] Автор : alex_m Дата : 08.06.2017 09:36 По моему удобней. онлайн вариант калькулятора: https://www.eeweb.com/toolbox/rf-unit-converter -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 376] Автор : LZ1AO Дата : 08.06.2017 12:37 http://www.qsl.net/in3otd/electronics/LDMOS_models/RD16HHF1/RD16HHF1.html Mодель RD16HHF1. И не только она. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 377] Автор : R3AZ Дата : 08.06.2017 13:34 to alex_m Зачётный сигнал у Вас на аве:)) похож на дисбаланс в сигналах I/Q. Мне с самодельного sdr на максимальной громкости доводилось получить ещё страшнее (https://yadi.sk/i/jUdTnf4nftzXv)...)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 378] Автор : uu0jr Дата : 08.06.2017 16:46 На просторах интернета нашел результаты замеров лабораторией ARRL Elecraft Kx3, выходной каскад у него весьма похож на обсуждаемый Прямой линк на пдф замеров http://kx3-se.deimert.se/Elecraft-KX3_review-QST-dec-2012.pdf Приаттачиваю схему выходного каскада Kx3 и вырезку из замеров ARRL. IMD3 в худшем случае намеряно -30db на одном диапазоне, все остальные диапазоны значительно лучше. Это все при питании 11-15в и реальной выходной мощности до 12 ватт в диапазоне до 30 МГц Мои личные замеры ПА на OPA2674 + 2xRD16hhf1 при 13.5в питания дают те же цифры. Попытка снять 15-18 ватт при 13.5в вполне реальна, но сильно ухудшает IMD3. Для работы с одного трансивера это допустимо, но как по мне, не феньшуйно И есть еще момент нагрева. Личный опыт работы в телеграфных тестах на кх3 говорит что при выходной мощности более чем 7 ватт Kx3 перегревается минут за 15-20 и уходит в защиту по теплу. И это при точном согласовании встроенным тюнером Kx3. При КСВ даже 1.5 все греется еще быстрее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 379] Автор : RV3DLX Дата : 08.06.2017 17:18 Да получить мощность с двух RD16 при напряжении 13,5 Вольт нет никаких проблем. Несколько лет назад UT2FW описывал свой усилитель (и сейчас о нем можно прочитать на его сайте http://www.ut2fw.com/node/443), при некоторой настройке он получал до 40-ка Ватт, я делал такой усилитель и сам проверял, все именно так и получалось. Но мощность мощностью, а вот линейность при этом хорошую ну никак не получить. А нам это надо?:-P Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 380] Автор : R3DI Дата : 08.06.2017 17:38 Приветствую! Сегодня был на рынке прикупил BFG591, колец К20 2000НН и К20 К12 N87. Сразу стал пробовать с N87 20 мм. Сначала оставил свой выходной на BN и поставил симметрирующий трансформатор на N87, картина та же. Потом и выходной трансформатор сделал на N87, то же самое. При всех вариантах пробовал добавлять емкости параллельно первичке вых. тр. 333-2000 пик. /2kV и устанавливать разделительные конденсаторы для выходного трансформатора. Померил емкости затвора RD16 - 50 pF. Теперь вопросов стало еще больше - а как вообще это должно работать? Прошу сильно не пинайте, лучше подскажите, но мысли следующие... Вот есть 12В , двух-тактная схема оконечника, трансформатор 1:2 по напряжению (1:4 по сопротивлению ) и нагрузка 50 Ом. Ранее трансформатор проверял, именно при соотношении витков 1:2 достигается максимальная мощность на нагрузке на 50 Ом. И теперь мои эксперименты словно по ТОЭ. Сигнал на выходе имеет хорошую форму до тех пор, пока «нижний горб» двух-тонового сигнал на стоке транзистора не начнет упираться в «землю», далее компрессия сигнала (пост#348 ). И вот как по ТОЭ, (2*12*0,707)^2/50=5,76W (7,62W@13,8V). Интересно то, что это согласуется с datasheet на транзистор, на вход ему достаточно милливатты. Получается вопрос к транзисторам, смогут они обеспечить 5,76W или нет, а дальнейшее увеличение входной мощности приводит только к компрессии сигнала. Если выходное моточное изделие все же трансформатор, а не дроссель, то каким образом на нем будет большее напряжение. Вот отношение витков 1:3, напряжение утроилось, но согласованное сопротивление теперь 112,5 Ом - (3*12*0,707)^2/50=5,76W та же мощность. В книге «Импульсные источники питания» сказано, что трансформатор остается трансформатором пока используется примой ход и отношение напряжений соотв. отношению числа витков, как только используется обратный ход то это уже дроссель и расчеты там другие. Но схема РА двух-тактная там нет обратного хода или я чего-то не понимаю...Но вот IC-718 100Вт, и там в первичке выходного трансформатор есть конденсаторы, может это уже не трансформатор (имею ввиду где U1/U2=N1/N2)? В общем полная каша в голове, господа просветите, может ссылки на статьи толковые ( для 100Вт/50ом нужно 70.7В, а трансформатором 1:2 ну ни как не получить с 12 В)... PS Тут и ранее речь идет о проверке 2х-тоновым сигналом. При подаче тона(синуса), при полной входной мощности на оконечник на нагрузке 50 Ом через ФНЧ - 29 Vrms / 16.8W при при питании 12,7V ( .. но толку то...). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 381] Автор : Genadi Zawidowski Дата : 08.06.2017 18:10 При усилении двухтонового сигнала возможно ухудшение качества сигнал по сравнению с исходным. Отношение мощности побочного сигнала и одного из двух основных на выходе и есть критерий линейности. Вот на картинке - выход ЦАП передатчика с соотношением лучше чем 80 дБ. Осталось бы хоть 40 на выходе... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 382] Автор : R3DI Дата : 08.06.2017 18:35 ..... но согласованное сопротивление теперь 112,5 Ом - (3*12*0,707)^2/50=5,76W та же мощность..... Опечатка - (3*12*0,707)^2/112,5=5,76W -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 383] Автор : alex_m Дата : 08.06.2017 19:02 для 100Вт/50ом нужно 70.7В если такие сигналы будете осциллографом смотреть, не забудьте что в стандартных щупах 1:10 лимит напряжения 50 В на 1 МГц и снижается до 25 В на 30 МГц. На 70 В щуп спалить можно :smile: Для больших амплитуд можно на али приобрести высоковольтный щуп 2 кВ 1:100. У них предел до 200 В на 1 МГц и 100 В на 30 МГц. А заодно и емкость низкая - 6 пФ, меньше влияние оказывает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 384] Автор : LZ1AO Дата : 08.06.2017 19:32 R3DI, Откуда взялись ети 112.5 Ом? Если у вас стоит трансформатор с соотношение витков 1:2, то нагрузка транзисторов будеть 12.5 Ом, с 1:3 - 5.55 Ом. Кондензаторы на обоих сторон трансформатора - ето компенсация индуктивности разсеивания трансформатора. Она, вместе с кондензаторов и выходной емкости транзисторов образуеть ФНЧ, с частотой среза на 25-30% выше максимальной рабочей частоты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 385] Автор : R3DI Дата : 08.06.2017 19:39 LZ1AO, наоборот считал что каскад 12.5 ом, при трансформаторе 1:2 согласованная нагрузка 50 ом ( 12.5*4), при 1:3 112.5 ом ( 12.5*9 ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 386] Автор : uu0jr Дата : 08.06.2017 19:49 Опечатка - (3*12*0,707)^2/112,5=5,76W Нет, не очепятка. Выход всегда 50 ом. Только теперь не 5,76 а 12,95W -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 387] Автор : R3DI Дата : 08.06.2017 19:52 uu0jr, при 1:3 50 теперь не согласованная нагрузка, проверял мах мощность была на 100 омном резисторе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 388] Автор : uu0jr Дата : 08.06.2017 19:59 при 1:3 50 теперь не согласованная нагрузка, проверял мах мощность была на 100 омном резисторе. Опишите плз конструкцию выходного трансформатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 389] Автор : LZ1AO Дата : 08.06.2017 20:03 R3DI, Нагрузка - ето внешний елемент, которого Вы подключаете на выходе. Если она 50 Ом, то с стороны транзисторов будеть 50/4=12.5 или 50/9=5.55, соответственно при соотношении витков 1:2 или 1:3. При 100 Ом нагрузки и трансформатор 1:3 (1:9 по сопротивления) у Вас нагрузка получилась 100/9=11.1 Ом. Если возможно, сделайте измерения трансформатора отдельно.Прибор для етого у вас в наличии. Подключайте 12.5 или 5.5 ом с нискоомной сторене и смотрите что получается. Лучше - каскадировать два трансформатора и проверить импеданс (или КСВ) и коеффициент передачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 390] Автор : R3DI Дата : 08.06.2017 20:19 uu0jr, посмотрите пожалуйста тему с поста #335 5TR1, разные пробовал, сейчас с телефона затруднительно описывать, да и вагон качает еле в буквы попадаю :-P. Добавлено через 14 минут(ы): LZ1AO, все верно, если мой каскад внутреннее сопротивление 12.5 ом, при тр-ре 1:3 12.5*9=112.5 ом, но у меня под рукой был на 100 ом. Завтра все проверю еще раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 391] Автор : LZ1AO Дата : 08.06.2017 20:27 Неправильно ето, представлят себе усилитель как генератор с определенным внутренным сопротивлением. Посмотрите на выходные характеристики транзистора, он же генератор тока, управляемый напряжением затвора. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 392] Автор : uu0jr Дата : 08.06.2017 20:29 Посмотрел. При медных трубках в бинокле 3312 в качестве первичной обмотки и подаче питание в среднюю точку у вас трансформатор не 1:2 или 1:3. Да и индуктивность первички маловата получается. В таком конструктиве получается 0.5:2 или 0.5:3. Оба варианта далеки от оптимальных. Сделайте первичку как 1+1 виток МГТФ 0.35. Соедините последовательно их (начало с концом) , в эту точку подайте питание. К двум оставшимся концам подключите транзисторы. Вторичку пробуйте и 2 витка и 3 витка. Уверен, что результат получите как в учебнике пишут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 393] Автор : R3DI Дата : 08.06.2017 20:36 uu0jr, при варианте питания стоков через симметрирующий тр. в выходном тр. средней точки не было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 394] Автор : uu0jr Дата : 08.06.2017 20:49 при варианте питания стоков через симметрирующий тр. в выходном тр. средней точки не было В моих экспериментах от такого включения толку тоже не было. Думаю из-за малой индуктивности первички. Я остановился на ранее мной описаном - 1+1 первичка и 3 витка вторичка, питание в среднюю точку первички. Обратите внимание на схему от элекрафтов - выходной транс сделан как ШПТЛ, это самый лучший вариант. И самое интересное у них это переключение коэффициента трансформации релюшкой на выходе, очень элегантно получилось. Переключают релюшку они в зависимости от установленной мощи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 395] Автор : LZ1AO Дата : 08.06.2017 21:26 uu0jr, Трансформатор 3 к 2 витка, ето трансформация с 50 к 22.22Ом. Мне кажется автор говорил о 12.5. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 396] Автор : uu0jr Дата : 08.06.2017 21:57 Трансформатор 3 к 2 витка, ето трансформация с 50 к 22.22Ом. Это заблуждение. Каждый транзистор работает поочередно и каждый транзистор работает на ОДИН виток Это множество раз обсуждалось в куче разных тем по усилителям. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 397] Автор : RK4CI Дата : 08.06.2017 22:38 Опечатка - (3*12*0,707)^2/112,5=5,76WПо моему, вы считаете абсолютную ерунду. Во первых, вы никогда не сможете использовать напряжение источника питания на 100%. На транзисторе, всегда должно быть остаточное напряжение, при котором ему придётся обеспечивать необходимый импульс тока. В лучшем случае, остаточное на транзисторе около 2 В, и амплитуда импульса около 10 В. Но на стоке КАЖДОГО транзистора. Поэтому, гораздо проще вести рассчёты именно для одного транзистора, но помня, что вторую половинку синусоиды "дорисует" транзистор противоположного плеча. И ещё. У вас широкополосный каскад, в котором отсутствуют резонансные элементы, а стало быть, энергии просто негде запасаться. Поэтому, если у вас выходной каскад работает в режиме класса В, то должна обеспечиваться прямая трансформация мощности от каждого из транзисторов в нагрузку. Например, при бинокле с одним витком в первичной обмотке, это условие не выполняется. Ведь бинокль, это просто два столбика колец, расположенных рядом. Когда вы подаёте питание в середину обмотки, вы имеете два трансформатора расположенных рядом, при этом импульс тока подаётся то на один трансформатор, то на другой. Если в схему добавляется симметрирующий трансформатор, то импульс тока каждого из транзисторов трансформируется дважды. Вначале напряжение повышается вдвое симметрирующим трансформатором, а затем ещё вдвое биноклем, конечно, если в нем один виток первички, и два в нагрузку. При этом, на нагрузке амплитуда напряжения вчетверо выше, чем на стоке каждого из транзисторов. 10 В на стоке, 40 В на нагрузке. Что на 50 омах составит 32 ватта. Но это значение на пиках синусоиды. Действующее значение вдвое ниже, то есть 16 ватт. Фактически же, каждый из транзисторов "рисует" только одну полуволну сигнала. Отдавая в нагрузку 8 ватт мощности. Для нас важно, что бы обеспечивалась прямая трансформация импульса тока каждого из транзисторов в нагрузку. Для вас самое простое, выполнить первичку 1+1 виток, а вторичку, 4 витка. При этом, каждый из транзисторов будет работать на нагрузку около 3 ом. (1 виток в стоке транзистора, 4 витка к нагрузке 50 ом). При этом, импульс тока каждого из транзисторов составит чуть более 3 А. RD 16, обеспечит это без проблем. Он сможет обеспечить и гораздо больший импульс тока. Но ведь нам, кроме мощности нужна ещё и линейность. А вот с этим, при малом остаточном напряжении на стоках большие проблемы. Внизу табличка зависимости импульса тока транзистора от напряжения на затворе, и остаточного напряжения на стоках. Относительно линейным этот импульс будет оставаться до напряжения на стоках около 4 вольт. Поэтому, без цепей ООС, для обеспечения хорошей линейности, при напряжении питания 12 В, амплитуда напряжения на стоках не должна превышать 8 вольт. А это, около 10 ватт. При достаточно глубокой ООС, эта циферка может быть достаточно существенно улучшена. Но у вас и предварительные каскады выполнены не слишком грамотно. Кроме того, нет данных о том, как выполнены трансформаторы между каскадами. А у вас, напряжение между затворами выходных транзисторов должно быть 8-10 вольт, при токе 50-100 мА. А это, мощность пред оконечного каскада до 0,5 ватта... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 398] Автор : R3DI Дата : 08.06.2017 23:52 RK4CI, добрый вечер. Эта опечатка относилась к посту #380 после строк "...Прошу сильно не пинайте, лучше подскажите, но мысли следующие..." мало опыта в данном вопросе, попросил прояснить. Полностью 100% не используется, действительно остаток около 2В видно на фото #348. Последние эксперименты были с элементами по схеме SW2016VHF, симметрирующий трансформатор на N87 и выходной в три провода 5 и 5+5 витков на N87, да и остальные элементы и трансформаторы по той же схеме. Да и с другими трансформаторами картина была той же, а именно той, что Вы и описали. Мощность на нагрузке 50 Ом после ФНЧ 16.8W значение синусоиды, а вот проверка двух-тоновым сигналом менее 10 ватт (6-8 наверное). Николай, спасибо за разъяснения. Попробую вариант выполнить первичку 1+1 виток, а вторичку, 4. Если получу 16/10 на этом и остановлюсь, потому как теперь стало ясно откуда эти цифры берутся и к чему стремиться. Еще раз спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 399] Автор : Wall Дата : 09.06.2017 01:33 У меня лучший результат был с 1+1 и 3 витка вторички. Это при питании 24В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 400] Автор : RK4CI Дата : 09.06.2017 14:27 Это при питании 24ВПри 24 вольтах питания будут совершенно другие результаты и по мощности, и по линейности, и по КПД. При остаточном напряжении на стоках 4 вольта, неискажённая амплитуда напряжения будет достигать 20 вольт, и при нагрузке в стоках 5,5 ома, импульс тока каждого транзистора будет достигать 4 А, а это, под 40 ватт неискажённой мощности. Если получу 16/10 на этом и остановлюсь, потому как теперь стало ясно откуда эти цифры берутся и к чему стремиться.Вообще то, если аппарат стационарный, то получение мощности даже 10 ватт, при 12 В питания, и при хорошей линейности, задача достаточно сложная. Те же RD 16, при питании 22-24 вольта, обеспечат гораздо лучшие результаты по линейности. А 12 вольт, это для мощностей не более 5 ватт. Другое дело, если это аппарат предназначенный для работы в поле... Но у вас есть ещё туз в рукаве. У вас ещё есть возможность ввести пред искажения в формируемый сигнал. По моему, именно поэтому, многие СДР трансивера и не особо цепляются к уровням ИМД. У них, -20-26 дБс по линейности, лёгким движением руки, легко могут превратиться в -40 и лучше. Что для аналогового тракта, весьма трудно достижимо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 401] Автор : rn6xc Дата : 09.06.2017 14:37 У вас ещё есть возможность ввести пред искажения в формируемый сигнал. А ресурса хватит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 402] Автор : alex_m Дата : 09.06.2017 14:49 Вообще то, если аппарат стационарный, то получение мощности даже 10 ватт, при 12 В питания, и при хорошей линейности, задача достаточно сложная. так что, на RD16 при питании от 13.8 В больше 5 Вт и IMD лучше -10..-20 dBc не получить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 403] Автор : RK4CI Дата : 09.06.2017 15:00 от 13.8 В больше 5 Вт и IMD лучше -10..-20 dBc не получитьЭто почему? Не менее 10 ватт. При ИМД под -30. Но при достаточно глубоких цепях ООС, и некотором завале КПД. Просто при более высоком напряжении питания, получаются гораздо лучшие результаты, и почти без проблем А ресурса хватит. Ну а это, уже не ко мне. Я как то больше по аналоговой части специализируюсь. Программным обеспечением пользуюсь тем, что пишут другие. Но по моему, если хотите иметь нормальную передачу, то стоит этот момент предусмотреть. Может даже отнять часть ресурсов у приёмника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 404] Автор : R3DI Дата : 09.06.2017 15:23 Сегодня поиграл с разными вариантами трансформаторов , ООС и токами покоя. Как ни крутил, все одно и тоже (при 12,7В). В итоге оставил вариант как у меня в схеме изначально, только связь драйвера с оконечником сделал как в SW ( через резисторы 20 ом, не 33, нет под рукой) и уменьшил резисторы в ООС оконечника до 120 ом, токи покоя по 400 мА. Из приборов только осциллограф и тестер, как проверить ИМД не знаю, вот зашел на сайт http://rd3adc.ru выставил фильтр 0,33кГц и дал двух-тоновый сигнал, основные (полоски на водопаде) -65dB по S-метру, боковые -89dB, эти значений у меня на 50%( выше хуже, ниже лучше), осцил. показывает Umax 28,5V (ксв 1), это (28,5*0,707)^2/50= 8,1W верно ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 405] Автор : alex_m Дата : 09.06.2017 15:46 Из приборов только осциллограф и тестер, как проверить ИМД не знаю сколько памяти в осциллографе? записать выборку с двухтональником в RAW файл можете? Если скинете файл с сырыми данными, желательно на 50-100 MS/s, помогу разложить на спектр. Чем длиней выборка, тем выше разрешение. Желательно записать мощность в момент записи, чтобы можно было привязаться к абсолютным значениям. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 406] Автор : R3DI Дата : 09.06.2017 15:50 сколько памяти в осциллографе, записать выборку с двухтональником в RAW файл можете? Tektronix TDS2014, и нету интерфейсов. Хотел с сайта запись сделать, а он мне "Your browser does not seem to support downloading the recording; please try a recent version of Firefox, Chrome or Opera." хотя в Хроме слушаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 407] Автор : RK4CI Дата : 09.06.2017 15:53 осцил. показывает Umax 28,5V (ксв 1), это (28,5*0,707)^2/50= 8,1W верно ?Всё правильно. При тех значениях уровня ИМД что вы указали -65 дБм для основного сигнала, и -89 для продуктов ИМД, линейность вашего каскада составляет -30 дБс, относительно пика сигнала. Буржуи, очень любят красивые цифры, и поэтому указывают линейность, относительно пиковой мощности. Два тона по -65 дБм, это -59 дБм пиковой мощности. -59 дБм - -89 дБм = -30 дБм относительно пика сигнала. Это уже относительно неплохо для 12 вольтового питания. У вас, стоило бы ещё заняться и пред оконечником. В вашей схеме отсутствуют резистора в эмиттерах транзисторов. А это, резко снижает их термостабильность, да и линейность. Можно ещё больше увеличить глубину ООС в выходном каскаде, но это, потребует большей мощности раскачки от пред оконечника. Критичными становятся и требования к его линейности. В нём достаточно велики остаточные напряжения на коллекторах, но при небольшой глубине ООС его линейность может оказаться недостаточной. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 408] Автор : R3DI Дата : 09.06.2017 16:10 ..ещё больше увеличить глубину ООС в выходном каскаде... Сейчас при 120 Ом ООС в оконечнике на затворах Umax чуть более 4V, а в драйвере перед резистором 20 Ом около 7В, наверное не стоит больше нагружать драйвер в такой схеме на BFG591. Сегодня нашел что можно купить RD00HHS1 может стоит на них попробовать драйвер ? записать выборку с двухтональником в RAW файл можете вот, а все-таки могу wav с сайта записать, если нужно скажите что там наговорить может и 2-тон может на разных уровнях по-подавать ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 409] Автор : alex_m Дата : 09.06.2017 16:11 Tektronix TDS2014, и нету интерфейсов. Зачем интерфейсы. На флешку запись должна быть. В меню чтото вроде Save, тип RAW или BIN. В Acquisition выбрать один канал и максимально длинную память. Выставить горизонтальную развертку, чтобы частота сэмплирования была в 4-6 раз выше чем несущая. Вертикальную развертку подстроить чтобы размах на уровне 80-90% был. Сделать одиночную выборку, после чего зайти в меню Save и сохранить. С Tektronix дела не имел, поэтому подсказать тут не могу. У меня отдельная кнопка Save есть. Ее когда нажимаешь, можно выбрать формат для записи и сохранить на флешку... в момент записи запомнить значение размаха сигнала в вольтах и записать частоту сэмплирования осциллографа все-таки могу wav с сайта записать, если нужно скажите что там наговорить может и 2-тон может на разных уровнях по-подавать ? двухтональник подстройте, чтобы визуально минимальные искажения были и такой сигнал запишите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 410] Автор : R3DI Дата : 09.06.2017 16:15 На флешку запись должна быть Нету, только 220 и BNС , есть порт для платы расширения что ли, но такой платы у меня нет. Вот такой у меня http://www.astena.ru/tds-2014.html Вот mp3, запись 10% - пауза - 25% - пауза - 50% - пауза - 80% - пауза - 100%. 264393 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 411] Автор : alex_m Дата : 09.06.2017 16:26 Нету, только 220 и BNС , есть порт для платы расширения что ли, но такой платы у меня нет. Вот такой у меня http://www.astena.ru/tds-2014.html Плохо... а с интерфейсом запись поддерживается? Если вы про запись с сайта websdr, не уверен что из этого получится. Никогда так не пробовал, но мысль интересная - надо поэкспериментировать... :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 412] Автор : R3DI Дата : 09.06.2017 16:37 мысль интересная - надо поэкспериментировать ... не успел, в пост #410 mp3 прикрепил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 413] Автор : LZ1AO Дата : 09.06.2017 16:55 Хочу добавить к тому, что RK4CI написал: При разчетах, даже на пальцах, нужно учитывать что нагрузка будеть всегда неидеальной. Вполне возможно, например КСВ 1.5 и более. Ето означаеть, что нагрузка находится где-то диапазоне с 37.5 до 75 Ом, да и еще с неизвестной реактивности. Обезпечить линейность и постоянство мощности в таких условиях несколько сложнее, чем на номинальной нагрузке. О использовании RD16HHF1 с повышенном питании. Для RD16HHF1 VDSS max в каталоге написали 50V, a в первых версиях - несколько другая цифра - 60V. Из за то, что когда уведиле ето изменение у нас шла в производстве серия из 6000 штук, в которой пользовались RD16HHF1, правда в ключевом режиме класса Е, при том с АМ в стоке, до 98%, что равносильно подачи удвоенного питания, решили проверить. Измерялись около 200 произвольно взятых транзисторов етого типа. В статическом режиме пробивають на 62-65V, некоторые доходять до 72V. В динамическом режиме, напряжение пробива несколько выше. К сожалению все ето при 25 градуссов. С нарастанием температуры кристалла пробивное напряжение понижается. При температуры кристалла более 100 градуссов, измерялoсь до 130 градуссов, снижается не мало и крутизна при больших токов стока. Matsuchita скромно пропустила показать, что произходит при температуре кристалла больше 75 градуссов, так что пришлось измерять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 414] Автор : UR3IQO Дата : 09.06.2017 17:02 R3DI, сделайте простейший ППП - смеситель на встречно-параллельных диодах, КГ в качестве гетеродина и подайте сигнал на звуковуху в компьютере. На компьютере запускаете любую программу спектрального анализа (например, Spectrum Lab от DL4YHF, она бесплатная), настраиваетесь трансивером килогерц на 10..20 выше (или ниже) частоты настройки вашего измерительного приемника и смотрите картинки с ИМД :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 415] Автор : alex_m Дата : 09.06.2017 17:23 не успел, в пост #410 mp3 прикрепил. Полоса приемника узковата... Судя по спектру, двухтональник 1266+1735 Гц? Или это у трансивера опорник немного ушел и частоты на 515 Гц сдвинуты? Вот что получилось (взял последний тон в записи): 264405 мне кажется мп3 мог покорежить спектр, так что не уверен, можно ли этому верить :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 416] Автор : R3DI Дата : 09.06.2017 17:37 двухтональник 1266+1735 Гц? Тональник Тон.CW + Тон.CW/3 ( .... опа! )) а хотетл тон.cw+ (тон.cw+тон.cw/3), типа 700 и 933, - а сейчас 700 и 233), частоту выставил так, что бы середина тональника в середине фильтра была, те замеры (если можно так назвать) о которых раньше писал это при 50%. Скажите пожалуйста, вот разница с картинке в dB - в dBc нужно как то пересчитывать и как вести оценку? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 417] Автор : alex_m Дата : 09.06.2017 17:42 Скажите пожалуйста, вот разница с картинке в dB - в dBc нужно как то пересчитывать и как вести оценку? Спасибо. dBc - это относительно несущей (dB carrier), в данном случае максимум тональников выбран за 0 дБ, т.е. значения на шкале соответствует dBc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 418] Автор : R3DI Дата : 09.06.2017 17:47 максимум тональников выбран за 0 дБ, т.е. значения на шкале соответствует dBc Вот что получилось (взял последний тон в записи): Т.е. -20dBc и это 100% ~13.5 W сейчас ( мне казалось что уже при 50% не очень ), получается нормально? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 419] Автор : alex_m Дата : 09.06.2017 17:53 получается нормально? ну тут какбы многовато промежуточных звеньев - sdr приемник плюс мп3... Если на них искажений спектра не было, то получается что -20 dBc... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 420] Автор : RK4CI Дата : 09.06.2017 18:05 Т.е. -20dBcОтносительно пика сигнала, -26 дБс. Это допустимый параметр для самой низкой ценовой категории импортных трансиверов. 100 %, это сколько по мощности? Думаю, стоит чуть снизить для работы в телефоне. Хотя, при правильной схеме, и определённом терпении, у кого то может получиться и получше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 421] Автор : R3DI Дата : 09.06.2017 18:17 RK4CI, 100% у меня это чуть больше 13.5W, уже убег от трансивера, точнее сейчас не скажу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 422] Автор : Genadi Zawidowski Дата : 09.06.2017 18:19 Не улучшайте показатели... Сумма мощностей на три децибелах бтльше, но смотрим от пика одного из тонов... Двадцать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 423] Автор : user12 Дата : 09.06.2017 18:48 Если подходит такое измерение то вот что получается при выходной мощности 10 ватт с трансивера подобного класса M0NKA. На выходе 10 ватт. Выходные трансформаторы на эпкос N87. В драйвере пара BFG135. В драйвере трансформатор на кольце 7мм в три провода. Первая + вторая обмотка в коллектора а средняя точка питание. Третья обмотка в затворы полевиков. Пара RD16 потребляет 1,2-1,3А при 12 вольт. Весь трансивер 1,5-1,6А в зависимости от диапазона. Параметры получались лучше если увеличить ток покоя транзисторов. В монке схемотехника не позволяет у меня выставить больше 500 мА. В меню на всю накручено. Пробовал разорвать цепь установки тока покоя и выставить ток покоя обычной крутилкой до 1,5А на пару транзисторов. До 38дБ можно получить. Причём при 5 ватт особо не заметно а при 10 уже хорошо видно. Зависимость чем больше на выходе мощность тем больше ток покоя для снижения искажений. Остановился на 32 +- как вполне допустимых. Потому что радио мелкое и греется при таком токе не слабо. Радиатора нет совсем. 264407 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 424] Автор : alex_m Дата : 09.06.2017 18:54 Если подходит такое измерение то вот что получается при выходной мощности 10 ватт с трансивера подобного класса M0NKA. а можете сделать запись в WAV файл? HDSDR при записи всю полосу что на экране вроде пишет, так что лучше поуже полосу поставить, а то файл сильно большой получится. Интересно сравнить... :roll: Выложить лучше на google drive, на яндекс доступа нет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 425] Автор : LZ1AO Дата : 09.06.2017 19:11 Самое стандартное измерение - отношение IMD продукта 3-го порядка к одного из тонов, худшее наблюдаемое в случае ассиметрии. Так имеряется и у вас и у нас на много других мест. Норма в нашем стандарте -26dB. Причина етого - стандарт ITU-R, которого все приняли. Метод измерения такой по очень прозаичной причине - возможность наблюдать резулжтат прямо на екране анализатора, ничего не считая. Никаких dBc - несущая не существует в SSB режиме. Трюки с добавлением 6dB - дело маркетологов фирм производителей радиолюбительских аппаратов и лаборатории ARRL. Метод для усилителях - подать один тон, установить мощность в 25% от заявленной номинальной пиковой мощности измением уровеня тона, потом добавить второй тон с таким же уровнем и наблюдать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 426] Автор : alex_m Дата : 09.06.2017 20:30 Кому интересно, IMD в M0NKA: 264414 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 427] Автор : UT0UM Дата : 09.06.2017 20:36 Кому интересно, IMD в M0NKA: 264414 это кто намерил? ссылку можно на источник картинки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 428] Автор : alex_m Дата : 09.06.2017 20:51 ссылку можно на источник картинки это оригинал, мне данные скинули, я обработал и выложил... а что, чтото не так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 429] Автор : UT0UM Дата : 09.06.2017 20:53 это оригинал, мне данные скинули кто? M0NKA? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 430] Автор : alex_m Дата : 09.06.2017 20:59 кто? M0NKA? нет, user12, см. посты выше... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 431] Автор : RK4CI Дата : 09.06.2017 21:05 Не улучшайте показатели... Сумма мощностей на три децибелах бтльше,Ага. А сумма мощностей всех продуктов ИМД, так же более чем на 3 дБ больше, чем то, что видно на панораме. Но если мы хотим сравнивать результаты линейности не только здесь, на Форуме, а и с тем, что даются в параметрах всех импортных трансиверов, да и в описаниях любительских разработок, то стоит пользоваться общепринятой методикой. А общепринятой, считается отсчёт уровня мощности одного, самого мощного продукта ИМД, к пиковой мощности двух основных тонов. Так что мы не улучшаем, и не преукрашаем, а просто приводим видимые на панораме картинки, к общепринятым циферкам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 432] Автор : UT0UM Дата : 09.06.2017 21:08 нет, user12, см. посты выше... ну так так и пишите, что это не IMD M0NKA а IMD трансивера user12, у которого РА не оригинал как Вы утверждаете, а Выходные трансформаторы на эпкос N87. В драйвере пара BFG135. В драйвере трансформатор на кольце 7мм в три провода. Первая + вторая обмотка в коллектора а средняя точка питание. Третья обмотка в затворы полевиков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 433] Автор : alex_m Дата : 09.06.2017 21:15 так и пишите, что это не IMD M0NKA а IMD трансивера user12, у которого РА не оригинал как Вы утверждаете, а Под оригиналом подразумевался источник картинки, про который вы спрашивали ссылку можно на источник картинки а не вариант исполнения PA Пост 426 - это продолжение постов 423, 424. Это должно быть очевидно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 434] Автор : UT0UM Дата : 09.06.2017 21:19 очевидно. очевидно то, что написано по-русски над картинкой IMD в M0NKA: alex_m, Вы уже в одной теме отличились неужели не понятно, что если касается измерений, то надо выкладывать правдивую информацию, а не то, что Вам "очевидно" :evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 435] Автор : alex_m Дата : 09.06.2017 21:24 Ага. А сумма мощностей всех продуктов ИМД, так же более чем на 3 дБ больше прочитал и долго думал... потом сообразил что вначале понял прочитанное наоборот :smile: Насколько понимаю, никаких 3 дБ или 6 дБ добавлять и вычитать не надо, об этом выше и LZ1AO писал... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 436] Автор : RK4CI Дата : 09.06.2017 21:38 Самое стандартное измерение - отношение IMD продукта 3-го порядка к одного из тонов, худшее наблюдаемое в случае ассиметрии.Самое лучшее, это просто картинка спектроанализатора. Но когда её нет, то сравнивать приходится с тем что имеется, и именно в радиолюбительской литературе... вот что получается при выходной мощности 10 ватт с трансивера подобного класса M0NKA.. Около -36 дБс, относительно пика сигнала. Это либо обычная ложь, либо грубейшие ошибки при измерении. Здесь уже и лучше -50 по ИМД намеряли, но затем, "извините, ошибочка вышла". Посмотрел родную схему этого трансивера в теме, на первой странице. Полное отсутствие цепей ООС в выходном каскаде. В пред оконечном, очень неглубокая ООС по току, и всё. Может затем были какие то доработки, но с тем что там выложено, подобной линейности не будет и при 3 ваттах. И то с учётом того, что при этой мощности и пред оконечный, и выходной каскады, за счёт большого тока покоя, ещё будут работать в классе А. Насколько понимаю, никаких 3 дБ или 6 дБ добавлять и вычитать не надо, об этом выше и LZ1AO писал.Конечно, когда будете вести сравнение с профессиональной аппаратурой. В нашей военке, уж точно никаких дБ не добавляли. Но мы то сравниваем в основном с любительской. Так что лучше эти 6 дБ добавлять, но уточнять, что измерение проведено относительно пика сигнала. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 437] Автор : alex_m Дата : 09.06.2017 22:33 В нашей военке, уж точно никаких дБ не добавляли. Но мы то сравниваем в основном с любительской. Так что лучше эти 6 дБ добавлять, но уточнять, что измерение проведено относительно пика сигнала. чтото непонятно... тут добавлять, тут не добавлять, а тут рыбу заворачивали... Как гласит принцип бритвы Оккама "Не следует привлекать новые сущности без крайней на то необходимости"... Добавлено через 30 минут(ы): Так что мы не улучшаем, и не преукрашаем, а просто приводим видимые на панораме картинки, к общепринятым циферкам. кем общепринятые? Тут ничего не добавляется: 264427 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 438] Автор : user12 Дата : 09.06.2017 22:36 ну так так и пишите, что это не IMD M0NKA а IMD трансивера user12, у которого РА неВы меня извините и тут тема не про монку но если под оригиналом вы подразумеваете драйвер с транзисторами и трансформаторы по Крису- то там будет всё ещё на много хуже и думаю вы это отлично понимаете.;-) И то как он реагирует на доработку многим тоже известно. А тут нормальный творческий процесс в поисках оптимальной схемы. Что в этом собственно говоря плохого? Люди общаются и ищут лучшее решение. К тому же авторы часто заявляют такие параметры что у других они при повторении не получаются.:ржач: И реальных измерений монки мало кто выкладывал на этом форуме и почему сразу всё принимать как собственную обиду? Я потратил достаточно времени на отладку. Без отладки и как рекомендует делать автор монки будет ещё хуже! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 439] Автор : avbelnn Дата : 09.06.2017 22:38 Tektronix TDS2014, и нету интерфейсов. По описанию в нём есть функция MATH FFT Вот Ваша аудиозапись 80% 264428 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 440] Автор : user12 Дата : 09.06.2017 22:47 Посмотрел родную схему этого трансивера в теме, на первой странице. Полное отсутствие цепей ООС в выходном каскаде. В пред оконечном, очень неглубокая ООС по току, и всё. Тут на форуме есть тема обсуждения киловатного усилка на полевиках и там "курили" эти обратные связи. Я с RD16 убедился в этом же. Это не биполярники. Тут проблемы начинаются с одного витка в бинокле в выходном трансформаторе. И тренируюсь я не на кошках а на реальном железе и могу снять фото и видео для подтверждения. А чем свои догадки что я обманываю можете подтвердить вы? В таком тоне и с домыслами я не буду продолжать обсуждение и лучше проходить мимо когда тренеры по кошкам убеждают как и что надо делать. Это ваше право. Я воспользуюсь своим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 441] Автор : RK4CI Дата : 09.06.2017 23:25 Тут на форуме есть тема обсуждения киловатного усилка на полевиках и там "курили" эти обратные связи.Ага, курили те, кто реальной линейности никогда не измерял, а главную проблему широкополосного УМ, видят в необходимости нейтрализации входной ёмкости. Я с RD16 убедился в этом же. Это не биполярники. И я убедился, ещё на КП 904, и IRF, что ООС позволяют улучшить линейность на десяток дБ и более. И особенно это заметно именно на мощностях близкой к максимальной, когда остаточные напряжения на стоках достаточно малы. И в УМ на RD 16, главная проблема совсем не трансформаторы. И то что нарисовано в авторском варианте "Монки", нацелено на то, чтоб "а бы б работало", и судя по вашим же постам но если под оригиналом вы подразумеваете драйвер с транзисторами и трансформаторы по Крису- то там будет всё ещё на много хуже и думаю вы это отлично понимаете. То вы эту схему основательно переработали. Но даже в случае переработки, без достаточно глубоких ООС в выходном каскаде, Вы представленных параметров по линейности не добьётесь. Конечно, если там реально РЕР около 10 ватт, и остаточное напряжение на стоках менее 3 вольт... реальных измерений монки мало кто выкладывал на этом форуме и почему сразу всё принимать как собственную обиду? Вы что, в самом деле считаете, что "монка" способна обеспечить подобные параметры? Да не смешите. Там у автора, на схеме, напряжение на затворах выходных транзисторов 15 В пик/пик. Там о проблемах линейности не вспоминалось вообще. Если вы в самом деле получили заявленные параметры при указанной мощности, то стоило бы выложить схему вашего УМ, и методику изготовления трансформаторов, если считаете это столь важным в своей конструкции, а не ссылаться на какую то "монку", которая даже в телеграфном режиме, на НЧ диапазонах, неизвестно как будет работать. Выходной трансформатор на BN43-202, с одним витком в первичке, это конечно что то. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 442] Автор : user12 Дата : 10.06.2017 01:06 И я убедился, ещё на КП 904, и IRF, что ООС позволяют улучшить линейность на десяток дБ и более. Вам запретили делать как вы считаете нужным и делиться результатами измерений? То вы эту схему основательно переработали.Вы считаете что если взять и сменить два транзистора в драйвере на другие это основательно переработанная схема? Транзисторы меняют не потому что проблема у них с линейностью. Завал от 14МГц и выше чтоб получить 10ватт на выходе как на низкочастотных диапазонах. Бинокли я не ставил. Во первых дорого и во вторых на кольцах проще. Коэффициент трансформации тот же. В теме монки давал ссылку где на форуме брал схему трансформаторов. #1213 (http://www.cqham.ru/forum/showthread.php?33633-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-M0NKA-mcHF&p=1394784&viewfull=1#post1394784)#1217 (http://www.cqham.ru/forum/showthread.php?33633-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-M0NKA-mcHF&p=1394796&viewfull=1#post1394796) Отлично работает. Вы что, в самом деле считаете, что "монка" способна обеспечить подобные параметры?Мало ли кто и что считает. Я показал как работает у меня простая схема. Не более! Если вас что то не устраивает то это ваша головная боль. Да не смешите.Смешат меня те кто рассказывает как это работает...не имея данного девайса на столе:-P Спаяйте и покажите вы. С вашим опытом это задача на пол вечера. Сравните что и как. А иначе зачем критиковать тех кто делает и выкладывает на форуме результат своих трудов, утверждать что это подлог и прочую муру? Зачем вам это? Почему Вас не устраивает то что я измерил? Показал то что есть и готов подтвердить это. Но что вы предложите взамен и почему я вам что то оказался должен? Не припоминаю чтоб брал что то взаймы! Там о проблемах линейности не вспоминалось вообще.Зарегистрируйтесь в яху и присоединитесь к группе монки. Там не раз это как не странно обсуждалось.И трансформаторы ни чем не меньше чем тут и в хвост и в гриву разбирали. Представьте себе "они" "там" тоже конструируют и измеряют. И для повышения линейности трансивера меры принимали + платы модернизировали. Причём решений предложено несколько. Одно от автора и второе от немцев. Кто то вообще ни чего не переделывал и оставлял как есть. Но чтоб ставили обратные связи в выходной каскад я не видел там. Переделывали каскады до смесителя передатчика. Я признаться сам был удивлён примитивной схемотехнике выходного каскада и то как вообще разведена плата с длиннющими сигнальными дорожками. Но по факту это не плохо работает. Имеет хорошую устойчивость. И не плохую линейность. А как себе делать каждый сам решает. Что то подсмотрел, спаял, проверил и сделал выводы для себя, может поделился ими с другими. Для этого собственно форумы и созданы. а не ссылаться на какую то "монку", которая даже в телеграфном режиме, на НЧ диапазонах, неизвестно как будет работать.Думаю что не плохо бы прекратить свои выводы делать основываясь на своих чувствах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 443] Автор : R3DI Дата : 10.06.2017 02:39 ...брал схему трансформаторов. #1213 #1217 Такой вариант еще не пробовал, можете уточнить данные по трансформаторам которые у Вас, если есть возможность сделать фото было бы совсем здорово. Есть кольца N87 20 и 12 мм, хочу попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 444] Автор : user12 Дата : 10.06.2017 08:52 Доброе утро. Кольца 12,5мм Эпкос N87 Мотал скруткой из проводов 0,44 8 витков. Скрутка плотная. Использую два трансформатора. На одном кольце не пробовал всё намотать так как нет кольца большего размера. Вполне возможно что при 10 ваттах будет нормально работать и на 12,5мм одном кольце. Если честно то "постеснялся" такой вариант проверить. Получился бы совсем бюджетный вариант трансформатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 445] Автор : R3DI Дата : 13.06.2017 14:17 ...брал схему трансформаторов. #1213 #1217 Такой вариант еще не пробовал... Попробовал, все те же -20db, но только еще заметил собственную генерацию на стоках порядка 38 кГц , выход с миксера в 0 и режим передачи - прям меандр на стоках. Пробовал начало-конец обмоток менять - не помогло. 264613 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 446] Автор : alex_m Дата : 13.06.2017 14:37 Попробовал, все те же -20db так разве это плохо? У меня на SW-шке 15 дБ на 5 Вт. 264617 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 447] Автор : user12 Дата : 13.06.2017 14:48 Попробовал, все те же -20db,А вы со смесителя что у вас получается смотрели? Надо покаскадно проверить. Не бывает чудес. -30db при 10 ватт и питании 12 вольт с RD16 получаются. Если конечно предыдущие каскады обеспечивают лучшие параметры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 448] Автор : R3DI Дата : 13.06.2017 14:56 так разве это плохо? Да вот и я уже так думаю, на бинокле самое простое исполнение и -20dB ( при полной мощности ~ 11Вт и ООС 120 R), пробовал и 1:3 но на 50 омах напряжение было меньше чем при 1:2. Попробовал на осц-фе смотреть BЧ сигнал но его НЧ составляющую в FFT режиме, те же картинки исключая sdr приемник и wav файл. А вы со смесителя что у вас получается смотрели? А вот спасибо!!! А и правда при полной вых. на преампе -32дБ а с драйвера -20дБ ( по НЧ FFT осцил. ) екмакарек, надо же так тупануть и не посмотреть, сча попробую ООС увеличить..... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 449] Автор : R3DI Дата : 13.06.2017 17:18 Ну ни как не могу перепрыгнуть отметку -20 db с драйвера. Картинки с нагрузки трх 8,5W -20dB, при полной 13-14W примерно -17dB. (12.7V) 264622264623 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 450] Автор : SVd2004 Дата : 14.06.2017 18:59 R3DI Евгений, схему клавиатуры с наименованиями кнопок выложите, пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 451] Автор : EU1SW Дата : 14.06.2017 19:41 Картинки с нагрузки трх 8,5W -20dB, при полной 13-14W примерно -17dB. (12.7V) Здравствуйте, на RD15HVF при 13,7 вольтах получаю на КВ IMD3 порядка -35 дб при 14-15 W, для RD16 не сильно хуже должно быть, порядка -30 вполне достижимо КМК -20 у меня при 20-22 W на раскачке RD06, а до этого был 2SC1971 выходной на бинокле 1+1 и 4 во вторичке, резисторы ООС по 150 Ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 452] Автор : R3DI Дата : 14.06.2017 20:16 EU1SW здравствуйте, ого -35дБ, скажите пожалуйста, а с 2sc1971 хуже было, почему поменяли? .... Клавиатура. Схема с названиями кнопок на ядиске, кнопки на землю. Если нет доступа к ядиску, напишите, попробую сделать клон на гуглдрайве. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 453] Автор : SVd2004 Дата : 14.06.2017 20:32 Схема с названиями кнопок на ядиске Не нашёл, какой файл? Общая схема, там без названий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 454] Автор : EU1SW Дата : 14.06.2017 20:35 Нет, с 1971 было не сильно хуже, ну может порядка -30, я не заморачивался на этом, потому что изначально задумывал туда поставить RD06 но на момент сборки я немного халатно поработал отверткой, поэтому пришлось на время поставить 1971, что было под рукой подходящего но есть нюанс, так как у меня это не походный вариант, то токи покоя драйвера и выхода достаточно высокие, под 250 мА, т.к. электричества в розетке достаточно и радиатор большой, но в принципе и при 70-100 мА на транзистор было под -30 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 455] Автор : R3DI Дата : 14.06.2017 20:35 SVd2004, только что прсмотрел, с названиями .. .. A(M.MODE) B C D E BAND+ BAND-. Общая схема, первый лист. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 456] Автор : EU1SW Дата : 14.06.2017 20:43 я вот тут немного более подробно описал, если интересно http://www.cqham.ru/forum/showthread.php?34190-%EA%E8%F2%E0%E9%F1%EA%E8%E9-%F3%F1%E8%EB%EE%EA-%F1-%C0%CB%C8%DD%CA%D1%CF%D0%C5%D1%D1%C0&p=1395065&viewfull=1#post1395065 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 457] Автор : Анатолий.Mel Дата : 15.06.2017 10:53 R3DI Здравствуйте. Я прошу прощения а можно ли с Вами связаться по телефону? Я тут рядом с Вами (Вашей работой) нахожусь, на Коровенке. Если можно Ваш телефон в личку. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 458] Автор : R3DI Дата : 16.06.2017 17:45 Приветствую! Сегодня привезли транзисторы RD00hhs1 rd00hvs1 rd01mus1. Сразу поставил rd00hvs1 в драйвер вместо BFG591 ООС не менял и не настраивал, в драйвере 680 ом, в оконечнике 120 ом, ток покоя драйверов по 50 мА, трансформаторы драйвера 3*6 вит., выходной 1:2 как и был. Выкинул цепи смещения BFG591 и просто поставил многооборотный резистор. Еще не пробовал настраивать просто включил как есть и вот результат: при 12,7 В 7.3W/-30dB 13W/-24dB 16W/-20dB, ясно что это не предел, но времени пока больше нет. Спасибо всем кто помог разобраться с этим вопросом. Посмотрю как поведут себя rd00hvs1, ну совсем мелкие они, в 2 раза меньше по корпусу чем BFG591. PS Платы как покинули Европу 01-06-17 и все... тишина без движения, написал китайцам, но и так понятно, ответ - так бывает,ждите....((((. За день до плат чехол на телефон заказал, та же компания доставки, так тот забрал уже...а плат все нет (((. 264800264801264802264803 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 459] Автор : rz3qs Дата : 16.06.2017 18:09 To R3DI, примете простой совет, лучшее всегда враг хорошего. В Вашем варианте нужно однозначно выбрать мощность , скажем 10 Вт, и все измерения делать при этой мощности. Хороший результат -35 40 dBc. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 460] Автор : alex_m Дата : 16.06.2017 18:10 красивый сигнал на первом скрине получился :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 461] Автор : R3DI Дата : 16.06.2017 18:15 alex_m, спасибо, сам увидел порадовался, до этого еще таких картинок не наблюдал :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 462] Автор : alex_m Дата : 16.06.2017 18:23 Платы как покинули Европу 01-06-17 и все... тишина без движения, написал китайцам, но и так понятно, ответ - так бывает,ждите....((((. За день до плат чехол на телефон заказал, та же компания доставки, так тот забрал уже...а плат все нет ((( это китайцы так мутят, трек номер напишут, а посылку не отправляют - тянут время, рассказывают про интернациональные задержки. Вы их подергайте, мол почему до сих пор посылка не отправлена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 463] Автор : R3DI Дата : 16.06.2017 18:29 alex_m, компания доставки такая что sms приходит именно от нее о поступлении, а завод плат - не первый раз у них заказываю, вот платы анализатора заказывал , так после Европы уже через 10 дней были у меня на столе ( за неделю до заказа трх-ов), посмотрим... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 464] Автор : ua3ycv Дата : 16.06.2017 18:38 посмотрим.как бы ждём. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 465] Автор : RK4CI Дата : 16.06.2017 20:26 Хороший результат -35 40 dBc. Конечно, это вполне обычный результат... Для трансиверов топ класса, и относительно пика сигнала. Питание выходного каскада в которых, 28-50 вольт. Правда, и мощностя чуть другие. В трансиверах с напряжением питания 12 вольт, (по факту, все измерения при 13,8 В), стандарт -30 дБ, относительно пика сигнала. Собственно, у вас и не должно быть хуже. Комплектующие то фактически те же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 466] Автор : RX9UAO Дата : 17.06.2017 02:06 А где Виктор R6BK? "Пусть и он доложит об изыскании унутренних резервов". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 467] Автор : R6BK Дата : 17.06.2017 11:33 Пусть и он доложит об изыскании Каких изысканий Вы от меня хотите? Трансивер еще в стадии изготовления и настройки. Вот так он выглядит на данный момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 468] Автор : SVd2004 Дата : 17.06.2017 11:46 Вот так он выглядит на данный момент. 3 электролита портят внешний вид... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 469] Автор : RX9UAO Дата : 17.06.2017 11:52 Отличная работа.Молодца! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 470] Автор : Жекаа Дата : 19.06.2017 14:08 Товарищи! А кто заказывал на Али экспресс такую мелочевку как смд кондеры , резисторы , выводные кондеры и резисторы. Какого они качества? Хочу наборы заказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 471] Автор : RV3DLX Дата : 19.06.2017 17:09 Какого они качества? Заказывал, нареканий нет. Если не считать того, что один набор конденсаторов так и не пришел, где то затерялся. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 472] Автор : alex_m Дата : 19.06.2017 17:35 Товарищи! А кто заказывал на Али экспресс такую мелочевку как смд кондеры , резисторы , выводные кондеры и резисторы. Какого они качества? Хочу наборы заказать. резисторы вроде нормальные. А конденсаторы не очень - заявленное напряжение не держут. При заявленных 5% разброса, реальный разброс до 40%. Когда заказывал высоковольтные конденсаторы, вместо 1 кВ подсунули 400 В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 473] Автор : Жекаа Дата : 19.06.2017 20:17 Спасибо за инфо. Интересно кондеры по ТКЕ . там такой информации не видел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 474] Автор : ur5yfv Дата : 19.06.2017 20:59 А кто заказывал на Али экспресс У нас выходит дешевле и быстрее. В Харькове например. Даже танталы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 475] Автор : ua3ycv Дата : 21.06.2017 09:18 что то тема "поостыла"-лето? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 476] Автор : stari4ok Дата : 21.06.2017 09:45 тема "поостылаЖдёмс платы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 477] Автор : UR7HBP Дата : 21.06.2017 09:58 Пришел энкодер https://ru.aliexpress.com/item/600P-R-Photoelectric-Incremental-Rotary-Encoder-5-24V-AB-Two-Phases-Shaft/32759052728.html lpd3806-600bm-g5-24c, приехало за 12 дней. Не нашел даташита, есть только на китайском. Вот что по нему накопал, может кому пригодится: 265102265103265104265105265106265107265108 265109 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 478] Автор : R3DI Дата : 21.06.2017 10:55 UR7HBP, 600bm ???? А не много, как же Вы настраиваться будете, хотя если шаг выставить 1 Гц :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 479] Автор : UR7HBP Дата : 21.06.2017 11:51 А в прошивке подкрутить нельзя будет? Может доп. пункт в меню сделать для настройки валкодеров? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 480] Автор : R3DI Дата : 21.06.2017 12:09 Может доп. пункт в меню сделать для настройки валкодеров? Пока в последней прошивке добавил установку шага для каждого режима SSB/CW/AM/FM - const unsigned short Val_StepTbl[]={1,5,10,20,25,50,100,125,200,250,500,1000};, может и делитель стоит добавить....для универсальности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 481] Автор : ua3uhp Дата : 21.06.2017 13:28 так да без плат не чего не делается!.......ждемс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 482] Автор : RX9UAO Дата : 21.06.2017 13:38 Пока тишина, может кто поделится своими наработками в области сдр.Глядишь что-нибудь полезненькое подчерпнем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 483] Автор : RK4CI Дата : 21.06.2017 14:42 600bm ???? А не много, как же Вы настраиваться будете,Собственно, при шаге 5 Гц, 3 кГц/об. При 10 гЦ, 6 кГц/об. Я бы не сказал что много. Особенно, если нет функции интеллектуального энкодера. Я у себя специально, для перестройки частоты СДР, брал энкодер на 256 импульсов. В аналоговом тракте, на 128 импульсов. Но постоянно включена функция удвоения, и есть функция "интеллектуальный энкодер". А при шаге более 10 Гц, в телеграфе, я начинаю слышать дискретность перестройки. При шаге 100 Гц, вообще, "музыкальная шкатулка". Другое дело, на подобные энкодеры много не самых лестных отзывов. Не работают как надо, шаги пропускают. Лучше взять что подороже, но не такие капризные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 484] Автор : R3DI Дата : 21.06.2017 15:32 У меня 360 имп, при шаге 10 Гц иногда не могу попасть, например, в 100, 90 или 110 встает - 1 градус/10Гц - поди поймай его, конечна 10Гц на слух ни о чем, хотел даже делитель на 2 сделать ему да времени как обычно.... а на счет пропусков , не замечал , да и за такую цену и не замечу :-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 485] Автор : RK4CI Дата : 21.06.2017 16:21 У меня 360 имп, при шаге 10 Гц иногда не могу попасть, например, в 100, 90 или 110 встает - 1 градус/10Гц - поди поймай его,А зачем вам его ловить? Сами пишете что при окончательной настройке 10 Гц, это почти ничего. Главное, если энкодер работает как положено, то обеспечивается плавность перестройки, без заметной дискретности. Между прочим, если не можете попасть точно на какое то значение, это и может быть признак сбоев. Я правда ни 360 , ни 600 имп/об, никогда не использовал, но с теми что есть, вроде всё нормально. Ну и 360, 600, по моему, не такая уж большая разница. По вашему сообщению, я подумал что у вас менее 100 имп/об применён. А так, поставил шаг 5 Гц вместо 10, и делов то. Даже плавность перестройки повыше будет. Главное, что ваша прошивка, похоже, такое количество импульсов без проблем поддерживает. В описании то, это мало кто указывает. Ну и кроме медленной перестройки по частоте, есть ещё быстрая перестройка. Когда просто крутанёшь ручку настройки, и смотришь, как "бежит" частота. Я у себя пока могу только на циферки смотреть. Антенны нет, поэтому в наушниках только шумы. Что происходит в наушниках в этот момент у вас? Насколько быстро устанавливается значение выбранной частоты в вашем приёмнике? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 486] Автор : R3DI Дата : 21.06.2017 16:44 RK4CI, у меня сейчас 360 имп. Про перестройку, при dds AD перестройка частоты в прерывании валкодера, а обновление отображение частоты ~22ms, при использовании Si5351 и частота и отображение обновляется ~22ms (из-за I2C), ну а в наушниках - не знаю как объяснить, "пробегают" станции если есть. Вот короткое видео с телефона... https://youtu.be/jpUKHFEAEYQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 487] Автор : DeXter Holland Дата : 21.06.2017 17:11 изменение цвета значения частоты - функция "нахождения" в диапазоне или еще что то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 488] Автор : R3DI Дата : 21.06.2017 17:14 изменение цвета значения частоты Да, зеленый - любительские, желтый- вещательные, синий- остальное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 489] Автор : RK4CI Дата : 21.06.2017 17:24 при dds AD перестройка частоты в прерывании валкодера, а обновление отображение частоты ~22ms, при использовании Si5351 и частота и отображение обновляется ~22msПолучается, что АД в этом плане предпочтительнее. При использовании SIшки, при любом значении количества имп/об, будут идти выборки частоты 50 раз в секунду. В общем то, пока не послушаешь как всё это звучит вживую, по приведённым цифрам судить трудно. Во всяком случае для меня. Нет особого опыта использования даже синтезатора. Использовал несколько лет СДР, но перестройка обычным ГПД. 3-5 кГц/об. Сейчас, трансивер с платой "Гермеса" стоит на столе, но слушать нечего. Обленился, даже верёвку из окна выкинуть, и то проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 490] Автор : R3DI Дата : 21.06.2017 17:28 пока не послушаешь как всё это звучит вживую Все видео с Si-шкой ( а та что в заголовке темы вообще запись через разъем для наушников ), разницы на слух по перестройке не заметил, а вот то что с Si-шкой приемник стал "более чистым" заметно сразу было, даже по сравнению AD9951@400МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 491] Автор : R3DI Дата : 21.06.2017 19:59 Под конец дня попробовал установить в оконечник RD15HVF1 трансформатор (1+1)/4, по осцил. ИМД -30 по сдр приемнику -35 , 12.7В/12-13Вт, но вот плохо то, что этих «палок по -30» по 5 шт. с каждой стороны, фото сейчас нет, пишу с телефона, хоть с рд16 было -20..24, но этих палок было всего две пост #458, даже не знаю что лучше.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 492] Автор : EU1SW Дата : 21.06.2017 20:39 хвост гармоник, и драйвера в том числе, посмотрите, если есть чем, особенно обратите внимание на четные, а может тока покоя маловато... Потому как "эти палки" дальше "первой соседней" и есть продукт 5-го и более высоких порядков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 493] Автор : R3DI Дата : 22.06.2017 15:09 Если кому будет интересно сделал несколько фотографий. Драйвер 2*RD00HVS1 ООС 470 R, в оконечнике ООС 100R. Фото РД16 и 3 фото РД15. Напряжение питания 12,7В (в режиме TX трх показывает 12,3В), показания с нагрузки 50R после ФНЧ 7050 кГц. 265169265170265171265172 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 494] Автор : EU1SW Дата : 22.06.2017 15:33 Замечательный выход КМК к такому и RD100 уже не стыдно будет прицепить, при случае острой надобности ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 495] Автор : LZ1AO Дата : 22.06.2017 15:59 даже не знаю что лучше... Лучше иметь минимальную возможную мощность в соседном канале, чтобы не мешать другим. Из за етого параметр Аdjacent channel power обязательно контролируется в комерчесской аппаратуре. Например в стандарт ETSI EN300-433 на CB радиостанции 27 мегагерцового диапазона в SSB режиме ( 10W PEP) допускается интегральная излучаемая мощность всего наличного в соседном канале не более 20uW т.е. в -57dB по отношении к PEP. Я не призываю Вас стремиться к таким цифрам, ето просто илюстрация. Но не мешать другим нужно всегда стараться. У RD16HHF1 и RD15HVF1 переходные характеристиках в зоне больших токов и повышенных температур кристалла различаются. Ето хорошо видно в каталожных данных. Ето просто разные транзисторы. А результат у вас получился хороший, если ето снято при максимальной мощности. Многие из комерчесских аппаратах на радиолюбительских диапазонов - хуже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 496] Автор : R3DI Дата : 22.06.2017 17:00 если ето снято при максимальной мощности Да, эти картинки при максимальной мощности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 497] Автор : EU1SW Дата : 22.06.2017 18:45 Собственно вычислить мощность для каждого скрина из амплитуды - дело механическое ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 498] Автор : alex_m Дата : 22.06.2017 19:19 Если кому будет интересно сделал несколько фотографий. IMD 38 dBc на 10 Wrms - отличный результат :пиво: А можете итоговую схему УМ со всеми коррекциями для последнего случая выложить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 499] Автор : RV3DLX Дата : 22.06.2017 19:32 Только мне кажется, что на картинке мощность не RMS а на пике огибающей. Или я ошибаюсь? Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 500] Автор : LZ1AO Дата : 22.06.2017 19:40 RV3DLX, Очевидно не ошибаетесь.:-P При испитании двумя тонами: - мощность каждого одиночного тона = 0.25PEP - RMS (Root Mean Square) Power = 1/2PEP PEP (Peak Envelope Power) = мощность в пике огибающей. При том уровне искажении как на картинках ошибка из за идеализации будеть пренебрежимой. У показанном метода измерения осциллоскопом два недостатка - все делается в практически стробоскопическом режиме, развертка 50kHz - SFDR АЦП осциллоскопа неизвестен. АЦП вероятно 8bit, так что неясно, то что видно на уровнях примерно ниже -50dB с полной шкалы -истина или нет. Где находится линия полной шкалы не совсем ясно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 501] Автор : EU1SW Дата : 22.06.2017 19:43 Юрий, конечно это PEP, и от этого результат все равно не становится хуже ) UPS/// немного опоздал с комментарием ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 502] Автор : RV3DLX Дата : 22.06.2017 19:55 Конечно, результат хороший! Но при такой мощности в усилителе такого типа, вполне можно получить такой результат. А вот когда с такого усилителя выжимают 20 и более Ватт (это легко получается), то о качестве сигнала говорить не приходится. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 503] Автор : EU1SW Дата : 22.06.2017 20:15 при 13,7 18 W PEP не хуже -33 можно получить, разницу в 2 ватта никто не слышит ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 504] Автор : RV3DLX Дата : 22.06.2017 20:47 18 W PEP не хуже -33 можно получить Я имел в виду мощность не РЕР а среднеквадратичную. Конечно никто не услышит (работающий с Вами корреспондент), а на панораме увидит, ну и рядом по частоте стоящий услышит. В эфире сплошь и рядом можно наблюдать картину, работает оператор с безобразным сигналом (по интермодуляции), а ему говорят:"у Вас прекрасный сигнал". Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 505] Автор : EU1SW Дата : 22.06.2017 21:17 Я не работаю "таким" сигналом, который Вы имеете ввиду ) предпочитаю такой палки справа на панораме - вещалки АМ выше 40 метрового ) пролазят ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 506] Автор : RV3DLX Дата : 22.06.2017 21:25 Я не работаю "таким" сигналом, который Вы имеете ввиду Можно сказать замечательный сигнал у Вас. Ну и хочу спросить какая мощность и усилитель? Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 507] Автор : EU1SW Дата : 22.06.2017 21:36 Это выход PA из моего текущего проекта самопального Hermes из модулей с АлиЭкспресс, пара RD15-х, 13,8 вольт, 18W PEP, немного подправленых PureSignal, но вот так выглядит исходный сигнал, без коррекции -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 508] Автор : alex_m Дата : 22.06.2017 21:40 Только мне кажется, что на картинке мощность не RMS а на пике огибающей. Или я ошибаюсь? Юрий. там в углу амплитуда RMS просчитанная осциллографом по всему сигналу. Если памяти у осциллографа достаточно, то никакого стробоскопического эффекта не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 509] Автор : RV3DLX Дата : 22.06.2017 21:46 Сергей, я конечно извиняюсь что немного не по этой теме мы общаемся, но коротко скажу, что и без коррекции у Вас очень хороший сигнал, но PureSignal маловато корректирует. Еще раз извините, больше не буду не по теме. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 510] Автор : EU1SW Дата : 22.06.2017 22:03 Юрий, я считаю что все таки по теме, считаю что в самодельном творчестве должно быть место здоровому перфекционизму, в противовес вот этому посту http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1406480&viewfull=1#post1406480 и цель моего появления в этой теме была показать, что останавливаться на цифре -20 вовсе не стоит. Надеюсь что у меня получилось помочь автору преодолеть "психологический" барьер ) а то ведь все мы понимаем, что происходит от "прицепов", прикрученых к изначально QRP аппарату, в котором никто не решал задачу чистоты спектра, ввиду того что QRP ) И до встреч в эфире! 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 511] Автор : R3DI Дата : 23.06.2017 12:49 Надеюсь что у меня получилось помочь автору преодолеть "психологический " барьер ) Очень даже получилось :super:. Хотел уже было остановиться, но Ваш пост покоя не дал :-P, да и сейчас, после последних показателей еще остались идеи на проверку. А можете итоговую схему УМ со всеми коррекциями для последнего случая выложить? Поставил rd00hvs1 в драйвер вместо BFG591 в драйвере ООС 470 R (4R10 4D1 убрал, вместо 4R4 поставил многооборотный 1К +- средняя точка к 4R5 4R9) ток покоя драйверов по 50 мА, в оконечнике RD15HVF1 OOC 100ом/2Вт, трансформаторы драйвера 3*6 вит., выходной 1:2 как и был. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 512] Автор : RK4CI Дата : 23.06.2017 14:26 Это выход PA из моего текущего проекта самопального Hermes из модулей с АлиЭкспресс, пара RD15-х, 13,8 вольт, 18W PEP,А этот ваш скрин мало о чём говорит. Видите, там у вас в настройках панорамы, нажата кнопочка AVG. Она усредняет показания за определённый промежуток времени. Выбросы же за полосой, появляются только на самых пиках сигнала. Я практически такой же картинки, добивался при работе УМ на 6*КП 904. Сбрасываешь ограничение, чуть убавляешь мощность, и в результате, на экране панорамы ну очень приличная картинка. Но стоит только дать двухтональноик... Хуже -30 относительно пика, и при той же РЕР. Так что не надо стеснятся. У вас же "Гермес", так что дайте двухтональник, и покажите реальную картинку линейности. Ведь в этом случае никакое усреднение не спасёт. И сам сигнал, и продукты ИМД, это постоянные тона, а не спектр частот "размазанный" по панораме. И в своём сообщении № 495, вы написали о допустимом уровне линейности -57 дБс. Назовите хоть один трансивер, даже премиум класса, в котором обеспечивалась бы подобная линейность, при выключенной функции введения предискажений. Насколько помню, некоторые трансивера, способны обеспечить линейность до -50 дБс, относительно пика, при работе выходного каскада в режиме класса А, и на мощности около 50 % от максимальной. Конечно, там мощностя не менее 100 ватт. И там подобную линеность получать потруднее. Но не стоит вводить народ в заблуждение, об ультралинености некоторых импортных трансиверов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 513] Автор : EU1SW Дата : 23.06.2017 14:42 И в своём сообщении № 495, вы написали о допустимом уровне линейности -57 дБс. Вы меня путаете, истинно Вам говорю... откройте сообщение 495 и посмотрите кто его автор Видите, там у вас в настройках панорамы, нажата кнопочка AVG. поясняю популярно, на входе передатчика - шум, могу и двухтональный предъявить... Параноя начинает утомлять, при условии того, что обманывать кого либо чревато репутацией ) без коррекции, двухтональный -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 514] Автор : RK4CI Дата : 23.06.2017 14:56 Вы меня путаете, истинно Вам говорю... откройте сообщение 495 и посмотрите кто его авторТочно, перепутал. Значит претензии в неточностях к разным авторам. Вам, только первое. О способе измерения линености. Попробуйте провести измерения именно двух тональным методом, и конечно без введения предискажений. Картинка может получиться не самая радужная. Да и сравнивать будет намного проще. У меня например так же "Гермес". Линейка УМ запущена только до мощности 1 ватт. Далее, ещё пара каскадов... Вот что получается на мощности 1 ватт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 515] Автор : EU1SW Дата : 23.06.2017 15:01 я в свой предыдущий пост добавил картинку двухтонального, извините, что не сразу... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 516] Автор : RV3DLX Дата : 23.06.2017 15:03 В сообщении 495 упоминается документ нормирующий побочные излучения СВ станций. Насколько я понимаю там канальная система с разносом 25 КГц (может я ошибаюсь?) и мощность разрешена не более 5-ти Ватт, поэтому это наверно и не такие уж жесткие нормы. Хотя я не встречал сибишных станций с хорошим сигналом, конечно статистика у меня небольшая, несколько местных радиолюбителей имеют подобные станции и появляются на десятке, нужно сказать сигнал у них в SSB очень плохой. Если посмотреть на картинку в сообщении 513 от Сергея, то при отстройке в 25КГц там совсем мизерные излучения. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 517] Автор : LZ1AO Дата : 23.06.2017 16:42 RV3DLX, Канальное отстояние 10kHz, мощность в соседном канале нормируется в полосе 8.5kHz. Все что находится с 5.75kHz до 14.25kHz с несущей - соседний канал. Мощность я написал - 10W PEP . Норма не зависить от режима - она всегда 20uW maximum. В SSB измеряется двумя тонами, в АМ - с тоном в 1250Hz при максимальной глубине модуляции, тем же тоном в FM при максимальной девиации. Норма обязательная. Все CB, которые предназначаються для продажи в Европейской общности должны соответствовать. Норма на паразитные излучения передатчика включая гармоники до 2GHz, очень жесткая - допускается пиковый абсолютний уровень в -36dBm или -54dBm каждого паразитного сигнала. В стандарте - таблица, в которую указывают где и что. Как правило, в радиовещательных, авио, TV диапазонах она -54dBm Не уверен какая норма стоит сейчас в вашем ГОСТ-e, но 2 годa назад кажется, везде стояла цифра -40dBc, т.е. 0dBm при 10W. Разница с европейским стандартом (если привести к 10W получим -76dB гармоники и -57dB в соседном канале) внушительная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 518] Автор : RK4CI Дата : 23.06.2017 16:47 в свой предыдущий пост добавил картинку двухтонального,Да, для 12 вольтового питания очень достойно. Не подумайте что хотел вас обидеть. Но можете поэкспериментировать сами. Имея чуть лучше -40 по ИМИ, как на вашем скрине, достаточно легко получить расширение полосы, ту самую "юбку", с уровня - 50 дБ относительно максимума сигнала на панораме. Поэтому, при измерении линейности, предпочитаю видеть именно двухтональный сигнал. Насколько я понимаю там канальная система с разносом 25 КГц Ну это уж слишком. Ведь для нас, соседний канал, это соседние 3 кГц, непосредственно примыкающие к полосе вашего сигнала. А так, конечно надо сравнивать что то родственное. Где хотя бы, само понятие "соседний канал", подразумевает одно и то же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 519] Автор : EU1SW Дата : 23.06.2017 16:58 Но можете поэкспериментировать сами. Имея чуть лучше -40 по ИМИ, как на вашем скрине, достаточно легко получить расширение полосы, ту самую "юбку", с уровня - 50 дБ относительно максимума сигнала на панораме. Да, спасибо, поэкспериментирую с кнопками -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 520] Автор : R6BK Дата : 23.06.2017 22:32 Состояние на данный момент: https://www.youtube.com/watch?v=IVRyJSp8fag& t=24s -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 521] Автор : alex_m Дата : 24.06.2017 01:12 Не уверен какая норма стоит сейчас в вашем ГОСТ-e, но 2 годa назад кажется, везде стояла цифра -40dBc, т.е. 0dBm при 10W. Для Украины: -40 dBc, но не выше 17 dBm. Для России: -50 dBc, но не выше 17 dBm. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 522] Автор : LZ1AO Дата : 26.06.2017 13:17 R6BK, Показалось, что спектр на дисплее инвертируется, LSB выглядить как USB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 523] Автор : R6BK Дата : 26.06.2017 17:43 Показалось Действительно показалось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 524] Автор : SVd2004 Дата : 27.06.2017 13:43 R3DI Когда платы будут? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 525] Автор : ua3ycv Дата : 27.06.2017 14:16 Когда платы будут?будут!ждём! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 526] Автор : R3DI Дата : 27.06.2017 14:23 Когда платы будут? Запросил у китайцев инвойс для розыска посылки на почте, до 30 дней даже на розыск подать не мог, сегодня как раз 30 дней с момента отправления. "Покинули Европу" - не понятно, если через Финляндию, был как-то у меня заказ, так тот в Финляндии 3 недели без движения лежал, да и многие на них жалуются. Пока инвойс не прислали. 265439 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 527] Автор : EU1SW Дата : 27.06.2017 16:05 У меня на финской почте тоже висит заказ, без движения уже 3 м-ца... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 528] Автор : RA6ANR Дата : 27.06.2017 23:19 Понемногу приближаюсь к запуску))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 529] Автор : Radiotester Дата : 27.06.2017 23:49 R3DI, Евгений, а по трек номеру где они "ночуют"? Я удвоих как то ч Алика заказывал печатки...2...3 дня производство...дают трек код и пакет примерно с той же скоростью как экспресс пакет идёт. Пару недель и дома у меня в провинции. Вам с доставкой видимо " подфортило".((( Понемногу приближаюсь к запуску))) Круто! Каким способом монтаж smd 0602 мелочи ведете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 530] Автор : RA6ANR Дата : 28.06.2017 05:09 Radiotester, паяльником)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 531] Автор : R3DI Дата : 28.06.2017 10:29 Radiotester, во Франкфурте подвисли. Мне предидущий заказ тоже быстро за 14 дней дошел... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 532] Автор : alex_m Дата : 28.06.2017 11:21 Похоже это кругом проблема с международной почтой. У меня пара посылок где-то зависла. Говорят вроде из-за компьютерных вирусов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 533] Автор : ua3ycv Дата : 28.06.2017 19:55 надеюсь-придут.Вопрос к Автору-какие изменения будут в схеме(проекте)-доработки платы-на что надо обратить внимание? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 534] Автор : R3DI Дата : 29.06.2017 01:07 ...какие изменения будут в схеме(проекте)... Да пока не планирую ни каких изменений. В драйвере лучше RD0х ставить, но они и на те падстеки(BFG591) хорошо встают #458 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1407411&viewfull=1#post1407411), и вместо RD16 поставить RD15 #493 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1409423&viewfull=1#post1409423) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 535] Автор : ua3ycv Дата : 29.06.2017 08:38 Да пока не планирую ни каких изменений.принято!всёж меня мучает вопрос-можно заменить дисплей на больший размер? и есть ли возможность в регулировке скорости потока треков-на видио очень быстро....или мне так кажется:shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 536] Автор : UR4QBP Дата : 29.06.2017 09:49 меня мучает вопрос-можно заменить дисплей на больший размер? Максимально возможный размер дисплея с контроллером ILI9341, управлением по SPI и разрешением 320х240 это 2.8 дюйма(поискал есть и 3.2 оказывается, но цена в 2 раза выше). Это то что мне удалось найти на алиэкспрессе, покупал здесь (https://ru.aliexpress.com/item/2-8-inch-TFT-LCD-Module-without-Touch-Panel-ILI9341-Drive-IC-240-RGB-320-SPI/32764300681.html?spm=2114.13010708.0.0.97PHqa). Замерял размеры видимой области у данного дисплея - 60х49 мм. 265580 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 537] Автор : ua3ycv Дата : 30.06.2017 08:57 Максимально возможный размер дисплея с контроллером ILI9341, управлением по SPI и разрешением 320х240 это 2.8 дюйма(поискал есть и 3.2 оказывается, но цена в 2 раза выше).мнда-хотелось бы 3.2 поставить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 538] Автор : R3DI Дата : 30.06.2017 12:50 Приветствую! - По платам, написал вчера заявление на розыск, а сегодня посмотрел и появилось движение ( не думаю что это связанно, почта, скорее всего даже еще не передала заявление в главное отделение )))) ).... 265646 - Так же пришел дисплей 2,4 , пин-ту-пин и все работает, сам индикатор не намного больше, но его плата большая, если "наколхозить" свою переходную платку под ЛУТ думаю можно и в этот корпус внедрить. 265648265649265650265651265652 - Читал тему "Как шумит радио (цифровая обработка и слуховой прием)"... Сделал такой эксперимент, функцию коррекции АЧХ фильтра, наклон по уравнению прямой в сторону ВЧ, где коэф. 1 в НЧ 0Гц и до 20дБ( можно выбирать) в конце выбранного фильтра, звучать стало "мягче" что-ли, на разборчивость практически не влияет (при 6-12 дБ)... - По поводу того почему ставил такой дисплей и не большой корпус, не станционар, вот для таких поездок .... 265653265654265655 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 539] Автор : ua3ycv Дата : 30.06.2017 16:18 - По поводу того почему ставил такой дисплей и не большой корпус, не станционар, вот для таких поездок ....всё отлично!лично мои вопросы связаны с возможностью "тюнинга" аппарата и не более (на свой страх и риск):пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 540] Автор : R6BK Дата : 01.07.2017 11:41 Первые QSO после настройки; https://youtu.be/U9UBrsdVKf8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 541] Автор : ua3ycv Дата : 06.07.2017 08:44 что-то тема "остыла". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 542] Автор : powerage Дата : 06.07.2017 09:01 Наверняка тема оживет с приходом плат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 543] Автор : UX5PS Дата : 06.07.2017 09:12 тема оживет с приходом плат. И начнутся обычные вопросы в такого рода темах: "Вот я спаял аппарат точно по описанию, включил, а он не работает. Подскажите, где копать!" :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 544] Автор : DeXter Holland Дата : 06.07.2017 10:40 Подскажите, где копать и не только, еще вопросы по прошивке начнутся - "вот если...", так что автор, готовтесь -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 545] Автор : R3DI Дата : 06.07.2017 11:41 Ну куда деваться, видимо пришла и моя очередь на такие вопросы отвечать. А тем временем платы на днях уже должны быть в местном отделении почты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 546] Автор : RV4LX Дата : 06.07.2017 15:49 видимо пришла и моя очередь на такие вопросы отвечать. Тогда вопрос первый.:smile: Какой ток выставляете через каскад на сборке ERA-3SM? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 547] Автор : R3DI Дата : 06.07.2017 16:28 Какой ток выставляете через каскад на сборке ERA-3SM? Установлен резистор 51 ом, померил 1,87В ~37мА получается, с ним особо не игрался еще... Добавлено через 8 минут(ы): Замеры загрузки проца DSP работой не делали? Прошу прощения за задержку, все как то не до того было да и оценка была по светодиоду и осциллографу, но таки сейчас ввел функцию показометра на экран с выбором - OFF/AGC/DSP. Могу продемонстрировать видео в разных режимах и показать загрузку DSP обработкой. ( Может подскажет кто, тут как-то можно видео с ютюба прикрепить, но так чтобы не просто ссылка, а прям видео в окне и переходить не нужно, да и сразу видно что за видео, или вообще не стоит так делать ? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 548] Автор : EU1SW Дата : 06.07.2017 17:14 проще всего воспользоваться кнопкой вставки видео в редакторе постов... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 549] Автор : Сергей 12701 Дата : 06.07.2017 17:27 Может подскажет кто, тут как-то можно видео с ютюба прикрепить, но так чтобы не просто ссылка, а прям видео в окне и переходить не нужно, да и сразу видно что за видео, или вообще не стоит так делать ? ) http://www.cqham.ru/forum/showthread.php?26296-%CC%F3%E7%FB%EA%E0-%EA%EE%F2%EE%F0%F3%FE-%EC%FB-%F1%EB%F3%F8%E0%E5%EC-%E8-%E8%E3%F0%E0%E5%EC-2&p=889771&viewfull=1#post889771 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 550] Автор : R3DI Дата : 06.07.2017 17:52 SDR TRX Malamute - DSP Load вот как то так получается.... https://www.youtube.com/watch?v=pFfC1S1be8Y& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 551] Автор : UR7HBP Дата : 06.07.2017 18:22 Пока едут платы собрал минифак из ветки, по мере появления актуальной информации буду пополнять. 266016 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 552] Автор : R3DI Дата : 07.07.2017 13:27 Ну вот и первые платки пришли, осталось дело за малым - проверить хотя бы одну :-P. Шли 4 дня по Китаю, 8 по России и 30! дней просто лежали...:evil: 266050 Добавлено через 46 минут(ы): Как и когда начну отправлять. Хочу проверить хотя бы по минимому (одну плату), процессор питание дисплей и прошить, проверить везде ли есть напряжения коммутации, повторюсь, изменения - выдвинуты джеки (фото выше), зеркальность разъема тангенты/дисплея, для BFG591 переходные отв. на коллекторах для лучшего охлаждения. Кого такая проверка устроит - пишите (после проверки), на полную проверку нужно время (которого как всегда нет) и еще не все детали есть. Не обошлось и без "косяка", но пока не знаю с чьей стороны, написал вопрос Китайцам. Отверстие не имеет металлизации под AD9951, на прошлой плате было, ничего там не менял, проверил гербера - порядок,но на новой нет, отв. 2,5мм запаять площадку конечно можно, но сам факт... Да при использовании Si5351 оно вообще не нужно. 266060266061 А вот ответ от Китайцев "OK dear, we would have a check" - оперативненько. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 553] Автор : R3DI Дата : 07.07.2017 18:45 Микроконтроллера на работе не оказалось (дома они), немного запаял что было раз такое дело, так что в понедельник проверю с контроллером... и не только прошивку, а похоже и на прием. 266082 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 554] Автор : RC3ZQ Дата : 07.07.2017 19:14 R3DI, Шустро паяете. :super:паялом или фенчиком? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 555] Автор : R3DI Дата : 07.07.2017 19:23 RC3ZQ, простым паяльником с тонким жалом, ... попутно работая и с парой вызовов на ковер... Она плата только кажется большой, а так особо сложного там нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 556] Автор : Radiotester Дата : 08.07.2017 00:17 R3DI, Пайка смотрю хорошая у Вас, а в качестве припоя паста или проволоку припой подаете к площадке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 557] Автор : R3DI Дата : 08.07.2017 00:24 Radiotester, флюс спиротоканифольный, и припой проволка 0.5 сначала к площадке, потом элемент на площадку, затем припой в уголок ( контакта и площадки) другого конца элемента. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 558] Автор : Radiotester Дата : 08.07.2017 00:27 R3DI, Пинцетом держите и под лупой? 0602 резюки и кондеры как не "убегают"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 559] Автор : UT0UM Дата : 08.07.2017 00:33 R3DI, Пинцетом держите и под лупой? 0602 резюки и кондеры как не "убегают"? да точно так же как 0805 их паять на ютубе полно видео вот например https://www.youtube.com/watch?v=lrDyUj7ZfVI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 560] Автор : R3DI Дата : 08.07.2017 00:35 без лупы, пинцет хороший, ну если поднажать, бывает и выскакивает, но редко. 0604. Могу фото пинцета в понедельник кинуть, на работе много паять приходится, инструмент проверен не только временем :super:, ... ни за что так не переживаю как за отсутствующий пинцет на месте )))), жало у паяльника может быть кривым но пинцет должен быть хорошим 8-). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 561] Автор : Radiotester Дата : 08.07.2017 00:53 UT0UM, На этом видео мастер класс дядя не показал, пример не очень. R3DI, А монокуляр юзаете? Зрение видимо хорошие у Вас? У меня есть вакуумный ручнтной пинцет и вакуумный электрический и так я ими и не при учился пользоваться. Все обычным пинцетом с лент тягаю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 562] Автор : UT0UM Дата : 08.07.2017 01:05 Зрение я вот такую (http://www.vseinstrumenti.ru/electrika_i_svet/svetilniki/nastolnye_lampy/dlya_doma_i_ofisa/camelion/s_uvelich_steklom_belyi_kd-350_9225/)юзаю 266097 имхо, маст хэв для смд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 563] Автор : Radiotester Дата : 08.07.2017 01:08 UT0UM, В описании нет даже во сколько раз увеличивает. Веть важно расстояние от лупы до платы. ..что бы там удобней работать было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 564] Автор : UT0UM Дата : 08.07.2017 01:23 В описании нет йошкин кот я купил и мне очень удобно спаял под ней Тюльпан и mcHF, ну и по мелочи -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 565] Автор : UA3AHI Дата : 08.07.2017 13:24 R3DI Не запускается часовой кварц. Возможно ли получить прошивку с внутренним генератором? :cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 566] Автор : Radiotester Дата : 08.07.2017 13:35 Может кварц "плохой". Попробовать выдрать с каких нибуть часов другой... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 567] Автор : UA3AHI Дата : 08.07.2017 13:51 Кварцы разные ставил, дело в процессоре. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 568] Автор : Genadi Zawidowski Дата : 08.07.2017 14:29 99%: качественно промыть часть платы, где часовой кварц. Недавно боролся с проблемой - виноват был "канифольный флюс-гель" из магазина "Профи" - который сириусТ. Ничего не предвещало, на этикетке всё красиво... В домашних условиях ничего не помогало. Решилась проблема промышленной промывкой в ультразвуковой ванне по полной программе со всеми положенными жидкостями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 569] Автор : UA3AHI Дата : 08.07.2017 14:57 Помогло!Спасибо! Начало положено !!!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 570] Автор : R3DI Дата : 08.07.2017 15:12 С флюс-гелями будьте аккуратнее, на работе под сотню плат запаяли и 2 из 3х стали дурить, замер килоомного делителя на компараторе показывал десятки ом, стоит выпаять(или просто коснуться не выпаивая) резистор - все норм, все голову сломал, потом взял "дурную" платку и кусачками оторвал резистор, а под ним черный проводящий налет, жало подносишь - исчезает даже не касаясь его, через пару дней опять затягивало!!! И смыть его не получалось, ни чем не растворялся, и УЗ ванны не помогали (под резисторами эта гадость была). Все баночки в помойку, а кто паять и будет тому по "шапке" ( а пайка с ним классная была ). Вот такая история (красного цвета флюс-гель). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 571] Автор : Genadi Zawidowski Дата : 08.07.2017 15:33 а пайка с ним классная была Да, подтверждаю, качественная весьма. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 572] Автор : UR3IQO Дата : 09.07.2017 11:03 Канифоль и спирт наше все :super: Никогда не пользовался ничем другим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 573] Автор : Vlad9 Дата : 09.07.2017 11:35 Вот такая история (красного цвета флюс-гель). Ага был такой флюс гель тоже. Паял классно, на всю жизнь запомнил. После этого с флюс-гелями стараюсь не связываться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 574] Автор : alex_m Дата : 09.07.2017 11:46 Канифоль и спирт наше все подскажите насчет спирто-канифоли. Помню при СССР на радио-заводах была светлая прозрачная спирто-канифоль. Недавно спросил в магазине спирто-канифоль, говорят есть - взял. А она почему-то оказалась очень темная практически не прозрачная. Называется "Флюс паяльный ФКСп" Состав: - канифоль - 40% - изопропанол - 60% Плотность: 0.902 кг/см2 Коэф.растекаемости на меди: - при 220°C - 1.0 - при 250°C - 1.1 Не подскажете, можно ли ею паять? И чем объясняется разница между тёмной и светлой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 575] Автор : UR3IQO Дата : 09.07.2017 12:58 alex_m, я просто беру спирт (этиловый) и обычную канифоль. Готового не покупаю - кто знает что там намешали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 576] Автор : ur5yfv Дата : 09.07.2017 13:51 Как то тоже одно время на гели "сидел", до определенного времени. Так же повелся на качество и красоту пайки. Потом "отмыться " не мог. :cry: Сейчас для СМД пользуюсь такими, зеленоватого цвета 266235 Пинцетом удобно пользоваться с зажимом. Чтобы пальцами не держать. 266236 Припой хороший такой ... правда дорогой 266240 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 577] Автор : UR7HBP Дата : 09.07.2017 14:44 F2000 хороший флюс провода лудятся на раз, но я его для смд не использую (стремно), только спироканифоль (сам бодяжу) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 578] Автор : R3DI Дата : 09.07.2017 15:18 Как то тоже одно время на гели "сидел", до определенного времени. Так же повелся на качество и красоту пайки. Потом "отмыться " не мог. Во во, про него то и писал ( тот что перечеркнут), что б его .... Что то тема на пайку перешла, хотя наверное это неотъемлемая часть - "как спаять плату". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 579] Автор : Wall Дата : 09.07.2017 15:58 Проверено годами, на сотнях гаджетов. Нейтрален, не смывал, но для эстетики можно и смыть :-P. FluxPlus имеет недостаток, дороговат. 266259 266260 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 580] Автор : alex_m Дата : 09.07.2017 16:26 я просто беру спирт (этиловый) и обычную канифоль. Готового не покупаю - кто знает что там намешали. а где вы спирт берёте? Прочитал вот, что спирт нужен очень чистый, без воды: Спирт нужен 96 градусный, примесь воды ухудшает растворение канифоли, вызывает появление солей абиетиновой кислоты (резинатов), что проявляется в виде белого налета вокруг точек пайки, удалить который впоследствии весьма непросто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 581] Автор : UR3IQO Дата : 09.07.2017 17:11 а где вы спирт берёте В аптеке :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 582] Автор : alex_m Дата : 09.07.2017 19:43 В аптеке :) у меня есть бутылочка медицинского этилового спирта 96%. Но если им плату протрешь, какие-то белые разводы остаются. Состав не указан, мне кажется в медицинский спирт еще какие-то химикалии подмешивают для лучшей дезинфекции :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 583] Автор : RC3ZQ Дата : 09.07.2017 19:44 В аптеке С моим лицом например не дают)))) А если серьезно то не отпускают спирт без рецепта врача.:offtop::offtop: у меня есть бутылочка медицинского этилового спирта 96%. Но если им плату протрешь, какие-то белые разводы остаются. Сначала надо принять а затем резко выдохнуть на плату:ржач: и не каких пятен нет:ржач:http://www.cqham.ru/forum/images/smilies/icon_offtop.gif -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 584] Автор : UR7HBP Дата : 09.07.2017 20:00 С моим лицом например не дают)))) Я тарюсь спиртом из ЦСМ (центр стандартизации и метрологи), правда через третьи руки. Можно есче узнать где в области есть спиртзавод и найти кого то из местных, а они вам добудут 1л спирта которого хватит надолго для наши радиолюбительских нужд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 585] Автор : ra6lpo Дата : 09.07.2017 20:07 Никто не подскажет, прошивка есть? Проц есть, плата переходка есть, можно попробовать индикатор, да сишку, да кнопочки. В.В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 586] Автор : ur5yfv Дата : 09.07.2017 20:40 Никто не подскажет, прошивка есть? Да всё есть ! В первом сообщении ... переход на Яндекс-диск ... там всё - видео, прошивки, монтажки, схемы ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 587] Автор : Oleg 9 Дата : 09.07.2017 20:43 А если серьезно то не отпускают спирт без рецепта врача.Китайцы продают наборчики с ректификационной колонной: https://ru.aliexpress.com/item/1000ml-24-29-Distillation-Apparatus-Lab-Glassware-Unit-With-200mm-Vigreux-column/32819082549.html А также сами колонны https://ru.aliexpress.com/item/120mm-24-40-Glass-Vigreux-Distilling-Column-With-Side-Arm-Lab-Chemistry-Glassware/32646574789.html Для технических целей такой небольшой колонны хватит. Можно просто купить водки и ректифицировать её в спирт :smile:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 588] Автор : UR3IQO Дата : 09.07.2017 21:24 Но если им плату протрешь, какие-то белые разводы остаются. Если промывать, то бывает, что остаются, но на функционирование схем это никак не сказывается. Я обычно платы не мою, а платы домашнего изготовления по моей технологии вообще отмывке не подлежат. А если серьезно то не отпускают спирт без рецепта врача. Мне могут и рецепт выписать - положено :ржач:, по крайней мере раньше так было. Можно спросить что-то типа медасепта/септила и т.п. - для наших целей подходит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 589] Автор : RA6ANR Дата : 09.07.2017 22:54 Тоже мешал канифоль в спирте(есть варианты купить посудой по 5л.)но видимо спирт с водой,паяется не очень.с сейчас пользуюсь ЛТИ-120,пока нет негативных отзывов.еще паял китайским ya xun yx-223-тоже неплохо,но почему то понравился меньше чем спиртоканифоль -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 590] Автор : R3DI Дата : 09.07.2017 23:27 ЛТИ-120, Тоже его использую, очень понравился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 591] Автор : RC3ZQ Дата : 09.07.2017 23:28 Тоже его использую, очень понравился. Его если хренова смоиш то потом последствия бывают не "айс". .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 592] Автор : R3DI Дата : 09.07.2017 23:43 Его если хренова смоиш то потом последствия бывают не "айс" Можно поподробнее про последствия ЛТИ-120? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 593] Автор : RC3ZQ Дата : 09.07.2017 23:45 Можно поподробнее про последствия ЛТИ-120? Я не смыл плохо и через неделю "зеленка" вокруг пятаков появилась...благо что это был не smd монтаж......пришлось все пропаивать Состав: Канифоль - 24% Спирт этиловый - 70% Диэтиламин солянокислый - 4% Триэтаноламин - 2% Вот этот"солянокислый" видимо погоду и делает... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 594] Автор : R3DI Дата : 09.07.2017 23:48 через неделю "зеленка" вокруг пятаков появилась Это на луженой плате? Если не луженая может наоборот, слишком хорошо смыли и медь зацвела? (Да вот еще, на работе 2 пузырька ЛТИ-120, причем один темный, как женная канифоль, другой прозрачны слегка желтоватый...оба новых пузырька) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 595] Автор : RC3ZQ Дата : 09.07.2017 23:49 Это на луженой плате? Да лудилась , пос-61 с канифолью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 596] Автор : RA6ANR Дата : 09.07.2017 23:58 RC3ZQ, да.лти тоже разный бывает.я и на такой нарывался(после него пытался мешать сам канифоль в спирте,потом перешел на китайский йаксун).в общем крайний раз купил пузырек лти120,намазал им кусок текстолита фольгированного и оставил на неделю.к счастью он не позеленел."Маламут"кстати спаял на лти-работает сразу при условии исправности комплектующих и отсутствии ошибок в монтаже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 597] Автор : R3DI Дата : 10.07.2017 00:00 RC3ZQ, у меня в ручную луженые тоже цвести начинают, с торцов что ли.... промплаты да с маской еще не цвели, ну если напрямую вода не попадала. Для походного варианта нада плату Маламута из баллончика с лаком залить наверное, чтоб совсем все не почем было. Давно платы на "фрезе" делали, чем и в чем тока не лудили, все равно цвели, потом не стали лудить, после пайки промывали и залили из баллончика лаком, до сих пор как новые лежали ( недавно их выкинул ), а им уже лет 15. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 598] Автор : RC3ZQ Дата : 10.07.2017 00:03 з баллончика лаком А каким ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 599] Автор : R3DI Дата : 10.07.2017 00:09 А каким ? Вот уже точно не вспомню, году так в 2003 это было, помню только был куплен на ближайшем стройрынке, а значит точно не спецлак для наших дел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 600] Автор : DerBear Дата : 10.07.2017 00:24 После использования ЛТИ120 дважды с переодичностью в 5...6 лет отправлял партию плат в мусорку. С последнего случая в 11 году, ЛТИ не использую в принципе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 601] Автор : R3DI Дата : 10.07.2017 00:35 После использования ЛТИ120 дважды с переодичностью в 5...6 лет отправлял партию плат в мусорку. Похоже как обычно, уверенным можно быть только в том, что сам сделаешь,.... спирт и канифоль. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 602] Автор : AMBER Дата : 10.07.2017 13:23 ЛТИ-120 сам по себе (в пузырьке) не активный.Активным он становится после того,как его коснётся паяльник - при нагревании. Если его много налить при пайке,он и в стеклотекстолит впитывается,если горячий,и медь и всякий припой частично съедает. Не быстро и не всё,но ест. У нас на производстве было категорически запрещено паять печатные платы этим флюсом,хотя пайки с ним получались очень красивые. Военпреды за этим следили. ПС.Кстати,по современным представлениям,и канифоль считается хоть и мало-,но всё-таки активным флюсом. Может,это производители флюсов её так обозначили,что бы покупали ихние дорогие,но суперские флюсы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 603] Автор : R3DI Дата : 10.07.2017 14:50 Вот и с микроконтроллером 266357266358266359 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 604] Автор : denska Дата : 10.07.2017 16:01 :super:хорошо получается -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 605] Автор : Sinus Дата : 10.07.2017 16:08 R3DI, а сколько по деньгам получается аппарат? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 606] Автор : denska Дата : 10.07.2017 16:15 смотря где деталюшки брать:crazy:кодеки наверное самые дорогие -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 607] Автор : R3DI Дата : 10.07.2017 16:24 Кодеки не самые дорогие, скорее оптический валкодер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 608] Автор : denska Дата : 10.07.2017 16:27 R3DI,когда можно обращаться за платками? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 609] Автор : R3DI Дата : 10.07.2017 16:28 R3DI, а сколько по деньгам получается аппарат? Не считал, есть схема, можете прикинуть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 610] Автор : UT0UM Дата : 10.07.2017 16:53 R3DI, а сколько по деньгам получается аппарат? в 2-3 тысячи рублей не уложитесь :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 611] Автор : Sinus Дата : 10.07.2017 16:57 в 2-3 тысячи рублей не уложитесь :ржач: Крутите валкодер, собирайте IOARU и кормите в другом месте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 612] Автор : ua3ycv Дата : 10.07.2017 17:42 Вот и с микроконтроллеромтак цифровая часть живёт!с аналогом народ разберётся-пора платы в массы! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 613] Автор : R3DI Дата : 10.07.2017 18:02 пора платы в массы! Уже начал работать в этом направлении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 614] Автор : UR7HBP Дата : 10.07.2017 23:59 а сколько по деньгам получается аппарат? Берем екелевский файл SDR_BOM из первого сообщения и лезим на али и местные деталюшные лавки. По моим грубым прикидкам - валкодер, дисплей, мелкосхемы и прочие транзюки выходит до 100 вечнозеленых президентов. И это при том что некоторые позиции придется брать по 10-50-100 шт. меньше или не продают, или дорого по штучно выходит. Так что есче кое что и останется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 615] Автор : ua3ycv Дата : 11.07.2017 08:08 И это при том что некоторые позиции придется брать по 10-50-100 штнаверное надо как то-"кооперироватся" но с пересылом на Украину пока проблемно-но можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 616] Автор : ra6lpo Дата : 11.07.2017 17:48 Пришли PE4259. Ну очень маленькие. Кто нибудь скажет - подобные есть, только размером побольше. В.В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 617] Автор : UT0UM Дата : 11.07.2017 18:14 пересылом на Украину пока проблемно почта посылки не берет? в чем проблема то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 618] Автор : US7IGN Дата : 11.07.2017 19:01 почта посылки не берет? в чем проблема то? судя по юмористическим передачам почта на России - та еще загадка и потеряшка. у меня другой вопрос - почему в бюджетном радио с малюсеньким экраном решили применить такой большой и дорогой энкодер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 619] Автор : R3DI Дата : 11.07.2017 19:17 US7IGN, самый дешевый оптический который нашел, можете посоветовать лучше ( кроме механических трещеток) с удовольствием рассмотрю? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 620] Автор : Alex999 Дата : 11.07.2017 19:20 Хм.. Может я конечно путаю, но я подобный валкодер (судя по всему как в Тюльпане) пару месяцев назад за 9 уёв с учетом доставки с Али заказывал. Для нормальной оптики это вполне цена, особенно если учесть, что у него максимальная скорость заявлена как 3000rpm :-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 621] Автор : R3DI Дата : 11.07.2017 19:23 Alex999, все верно, в 5ти «Маламутах» такой трудится, пока ни кто не жаловался, лично у меня уже 1.5 года. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 622] Автор : Alex999 Дата : 11.07.2017 19:28 Нормальные валкодеры :smile: Проблема только в том, чтобы найти с меньшим, чем 360/400 кол-вом импульсов на оборот. Был бы такой на 100, цены ему б не было :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 623] Автор : ua3ycv Дата : 11.07.2017 20:23 UT0UM, почта посылки не берет? в чем проблема то?коротко чтоб тему не сорить-деталь на 5 копеек-пересыл-100рублей-но самое противное-это очередь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 624] Автор : UT0UM Дата : 11.07.2017 20:36 коротко ну так это не от страны получателя зависит :ржач: у нас вот на почте бывает вообще пусто иногда 2-3 человека стоят -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 625] Автор : UR3IQO Дата : 11.07.2017 21:22 Проблема только в том, чтобы найти с меньшим, чем 360/400 кол-вом импульсов на оборот. Странно, из большего сделать меньшее всегда можно, а вот наоборот увы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 626] Автор : Kia2700d Дата : 11.07.2017 21:40 a у нас в квартире га.. никуда ходить не надо . надо просто бросить конверт/бандерольку с марками в ящик . если международная то еще декларацию CN-22 надо наклеить. правила оформления одинаковые во всех странах почтового союза.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 627] Автор : RV4LX Дата : 11.07.2017 22:05 у меня другой вопрос - почему в бюджетном радио с малюсеньким экраном решили применить такой большой и дорогой энкодер? Это довольно дешевый энкодер. Халява от китайцев. В своих конструкциях я использую энкодеры ,,Bourn's,,. Лепить что-то из ,,мышки,, - не кузяво. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 628] Автор : Genadi Zawidowski Дата : 11.07.2017 22:35 надо просто бросить конверт/бандерольку с марками в ящик Мне вот просто интересно... Что будет, если ошибиться с количеством марок? Или выяснится, что содержимое отправления не соответствует названному (оказывается, бандероль - это только если печатная продукция пересылается. Остальное это заказное...)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 629] Автор : UT0UM Дата : 11.07.2017 22:48 если ошибиться с количеством марок? обратно вернут у нас так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 630] Автор : ur5yfv Дата : 11.07.2017 23:06 За кордон - только на почту. Хоть скотчем 100$ прилепить к конверту- все одно не уйдет. По другому ни как ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 631] Автор : RA6ANR Дата : 11.07.2017 23:24 RV4LX, bourns конечно классные энкодеры,но цена...у китайского есть один недостаток-слишком легко крутится вал.но это так же легко можно исправить))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 632] Автор : Radiotester Дата : 12.07.2017 01:00 Нормальные валкодеры :smile: Проблема только в том, чтобы найти с меньшим, чем 360/400 кол-вом импульсов на оборот. Был бы такой на 100, цены ему б не было :-P Есть и на 100 и 200. На Али попадались. Вот только шлицевые ручки на них хренова(криво) садятся, только поджимные с боковым винтом или с цангой в пружинке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 633] Автор : UA3AHI Дата : 13.07.2017 10:39 R3DI Какие функции выполняет ENCODER. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 634] Автор : R3DI Дата : 13.07.2017 11:58 Какие функции выполняет ENCODER. Настройка с шагом 1 кГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 635] Автор : R3DI Дата : 13.07.2017 14:59 Включение платы V1.1. https://www.youtube.com/watch?v=WLkSMaV2Two -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 636] Автор : RX9UAO Дата : 13.07.2017 15:39 Евгений,невозможно посмотреть видео. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 637] Автор : R3DI Дата : 13.07.2017 15:54 Евгений,невозможно посмотреть видео. Точно, замочек стоял :crazy:, пробуйте теперь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 638] Автор : RV3DLX Дата : 13.07.2017 15:54 Уже можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 639] Автор : RA6ANR Дата : 13.07.2017 16:43 Вчера запустил передачу)) ] https://www.youtube.com/watch?v=lR6Bt3eXG2I -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 640] Автор : alex_m Дата : 13.07.2017 16:58 Отличный трансивер получается - современно, компактно, ничего лишнего и качество сигнала на высоте :пиво: С удовольствием бы взял себе такой :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 641] Автор : RX9UAO Дата : 13.07.2017 18:16 Евгений,как с заказом(плат) №2? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 642] Автор : RD3Q Дата : 13.07.2017 18:24 Евгений,как с заказом(плат) №2? Какой №2 еще № 1 не рассылался!:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 643] Автор : R3DI Дата : 13.07.2017 18:44 Какой №2 еще № 1 не рассылался! Рассылаться начал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 644] Автор : RD3Q Дата : 13.07.2017 18:49 В личке посмотри!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 645] Автор : R3DI Дата : 13.07.2017 20:16 Последнюю схему смотрите на ядиске. Не устанавливать резистор 1к перед регулятором громкости, резистор на светодиод процессора 430 ом(+- но не 100 ом как был указан раньше), модификация ( по режиму, по смещению) первого каскада РА и трансформаторов, по рекомендации R6BK( мне понравилось, а там сами смотрите), возможно необходимо корректирующие АЧХ емкости в вых.трансформаторе. PS. Если еще кто что заметит, пожалуйста напишите - поравлю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 646] Автор : РУСИЧ Дата : 13.07.2017 22:37 Народ!!! У кого осталась лишняя рассыпуха ,микросхемы и т.д. по трансиверу, пишите в личку, приобрету!!!:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 647] Автор : Павел Непийвода Дата : 13.07.2017 22:58 Последнюю схему смотрите на ядиске. Выложите на форуме схему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 648] Автор : UR7HBP Дата : 13.07.2017 23:10 Схема с яндекса 266654i -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 649] Автор : R3DI Дата : 13.07.2017 23:30 SDR_BOM.xls ! Не исправлял ! (только схема) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 650] Автор : SP5TAA Дата : 14.07.2017 00:24 R3DI,Привет,У меня есть вопрос, у вас есть запасные платы для Маламута? И если да, то вы бы отправили за границу? Успехов. Витек SP5TAA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 651] Автор : US7IGN Дата : 14.07.2017 00:26 еще один глупый вопрос. может уже обсуждалось... пин диоды вместо реле из экономии или автор считает достаточными и их? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 652] Автор : UT0UM Дата : 14.07.2017 01:06 Схема абсолютно стандартная для такого типа SDR все надежда на ПО пин диоды там нет пиндиодов, а реле как раз есть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 653] Автор : PA8MM Дата : 14.07.2017 10:11 R3DI,Привет,У меня есть вопрос, у вас есть запасные платы для Маламута? И если да, то вы бы отправили за границу? Успехов. Витек SP5TAA. Присоединяюсь -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 654] Автор : LZ1ZC Дата : 14.07.2017 10:38 R3DI,Привет,У меня есть вопрос, у вас есть запасные платы для Маламута? И если да, то вы бы отправили за границу? Успехов. Витек SP5TAA. Присоединяюсь Я также... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 655] Автор : er1ak Дата : 14.07.2017 12:52 Я также... И я тоже... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 656] Автор : R3DI Дата : 14.07.2017 14:50 R3DI,Привет,У меня есть вопрос, у вас есть запасные платы для Маламута? Такие вопросы следует сразу писать в лс, дабы не заполнять лишней информацией тему. Ну раз уж спросили: Первый пробный комплект почти весь оправлен . В ближайшее время буду заказывать еще, и попробую заказать с разрывом в одну неделю, а то какой нить опять подвиснет... посмотрим что из этого выйдет. PS. Если не отвечаю в лс, пожалуйста отнеситесь с пониманием, писем очень много, все просматриваю ( часто с телефона, с которого ответить сложно ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 657] Автор : ua3ycv Дата : 14.07.2017 16:20 PS. Если не отвечаю в лс, пожалуйста отнеситесь с пониманием, писем очень много, все просматриваю ( часто с телефона, с которого ответить сложно ).Евгений-крепитесь! с каждым днём сообщений будет больше-а как начнутся "запуски"-уууу:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 658] Автор : UR3ACH Дата : 14.07.2017 17:24 С Украинских радиолюбителей никто не рисовал платы? Да и не только Украинских. Может кто по своему будет рисовать. Один из первых на Ютубе подбивал автора выложить информацию по трансиверу и вот сижу .... Думаю :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 659] Автор : ua3ycv Дата : 14.07.2017 17:35 и вот сижу .... Думаюпридётся через границу передавать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 660] Автор : UT0UM Дата : 14.07.2017 17:58 придётся через границу передавать. а, ну да, это проблема, на почте в очереди стоять надо типа, чтобы отправить местным, стоять в очереди не надо? :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 661] Автор : РУСИЧ Дата : 14.07.2017 21:57 ТО R3DI Я наверное где то упустил или прошляпил по поводу платки ( панельки для SI5351) В лауте она была??? Или ее надо рисовать самому???:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 662] Автор : RV3DLX Дата : 14.07.2017 22:07 Рисунок этой платы есть на Яндекс диске, можно печатать и сразу "утюжить". Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 663] Автор : R3DI Дата : 14.07.2017 22:42 можно печатать и сразу "утюжить". Только масштаб проверить, у меня при нажатии на печать почему то стоит 95% , руками ставлю галочку "масштаб-как есть" ( ну или как она там...) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 664] Автор : RV3DLX Дата : 14.07.2017 23:50 Вчера мой коллега на работе "проутюжил" плату, но пока не травил. Нужно ему подсказать о проверке масштаба. Хотя он и сам форум читает:-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 665] Автор : chet Дата : 15.07.2017 02:19 105% все совпадает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 666] Автор : R3DI Дата : 15.07.2017 14:30 Последняя прошивка. 266779 266780 - CW режим передачи - 8 предустановленных CW фильтров (уст. в Setup) - ключ простой/электронный с настройками параметров - подсветка активных режимов в MENU SEL ( низ экрана, кнопки ) - ANF удержание попадает сразу в Setup настройки фильтра - DNR удержание попадает сразу в Setup настройки фильтра - NBL попадает сразу в Setup настройки фильтра - Display настройка установки сетки - Display настройка установки "водопада" - ну и так по мелочи, уже не помню чего делал 8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 667] Автор : UA3SKC Дата : 17.07.2017 08:52 Люди, есть у кого плата на сей интереснейший аппарат? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 668] Автор : RL3Q Дата : 17.07.2017 12:36 - ну и так по мелочи, уже не помню чего делал Извиняюсь,что может задам вопрос на который уже был ответ,может пропустил Дисплей только такой или можно пристроить с большей диагональю? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 669] Автор : AlexJ Дата : 17.07.2017 12:47 Удалено -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 670] Автор : R3DI Дата : 17.07.2017 12:50 Дисплей только такой или можно пристроить с большей диагональю? 320*240 ILI9341 SPI - пробовал 2,4" ( есть картинки #538 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1411970&viewfull=1#post1411970) ), вчера все смотрел на 2,8 , по высоте в корпус должен войти прям впритык... а кнопки может как FT-817 на верхней крышке сделать? :idea:....но вод по длине ... 266883 PS.В редакторе сообщений нельзя удалить неправильное вложение(картинку)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 671] Автор : UA3AHI Дата : 17.07.2017 13:32 R3DI Евгений , можно добавить диапазон 8865,000 кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 672] Автор : RV3DLX Дата : 17.07.2017 13:39 можно добавить диапазон 8865,000 кГц. А это что за диапазон такой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 673] Автор : UR7HBP Дата : 17.07.2017 13:42 R3DI Евгений , можно добавить диапазон 8865,000 кГц. Ну так: Трансивер работает в режимахAM/FM/SSB прием/передача 0.5..30 мГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 674] Автор : R3DI Дата : 17.07.2017 13:45 диапазон 8865,000 кГц. А что это за диапазон и какие его границы виды модуляций и дт? В трансивере диапазон непрерывный как в FT817, настроиться на любую частоту можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 675] Автор : UA3AHI Дата : 17.07.2017 17:18 R3DI Ставлю плату по ПЧ 8865 кГЦ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 676] Автор : R6BK Дата : 17.07.2017 18:31 Ставлю плату по ПЧ 8865 кГЦ. Необдуманное решение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 677] Автор : Павел Непийвода Дата : 17.07.2017 22:20 Ставлю плату по ПЧ 8865 кГЦ. Если на этой частоте , то на экране будут частоты 8865+24 вверх и вниз 8865-24 . А реально нада плюсовать и минусувать эту частоту (8865 ) чтоб на экране была реальная частота радиолюбительских диапазонов. Я тоже болен таким вариантом . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 678] Автор : RA6ANR Дата : 17.07.2017 22:32 Павел Непийвода, Че то у меня есть сомнения,что у фильтра пч полоса пропускания будет 48кгц.да и какой смысл втыкать полноценный трансивер в ПЧ другого трансивера.для этого есть более простые панорамные приставки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 679] Автор : Павел Непийвода Дата : 17.07.2017 23:03 Че то у меня есть сомнения,что у фильтра пч полоса пропускания будет 48кгц Сигнал до фильтра основной силекции , тогда и будет 48 кгц. Добавлено через 10 минут(ы): да и какой смысл втыкать полноценный трансивер Так можна вместо аналоговой ПЧ, сделать ПЧ с DSP фильтром -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 680] Автор : RA6ANR Дата : 18.07.2017 00:32 Павел Непийвода, это понятно.но плата ведь не маленькая и использовать лишь ее четверть как то нерациональноплюс аппарат будет крайне неудобным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 681] Автор : RV3DLX Дата : 18.07.2017 08:28 Удивительные люди:-P:-P:-P:-P. Захламляют интересную и хорошую тему. Обсуждаемый трансивер вполне самодостаточный и ему не нужны никакие "прибамбасы", причем бредовые. Сделайте свою разработку и тогда открывайте тему про это. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 682] Автор : RX9UAO Дата : 18.07.2017 13:39 Есть предложение,чтобы не захламлять интересную и хорошую тему,организовать параллельно форуму типа "звуковой дорожки" на шарманке.Выбрать закрытый сектор для радиолюбителей(предлагаю14.150) где можно задавать любые вопросы по сборке сдр-ки и решать их сообща, не загружая форум пустыми вопросами и не напрягая автора(Евгения).Поверьте,такое общение будет очень полезно всем ,кто собирает "Маламут", тем кто собирается собирать и тем кто пока "менжуется". Можно попросить автора шарманки(Василия) застолбить "частоту" и дать название: например "SDR Маламут". Ну а на форуме останется только конкретная деловая информация.Да и автора Евгения тоже подтянем,он не против.Вот такая мысль.Не знаю понравится вам или нет.С уважением. И еще,вдруг кто не знает,на всякий случай: http://tiparadio.ru -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 683] Автор : RA1TEX Дата : 18.07.2017 13:47 Есть предложение,чтобы не захламлять интересную и хорошую тему,организовать параллельно форуму типа "звуковой дорожки" на шарманке....С уважением. И еще,вдруг кто не знает,на всякий случай: http://tiparadio.ru как будто конференции в скайпе не изобрели )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 684] Автор : RX9UAO Дата : 18.07.2017 14:41 Ну наверное тебе удобней в скайпе, ведь сектор для радиолюбителей в шарманке только для сушествующих и зарегистрированных позывных. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 685] Автор : UT0UM Дата : 18.07.2017 14:48 форум зачем форум-то тогда? :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 686] Автор : RX9UAO Дата : 18.07.2017 15:19 Да чтобы на форуме фуфлоблуд не разводить.Еще толком никто не собирал, а вопросы какие! А что будет когда массово собирать начнем?Вот я еще собирать не начал,а у меня уже "куча" вопросов. Да и ... мое дело предложить,ваше.... .Да и хорош,Вопрос закрыт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 687] Автор : R3DI Дата : 18.07.2017 19:21 Подскажите, программы те что ”цифру” выдают и принимают - формат IQ или AF? ( развлекаюсь с usb audio) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 688] Автор : RD3Q Дата : 18.07.2017 20:36 Посмотри сайт http://rdrclub.ru/arkhiv-programm там много программ и настройки даны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 689] Автор : Genadi Zawidowski Дата : 18.07.2017 21:24 что ”цифру” выдают и принимают - формат IQ или AF? Аудио, обычное. IQ это если панораму широкую смотреть. Причем у всех кроме PowerSDR I в левом канале, Q в правом. В аттачменте для примера дескрипторы с моего радио. И как оно выглядит в разных программах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 690] Автор : R3DI Дата : 18.07.2017 21:46 Аудио, обычное. А это хорошо, думаю тогда много памяти не нужно. Да картинки такие же тока SDR Malamute в названии, из компа данные звука идут (по усб трекеру вижу), в комп "чтение" эндпоинта еще не делал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 691] Автор : Genadi Zawidowski Дата : 18.07.2017 21:52 В качестве хорошего теста попробуйте сделать прослушивание в наушниках того, что может потом на модулятор идти. От грубых ошибок здорово помогает. Заодно поймете что с необходимостью выравнивать sample rate с компьютером. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 692] Автор : UR3IQO Дата : 19.07.2017 11:14 В качестве хорошего теста попробуйте сделать прослушивание в наушниках того, что может потом на модулятор идти. Я когда отладкой занимался по этой части поступал проще - гонял вначале просто синус, потом зациклил всход с выходом, в смысле сигнал шел так: комп - USB - девайс - USB - комп Включил музыку (на компе) и послушал (в смысле то, что пришло обратно) :) Заодно поймете что с необходимостью выравнивать sample rate с компьютером. ИМХО, ее нужно выравнивать, если хочется что-то приличное сделать. Заодно вопросы с буферизацией очень просто решаются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 693] Автор : ua3ycv Дата : 19.07.2017 22:49 во парни куда вас понесло!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 694] Автор : Genadi Zawidowski Дата : 19.07.2017 23:04 Ага... когда замечаешь, что по пятому-шестому разу идет повторение полуторачасового концерта DM, который звучит как тестовый поток... ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 695] Автор : UT0UM Дата : 19.07.2017 23:51 понесло все правильно они делают тока еще надо тлг через юсб сделать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 696] Автор : RD3Q Дата : 20.07.2017 18:49 Евгений спасибо за платы! Всё получено в лучшем виде, упаковано на совесть! Комплектующие на подходе, будем собирать и запускать!:smile::super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 697] Автор : R3DI Дата : 21.07.2017 15:44 Пробовал сделать USB_IN, ни как не соображу как сделать синхронизацию. Полностью углубляться в usb_audio нет времени, потому оставлю до лучших времен, ну или может где попадется готовое решение.... Запись с компа через usb_audio . ( вначале радио, затем тон 700Hz ) 267189 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 698] Автор : Genadi Zawidowski Дата : 21.07.2017 15:57 Судя по "песочку", который слышен на той части записи где тон, поток данных рвется или еще что-то не так. Раз в миллисекунду вас спрашивают: есть что передать? отдавай все что накопил! Это можно делать не каждый раз, но то что уходит к хосту, должно без пропусков формироваться. Покажите дамп USB дескрипторов. У меня размер данных для endpoint выбран с некоторым запасом, так что отвечаю ZLP где-то каждый десятый раз. При передаче звука передаю по 224 байта - вот и запас, если выбрать 192 может оказаться недостаточно полосы пропускания в компьютер для передачи звукового потока без разрывов. Через эту же endpoint передается и 2*24*96000. зы: готовое решение - и дамп дескриптора для самостоятельного разбирательства выложен выше, и проект для copy & paste мой доступен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 699] Автор : R3DI Дата : 21.07.2017 16:21 Геннадий, вот он "песочек" на картинке... 267190 У трх скорость выше , где то 48180. Есть буфер COMPLEX_long DAC_buff[FFT_FILTER_SIZE] тот что в кодек идет, пока одна половина на DSP формируется, другая на кодек через DMA, и так чередуются... Дальше вот такой костыль .... Обновляю указатель который потом будет работать в USB (откуда из DAC_buff брать данные) void DMA1_Stream7_IRQHandler( void ){ if (DMA_GetFlagStatus(DMA1_Stream7, DMA_FLAG_TCIF7) != RESET) { pUSB_INp = 0; .............. } if (DMA_GetFlagStatus(DMA1_Stream7, DMA_FLAG_HTIF7) != RESET) { pUSB_INp = 1024; .............. } } USB каждую 1мс забирает 48 слов - кодек сделает 48,18, а потому pUSB_INp обновляю еще и в так кодека, прерывание на ножку WS (48к).. void EXTI15_10_IRQHandler(void) pUSB_INp++; И вот что в USB.... ............... if( (temp_usb < pUSB_INp)&&(pUSB_INp < 2000) ) temp_usb = pUSB_INp; // pUSB_INp уже убежал for( int i=0; i 2047){ temp_usb = 0; } } DCD_EP_Tx (pdev,AUDIO_IN_EP, (uint8_t*)(RecBuf0), AUDIO_IN_PACKET); ............. Вот так и получается что pUSB_INp убегает на +1 примерно за 5/6 мс ( 1,8 за 10 / на 18 за 100 ). PS. Надеюсь что понятно изъяснился , да и форум пробелы подчистил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 700] Автор : Genadi Zawidowski Дата : 21.07.2017 16:31 Так а что мешает объявить скорость той что реально существует, как и буфер? Смотрю в код, это граблестрой какой-то... Я понимаю, что проект от Георгия унаследовал часть кода, но зачем стиль копировать? Вот так я отдаю данные в компютер (USBD_LL_DataInStage): case USBD_EP_AUDIO_IN & 0x7F: if (uacinaddr != 0) { global_disableIRQ(); release_dmabufferx(uacinaddr); global_enableIRQ(); } global_disableIRQ(); uacinaddr = getfilled_dmabufferx(& uacinsize); global_enableIRQ(); if (uacinaddr != 0) { USBD_LL_Transmit(pdev, USBD_EP_AUDIO_IN, (const uint8_t *) uacinaddr, uacinsize); } else { USBD_LL_Transmit(pdev, USBD_EP_AUDIO_IN, NULL, 0); } break; -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 701] Автор : R3DI Дата : 21.07.2017 16:38 есть что передать? отдавай все что накопил! т.е комп за 1с ожидает 48000 слов а я ему 48180, он будет накапливать ? Через час работы в компе буферируется 648к ( если его вопроизведение будет 48к/1с) слов ( на 13,5 сек) или нет так все работает? Так а что мешает объявить скорость той что реально существует, как и буфер? Пробовал указать 48180 не пошло. Смотрю в код, это граблестрой какой-то... Я понимаю, что проект от Георгия унаследовал часть кода, но зачем стиль копировать? Если про COMPLEX_long то наверное да, а про стиль даже не понял вопроса... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 702] Автор : Genadi Zawidowski Дата : 21.07.2017 16:38 ПАокажи дескриптор endpoint, потом попробую описать то происходит. Пробовал указать 48180 не пошло. что не пошло - тишина, по прежнему песок? Между приложением, которое получает данные со воим samplerate (я не зря привёл скриншот от mixw, повнимательнее посмотри что там за скорость) и источником данных на USB еще сидит windows со своим resampler-ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 703] Автор : R3DI Дата : 21.07.2017 16:40 #define USBD_IN_AUDIO_FREQ (uint16_t)(48000) //MIC frequency in Hz. #define AUDIO_IN_PACKET (uint32_t)(((USBD_IN_AUDIO_FREQ * 2 * 1) /1000)) //16000 -> 32 48000->96 0x09, /* bLength: Configuration Descriptor size */ USB_CONFIGURATION_DESCRIPTOR_TYPE, /* bDescriptorType: Configuration */ USB_CDC_CONFIG_DESC_SIZ, /* wTotalLength:no of returned bytes */ 0x00, 0x02, /* bNumInterfaces: 2 interface */ 0x01, /* bConfigurationValue: Configuration value */ 0x00, /* iConfiguration: Index of string descriptor describing the configuration */ 0xC0, /* bmAttributes: self powered */ 0x32, /* MaxPower 0 mA */ /* 09 byte*/ /* USB Microphone Standard AC Interface Descriptor */ 0x09,//sizeof(USB_INTF_DSC), // Size of this descriptor in bytes USB_INTERFACE_DESCRIPTOR_TYPE, // INTERFACE descriptor type 0x00, // Interface Number 0x00, // Alternate Setting Number 0x00, // Number of endpoints in this intf USB_DEVICE_CLASS_AUDIO, // Class code AUDIO_SUBCLASS_AUDIOCONTROL, // Subclass code 0x00, // Protocol code 0x00, // Interface string index /* USB Microphone Class-specific AC Interface Descriptor (CODE == 9)*/ 0x09, // Size of this descriptor, in bytes. AUDIO_INTERFACE_DESCRIPTOR_TYPE, // CS_INTERFACE Descriptor Type 0x24 AUDIO_CONTROL_HEADER, // HEADER descriptor subtype 0x01 0x00,0x01, // Audio Device compliant to the USB Audio specification version 1.00 0x1E,0x00, // Total number of bytes returned for the class-specific AudioControl interface descriptor. // Includes the combined length of this descriptor header and all Unit and Terminal descriptors. 0x01, // The number of AudioStreaming interfaces in the Audio Interface Collection to which this AudioControl interface belongs 0x01, // AudioStreaming interface 1 belongs to this AudioControl interface. /*USB Microphone Input Terminal Descriptor */ 0x0C, // Size of the descriptor, in bytes AUDIO_INTERFACE_DESCRIPTOR_TYPE, // CS_INTERFACE Descriptor Type AUDIO_CONTROL_INPUT_TERMINAL, // INPUT_TERMINAL descriptor subtype 0x01, // ID of this Terminal. 0x00,0x02, // Terminal is Microphone (0x01,0x02) Terminal is Input Undefined (0x00,0x02) 0x00, // No association 0x01, // One channel 0x00,0x00, // Mono sets no position bits 0x00, // Unused. 0x00, // Unused. /* USB Microphone Output Terminal Descriptor */ 0x09, // Size of the descriptor, in bytes (bLength) AUDIO_INTERFACE_DESCRIPTOR_TYPE, // CS_INTERFACE Descriptor Type (bDescriptorType) AUDIO_CONTROL_OUTPUT_TERMINAL, // OUTPUT_TERMINAL descriptor subtype (bDescriptorSubtype) 0x02, // ID of this Terminal. (bTerminalID) 0x01, 0x01, // USB Streaming. (wTerminalType 0x00, // unused (bAssocTerminal) 0x01, // From Input Terminal.(bSourceID) 0x00, // unused (iTerminal) /* USB Microphone Standard AS Interface Descriptor (Alt. Set. 0) (CODE == 3)*/ //zero-bandwidth interface 0x09, // Size of the descriptor, in bytes (bLength) USB_INTERFACE_DESCRIPTOR_TYPE, // INTERFACE descriptor type (bDescriptorType) 0x04 0x01, // Index of this interface. (bInterfaceNumber) ?????????? (3<) (1<<) (1>8)&0xFF),0x00, // 16000Hz. (tSamFreq) (NOT COMPLETE!!!) /* USB Microphone Standard Endpoint Descriptor (CODE == 8)*/ //Standard AS Isochronous Audio Data Endpoint Descriptor 0x09, // Size of the descriptor, in bytes (bLength) 0x05, // ENDPOINT descriptor (bDescriptorType) AUDIO_IN_EP, // IN Endpoint 1. (bEndpointAddress) USB_ENDPOINT_TYPE_ISOCHRONOUS, // Isochronous, not shared. (bmAttributes)//USB_ENDPOINT_TYPE_asynchronous USB_ENDPOINT_TYPE_ISOCHRONOUS (AUDIO_IN_PACKET&0xFF),((AUDIO_IN_PACKET>>8)&0xFF), //bytes per packet (wMaxPacketSize) 0x01, // One packet per frame.(bInterval) 0x00, // Unused. (bRefresh) 0x00, // Unused. (bSynchAddress) /* USB Microphone Class-specific Isoc. Audio Data Endpoint Descriptor (CODE == 7) OK - подтверждено документацией*/ 0x07, // Size of the descriptor, in bytes (bLength) AUDIO_ENDPOINT_DESCRIPTOR_TYPE, // CS_ENDPOINT Descriptor Type (bDescriptorType) 0x25 AUDIO_ENDPOINT_GENERAL, // GENERAL subtype. (bDescriptorSubtype) 0x01 0x00, // No sampling frequency control, no pitch control, no packet padding.(bmAttributes) 0x00, // Unused. (bLockDelayUnits) 0x00,0x00, // Unused. (wLockDelay) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 704] Автор : Genadi Zawidowski Дата : 21.07.2017 16:43 USB_ENDPOINT_TYPE_ISOCHRONOUS - у меня применен другой дескриптор IN EP. USB_ENDPOINT_USAGE_IMPLICIT_FEEDBACK | USB_ENDPOINT_SYNC_ASYNCHRONOUS | USB_ENDPOINT_TYPE_ISOCHRONOUS; //0x25 ps: увидел константы.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 705] Автор : R3DI Дата : 21.07.2017 18:52 Пробовал передавать больше , за 8 запросов все что есть , но просто помолчать потом не получается, молчит но больше не считывает, видно так ядро написанно, разбираться нужно а как всегда времени на это нет. 0х25 в тип поставить тоже видимо не просто, ядро совсем другое, иаровский код на спикер переписан другим человеком, пофиксино, закаменчено и тд.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 706] Автор : UR3IQO Дата : 21.07.2017 19:05 R3DI, я у себя использую синхронную точку с полноценным ASRC. Асинхронный режим точки официально виндоус вроде не поддерживает, по крайней мере не все версии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 707] Автор : avbelnn Дата : 21.07.2017 19:06 R3DI, Евгений!А обработчик дребезга механического энкодера в коде есть?Что то показания скачут не 1кГц,а хаотично,ёмкостя не помогают.267197 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 708] Автор : Genadi Zawidowski Дата : 21.07.2017 19:10 но просто помолчать потом не получается, молчит но больше не считывает Послать ZLP (я вроде даже код привел...) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 709] Автор : R3DI Дата : 21.07.2017 19:20 avbelnn, программного нет, емкости на него по 10н ставил. Genadi Zawidowski, пробовал, в наушниках идет дорожка буффера а потом шум, словно случайные значения, потом опять данные буфера затем шум....и тд. Добавлено через 5 минут(ы): avbelnn, заметил смещение на экране на столбец влево и вниз на строку, у Jacinto тоже скрин прислал, брак дисплеев что ли?У меня 2.2 и 2.4 такого эффекта нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 710] Автор : avbelnn Дата : 21.07.2017 19:43 avbelnn, заметил смещение на экране на столбец влево и вниз на строку Похоже брак,брал здесь:https://ru.aliexpress.com/item/2-2-inch-SPI-TFT-LCD-module-ILI9341-drive-IC-240-320/1824570002.html?spm=a2g0v.search0104.3.2.9qLOq8&ws_ab_test=searchweb0_0,searchweb201602_5_10152_10065_10151_10068_10209_10084_10083_10119_10080_10082_10081_10301_10110_10137_10111_10060_10112_10113_10155_10114_10154_438_10056_10055_10054_10182_10059_100031_10099_10078_10079_10210_10103_10073_10102_10169_10120_5360020_10052_10127_10053_10142_10107_10050_10125_10051,searchweb201603_13,ppcSwitch_4&btsid=d03e5c85-1808-429e-aa1c-29e4da78552b&algo_expid=17ed0517-e2ed-4520-929f-acfe5cbbb82b-0&algo_pvid=17ed0517-e2ed-4520-929f-acfe5cbbb82b -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 711] Автор : UR4QBP Дата : 21.07.2017 19:54 У меня 2.2 и 2.4 такого эффекта нет У меня 2.8" и все четко! Брал ТУТ (https://ru.aliexpress.com/item/2-8-inch-TFT-LCD-Module-without-Touch-Panel-ILI9341-Drive-IC-240-RGB-320-SPI/32764300681.html?spm=a2g0s.8937460.0.0.v2tCK6). 267200 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 712] Автор : UR3ACH Дата : 22.07.2017 07:45 avbelnn, Здравствуйте. Печатная плата у Вас самодельная? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 713] Автор : avbelnn Дата : 22.07.2017 10:08 avbelnn, Здравствуйте. Печатная плата у Вас самодельная? Да,на раздельных платах:SYS(проц 144pin),смеситель с si и кодеками,отдельно бп.Приём работает,передачу пока не проверял(кодек в пути).Осталось дорисовать PA,дпф и фнч,ну и корпус.В архиве проект в DipTrace_2.4.0.2 и gif. PS:Т.к. сделано на отдельных платах,соединительные проводники имеют большую длину,чем на одной плате.Для исключения сбоев работы кодека установлены конденсаторы 150p.на шину SPI(на CS и reset),clock(CLK)в экране.Для стабильности работы мех.энкодера-кондёры 10n. на энкодер и ноги проца.Да,в УНЧ микросхема tda7056b с электронной регулировкой громкости. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 714] Автор : avbelnn Дата : 22.07.2017 17:27 R3DI, Подскажите пожалуйста,как калибруется S-metr? Из main menu не даёт зайти в П.12-AGC,П.19 VOX level.П.20 S-metr.И что за параметр П.22-Temp(переменная чего)?Прошивка V2.5.Память FRAM FM24CL64B(оригинал) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 715] Автор : R3DI Дата : 22.07.2017 18:39 avbelnn, эти функции еще на рализововал, темп для всягого развлекалова на лету. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 716] Автор : avbelnn Дата : 22.07.2017 18:43 Спасибо за ответ,я и смотрю {"S-meter", NULL, NULL},в исходниках,ничего страшного,пока аппаратно подберу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 717] Автор : Павел Непийвода Дата : 22.07.2017 22:08 (проц 144pin Дайте полное имя процесора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 718] Автор : RA1AGB Дата : 22.07.2017 22:22 STM32F407ZGT6 http://www.st.com/content/ccc/resource/technical/document/datasheet/ef/92/76/6d/bb/c2/4f/f7/DM00037051.pdf/files/DM00037051.pdf/jcr:content/translations/en.DM00037051.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 719] Автор : avbelnn Дата : 22.07.2017 22:28 Дайте полное имя процесора 267285 или 32F429ZxT -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 720] Автор : ur5yfv Дата : 22.07.2017 22:41 или 32F429ZxT Таких даже ГУГЛ не находит. :-P STM32F407ZGT6 А такие получаются по цене дороже. Это если в загашнике завалялись. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 721] Автор : UT0UM Дата : 22.07.2017 22:52 по цене дороже чем какие? Такого даже ГУГЛ не находит. зато Космодром (http://www.kosmodrom.com.ua/el.php?name=STM32F429ZIT6)находит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 722] Автор : avbelnn Дата : 22.07.2017 22:53 Такого даже ГУГЛ не находит Вы картинку видели? x-это объём флеша. Это если в загашнике завалялись. Да. Я бы конечно STM32H753xI (http://yandex.ru/clck/jsredir?from=yandex.ru%3Byandsearch%3Bweb%3B%3B&text=&etext=1490.0jQ5Pa_O00rRsdtoI3ASNWE6g0-2UQi5_umnsbHhimg.98a2ef1ec0326959b8bb2d99fe7b8dfc2e9a63b4&uuid=&state=PEtFfuTeVD5kpHnK9lio9T6U0-imFY5IshtIYWJN7W-V64A9Yd8Kv5jpd809qKR65jgw7rpl_I720CGkYA0p680v8sviIByE3cD2zypqPzocrtrIpa7caw,,&&cst=AiuY0DBWFJ4CiF6OxvZkND0oYee33x40QPIEdMpign7mnheqzhyycObVpo52ioSedXW-Elxf_IcotmoE2jgjzpZIbtW7QrzEMoVxQmbfBJRn8L5Vh6nLZgKal62rIgyMTrcy5ZtTJ67Lnw8pGUch4NlFL1pytaUuYAMGYO-hBX6RfKPfp12dqrQMkSnLdlfp9MbGe9fiMZwlFtJKitcYivDCHCPqG-fRboxtVk6v0CSu1MVJJ45viQuLuXWrxaocjDDMe8jvmH-qU6E7qtC3kMsUQothcVhTP-4kBgDtg-vHu15v47s2QbR0pUSUvIzfc6ImBzFQHubA0g6_abiPfDCG2_KHTFl_Frcy301O6B7zbIKyZU3KJSExrkwIXIOKYpGEsaPmj4rlJNpYj5FprpgofG2YgvuHwKVUJY89rM37r1htft8EOSfqO64CKagXf8Akg5m-hQ6_QY7FgWrRnfFPUZAAtCFV6iVZ-_rDdHfxD-ssg4AVMh86Xr_ytZAGE_qOCSlKb0NsrqGuNUl2C5lDJvPpH7r0Ul_qY6z09jpDwU9ELVQ6s59nWSdxmy_MtHEy2GVAdk2XnFPmZ-FgWwycLcKF5b8BsCQ5MxVVGXLqvVyUOukt5HszBX4eOWTzD3YZfK5hmzhCqMrJ7SOa8OXsIQ9ifzzJz4LSM3eSRz4noys539E-fXeG4mWSBcHUCKG4ATB_ryo,&data=UlNrNmk5WktYejR0eWJFYk1LdmtxcHlDWFBwYjRsbjBjeUFZX3h1NFhBRjFDb2V0NERxRUx2ejJjZ3o2cF8wSS1GZmQ1MUxMR2xVS1hnT015VkdIS2VqbDItZWx2OEJGSkExRXBvRzJwUm9QVXc5UzFiTjNfUTZDRVNsMi12b293eWxmc0tuY0FSNnprX2ZwV3ZmVXFpUjVwUW5YeDJXVEc4ZkdxUTZQUnJNRkpfQUpyRTFlX0Y0d1Nqc0c0dFFubEtJV2k5Z212WWJCdk5nNGVPUjdQR3lBZGRSSXhqdEJFdjV1WUIyNk12TkhKU3ZwR2g4OEEwODduODh2M2wxVDNTMndhYVo5dG5aMmxZZl9pMjVCODRIOXJNQ201Z2duQkNzWG8tNUlmTWcxTXlJM2lTZk1aY09KTjZucXRoVEh3eGdsM3VQSEFPak5xLWUwMGdYX1pEVjczUml2bXltdmhoS0xQTDhPcE40LA,,&sign=531a29f236ce97c43669e80ca38fd0f8&keyno=0&b64e=2&ref=orjY4mGPRjk5boDnW0uvlpAgqs5Jg3quKLfGKhgcZzlQ3PZ0FIM1Qa5-pvLXD64scKpFY0TZXwl45fdK7qgbcQ,,&l10n=ru&cts=1500753265746&mc=1)поставил,но увы...;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 723] Автор : RA1AGB Дата : 22.07.2017 23:02 Я бы конечно STM32H753xIпоставил,но увы... К осени появятся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 724] Автор : ur5yfv Дата : 22.07.2017 23:09 зато Космодром находит Нет, не надо ! :-PЗа такую цену можно два найти ! Тоже решил пока приемную часть сделать. Ждем детали и плату. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 725] Автор : Павел Непийвода Дата : 22.07.2017 23:27 Вот это уже нравится схема одна, а вариантов исполнения её уже несколько. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 726] Автор : Genadi Zawidowski Дата : 22.07.2017 23:35 решил пока приемную часть сделать Заложили бы на вырост 144-ногий вариант... Переход F4 -> F7 -> H7 без переделки платы. Хотя, использование I2Sxext ставит большой жирный крест на переход к старшим процессорам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 727] Автор : ur5yfv Дата : 22.07.2017 23:49 Заложили бы на вырост 144-ногий вариант... Геннадий! Пока не очень есть времени, это немного подправленная "ТоПоР-ая" работа. Это за день "загорелся", развел и отправил китайцам в производство. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 728] Автор : UR3ACH Дата : 23.07.2017 10:15 Приём работает,передачу пока не проверял Ну и конечно тупой вопрос. Как на прием? По сравнению с чем-нибудь. С каким-либо трансивером. Да хоть с тем что на аватаре. Вообще хотелось бы услышать мнение всех кто собрал данный трансивер и запустил на прием. Стоит "париться" собирать . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 729] Автор : avbelnn Дата : 23.07.2017 11:52 Ну и конечно тупой вопрос. Как на прием? По сравнению с чем-нибудь. С каким-либо трансивером. Да хоть с тем что на аватаре. В виду того,что программный код обработки применяется от Георгия RX9CIM то и параметры соответственно схожи с параметрами приёмника Пион и Тюльпан,которые построены по одному принципу:частотная область обработки для возможности применения фильтра большого порядка (1024) в ФОС(фильтре основной селекции),далее временная обработка ну и т.д.Собрав и Пион и Тюльпан могу сказать,что качество приёма на уровне.Применение смесителя по схеме от FLEX1500 позволило избежать набегающих ошибок в широкой полосе частот и нужно всего удвоенную частоту приёма(а не учетверённую).Ну и графика со всеми "рюшечками",чего не хватало приёмнику Пион,делает этот трансивер (с моей точки зрения)весьма привлекательным. P.S в том,что на аватаре это как нибудь в следующей теме,если в кратце:экспериментальный DDC/DUC трансивер,состав-ADS5500(14bit),Altera Cyclone 22тыс.SYS и DSP- STM32F746.DDC->SAI 4 slota(2 приёмника,микрофон или лин вх.,резерв) (192-96-48кГц)->спектр,водопад->децимация(временная область) до 12(24AM) КИХ фильтр 514 порядка(расчёт фильтров на лету с разным порядком и крутизной ачх)фазовый метод два фильтра -45+45 грд.,демодуляция,ару,эквалайзер и т.д.ну и в обратном порядке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 730] Автор : RV3DLX Дата : 23.07.2017 12:35 это как нибудь в следующей теме Думаю многим будет интересно, если Вы откроете тему по этому трансиверу. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 731] Автор : Jozef55 Дата : 23.07.2017 12:48 "DSP- STM32F746.DDC" Привет . Это было сделано на Discovery STM32F746G ?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 732] Автор : avbelnn Дата : 23.07.2017 12:58 Думаю многим будет интересно, если Вы откроете тему по этому трансиверу С выходом STM32H753xI схема трансивера будет полностью переделана(вместо двух 746),программа переписана под этот проц.В алгоритмах и методах нет ничего революционного,всё достаточно хорошо описано в литературе.Всё это хобби и выкладывать полуфабрикат на переходном этапе как то не очень.Если посмотреть как это работает на какой то стадии:поиск видео "трансивер АСП". Прошу автора сорри,что не по теме. Это было сделано на Discovery STM32F746G Нет,самодельная плата,дисплей 7" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 733] Автор : UR3IQO Дата : 23.07.2017 13:03 поиск видео "трансивер АСП". На вид как тюльпан, наверное на его базе сделано? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 734] Автор : avbelnn Дата : 23.07.2017 13:13 На вид как тюльпан, наверное на его базе сделано? Насколько мне известно R6DAN исходники не выкладывал,графика,да похожая,понравилось оформление человека с художественным вкусом.Под другой проц может переоформлю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 735] Автор : UA3AHI Дата : 24.07.2017 15:52 R3DI Можно поставить в меню режим CW на верхней боковой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 736] Автор : R3DI Дата : 24.07.2017 17:45 Можно поставить в меню режим CW на верхней боковой? Не понял, это отображение на экране только потому как не в DC формируется, а реальная частота выхода та что на экране( показания частоты ), не зависимо от выбранного тона CW ( тон, это только прием на слух,.... с какой НЧ частотой прослушивать несущую например на 7 030 кГц ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 737] Автор : Genadi Zawidowski Дата : 24.07.2017 18:58 Евгений, речь о выборе боковой - в какую сторону меняется тон прослушиваемыъх станций при изменении частоты (у меня СW/CWR, у кунвуда - CW/CW-R). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 738] Автор : R3DI Дата : 24.07.2017 19:07 Genadi Zawidowski, приветствую, таки ввел нормально звук в комп 48180. В какую сторону , а для чего это нужно имея очень узкополсные фильтра?Насколько я понял, в том же фт817, это если рядом вторая станция на высоком тоне и мешает, то преключение ее в нч тон переведет, опять же там широкие фос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 739] Автор : Genadi Zawidowski Дата : 24.07.2017 19:11 Как-то исторически привык (я за себя говорю) еще с тех времен, когда боковая полоса была прибита гвоздями в зависимости от диапазона. Еще есть особенности в моих трансиверах, когда при переклчении SSB-CW-SSB при широкой полосе не меняется картина эфира, благодара сохранению боковой (корректируется частота настройки). Кроме того, полностью отсутствие необходимости наступит где-то при 50-ти герцовом фильтре, во всех остальных случаях направление заметно. Что скажут остальные? ввел нормально звук в комп 48180 Поздравляю! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 740] Автор : R3DI Дата : 24.07.2017 19:22 LSB USB шумавая картина не меняется, но на CW там свои фос узкополосные, не может не меняться, если канечно такие же фос выставит, но слушать CW на 2.7кГц.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 741] Автор : Genadi Zawidowski Дата : 24.07.2017 19:25 но слушать CW на 2.7кГц А почему нет, если не нужно отстраиваться от кого-то? А переключение режима работы с переворотом боковй к тому же - оператор просто потеряет станцию. Одно из желаний, которе я вополощал в железе - возможность в любом режиме работы включать любые фильтры. Почему нельзя включить 6 кГц для SSB? Для телеграфа? Поставить опору посередине полосы пропускания (у меня - CWZ)? Почему нужно запрещать перестройку частоты при передаче? Запретить включение на передачу вне любительских диапазонов? Это устройство для использования квалифицированным оператором, он знает что ему надо делать. LSB USB шумавая картина не меняется Я как бы не про шумовую картину говорил, даже такого слова не уптребил... Картина эфира, обстановка - когда кто-то выше, кто-то ниже, корреспондент на той же частоте вещает после перключения режима работы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 742] Автор : R3DI Дата : 24.07.2017 19:32 Genadi Zawidowski, не понял про перевороты боковых....вот работаю в cw на 7010 какие у меня боковые? У меня изначально хоть 24кГц, и DRM слушать можно, и передача.... не никаких ограничений -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 743] Автор : Genadi Zawidowski Дата : 24.07.2017 19:37 это разумеется про прием, про то, с какой частотой в наушниках вы услышите того, кто работает в CW на 7010.2 Вашего корреспондента на 700 герц (например), указанного человека - 500 или 900 герц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 744] Автор : ua3rmb Дата : 24.07.2017 19:38 вот такие... ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 745] Автор : Serg Дата : 24.07.2017 19:54 не понял про перевороты боковых....вот работаю в cw на 7010 какие у меня боковые? На прием боковые (точнее одна боковая) есть, т.к. чтобы сигнал слушать не по нулям надо частоту опорного сигнала настроить выше или ниже сигнала в эфире, на те самые 500-1000Гц (смотря кто с каким тоном слушать привык). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 746] Автор : R3DI Дата : 24.07.2017 20:01 спрошу по другому, а нужно ли вооще слушать с боков?При узком фильтре имеет разница 680 или 720 Гц рядом станция? ....вот и в описании в сообщении от ua3rmb, там этот случай как помеха, или я чего не понимаю все таки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 747] Автор : ua3rmb Дата : 24.07.2017 20:04 т.е. не телеграфист... спрошу по другому, а нужно ли вооще слушать с боков именно для того, чтобы не слышать помеху. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 748] Автор : R3DI Дата : 24.07.2017 20:07 ua3rmb, не телеграфист уже писал, так фильтр 30 Гц включить и никаких помех не будет, или все же бока слушать нужно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 749] Автор : avbelnn Дата : 24.07.2017 20:27 и никаких помех не будет Так у Георгия всё реализовано,"подрезка" фильтра как снизу,так и сверху+ещё shift(сдвиг всего фильтра как выше,так и ниже).Чего ещё не хватает?Оперативно менять одной кнопкой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 750] Автор : Serg Дата : 24.07.2017 21:10 не телеграфист уже писал, так фильтр 30 Гц включить и никаких помех не будет Дело даже не в помехах, это при аналоговом приемнике можно т.о. от помех отстроится, т.к. верхний и нижний скат фильтра могут иметь разную крутизну. Скорее тут дело привычки и однотипности с буржуйскими трансиверами. Я к примеру, привык к тлг с верхней боковой (т.е. когда гетеродин-подставка настраивается ниже частоты сигнала). Если с нижней - то тональность сигналов "не в ту сторону" будут перемещаться при вращении валкодера. Это еще удобно тем, что на ВЧ диапазонах переключая CW-USB будешь на месте или почти на месте, а если под нижнюю боковую телеграфный BFO работает, то от ссб частоты еще дальше будешь, без присутствия авто-поправок в контроллере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 751] Автор : rx9cim Дата : 24.07.2017 21:11 Есть подводный камень при сильном сужении полосы - фильтр 30Гц можно сделать, но его эффективность (коэффициент прямоугольности) будет ниже чем у более широких , например 100-200Гц. Советую в матлабе этот момент посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 752] Автор : UR3IQO Дата : 24.07.2017 21:22 Что скажут остальные? Я у себя имею CW-U и CW-L на выбор. Зачем нужно, ну я например привык, чтобы на всех диапазонах одинаково тон менялся. За то время пока были две страницы форума исписаны можно было это уже добавить несколько раз ;):) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 753] Автор : R3DI Дата : 24.07.2017 21:34 Может нужно было пояснить, что у меня полоса фильтра CW задается относительно тона CW, ( например 150Гц (можно и меньше) это 700-75/700+75= 625..775 Гц ). Переключение фильтра оперативно с кнопок трх +- и дублировано на тангенте вне зависимости от выбранного меню нижних кнопок. Вот и не могу понять - работаю например со станцией ( она у меня звучит 700 Гц ) и есть другая станция (помеха) 680 Гц, перевод режима на CWR переведет с 670 на 730 Гц - в этом смысл, мне кажется что эти будет не заметно ? Можно и уже фильтр включить. Но даже с таким фильтром те станции что на спектре практически "сливаются" - их практически не слышно( только центральную слышно). Другое дело если все таки необходимо прослушивать полосу много шире чем работа одной станции, но опять, учитывая "зеркальность" фильтра относительно выбранного тона, будет только перевод с нч на вч или наоборот. Мне видится "шамаство" с CWR в трх в которых физические фос и по другому никак их не "с узить". В SDR кнопкой можно включить фильтр, в который едва "пролезит" нужная CW станция, CWR в этом случае ничего не изменит. Добавлено через 6 минут(ы): фильтр 30Гц можно сделать ну эт я загнул канечно :-P, ток что попробовал в 100Гц станция прям в аккурат проходит. Добавлено через 5 минут(ы): пока были две страницы форума исписаны можно было это уже добавить несколько раз ... ага по дороге домой с работы, в телефоне за рулем :super:. Будет время добавлю, было б просто добавил, но не скоро это точно... пока usb интереснее, да и по основному есть еще что делать, по меню и тд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 754] Автор : UR3IQO Дата : 24.07.2017 21:36 Может нужно было пояснить, что у меня полоса фильтра CW задается относительно тона CW Вы не одиноки. У меня на выбор - полоса/сдвиг/верх/низ, но вопрос не в этом. Вот и не могу понять - работаю например со станцией ( она у меня звучит 700 Гц ) и есть другая станция (помеха) 680 Гц, перевод режима на CWR переведет с 670 на 730 Гц - в этом смысл, мне кажется что эти будет не заметно ? Если разнос частот будет чуть больше, то будет заметно. Разный тон воспринимается по разному на слух. Но я эту "фичу" для борьбы с помехами никогда не использовал. Просто для удобства и универсальности. Это как с электронным ключем - я привык тире под большим пальцем, а другие точки под этим пальцем. Пришел знакомый пришлось пикать перевернутым ключем - с тем пор добавил это дело, чтобы переключалось (хотя лично мне оно как бы и не нужно) :) ага по дороге домой с работы, в телефоне за рулем Ну кто ж знает где Вы были... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 755] Автор : Serg Дата : 24.07.2017 21:38 Зачем нужно, ну я например привык, чтобы на всех диапазонах одинаково тон менялся. +1. А штучки про отстройки от помех - это для аналоговых аппаратов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 756] Автор : UR3IQO Дата : 24.07.2017 21:38 фильтр 30Гц можно сделать, но его эффективность (коэффициент прямоугольности) будет ниже чем у более широких , например 100-200Гц Тем не менее на аналогичной отстройке он будет давить помеху лучше более широкого. Хотя в моей практике в фильтре менее 100Гц особой нужды не было, хотя есть в наличии довольно "честный" 50Гц фильтр. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 757] Автор : avbelnn Дата : 24.07.2017 21:50 Раз уж речь о CW,вопрос:на сколько удобно пользователю функция точной настройки на станцию,как в FT-светодиод меняет цвет на фиалетовый?(тоже не телеграфист),особенно наверно при большом шаге вылкодера ощутимо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 758] Автор : R3DI Дата : 24.07.2017 21:51 полоса/сдвиг/верх/низ полоса - понятно сдвиг - это тон ? верх/низ - в этом есть необходимость ? ( полоса ? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 759] Автор : PA8MM Дата : 24.07.2017 21:56 Дабы не утруждать автора с пересылкой платы - есть ли возможность выложить gerber файл для самостоятельного заказа? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 760] Автор : avbelnn Дата : 24.07.2017 21:59 верх/низ - в этом есть необходимость ? ( полоса ? ) Да меняйте боковую и всё,я про сдвиг на той же боковой говорил267364 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 761] Автор : R3DI Дата : 24.07.2017 22:05 Да меняйте боковую и всё,я про сдвиг на той же боковой говорил Простите меня, но я походу опять тупить буду :-P, а не проще кнопку FILT- нажать (на узкополосный) и обратно, нежели сначала установить меню энкодера на режим изменения сдвига фильтра а потом его крутить искать. ( я не язвлю, я правда интересуюсь) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 762] Автор : UR3IQO Дата : 24.07.2017 22:07 полоса - понятно сдвиг - это тон ? верх/низ - в этом есть необходимость ? ( полоса ? ) У меня два отдельных энкодера, которые могут работать в двух режимах: CNT/WIDTH (один меняет центральную частоту фильтра, оно же "сдвиг", второй меняет полосу пропускания относительно центральной частоты) LO/HI (один меняет частоту нижнего среза фильтра, второй - верхнего) Обычно в телеграфе использую первый вариант, но бывает удобнее и второй - они переключаются у меня оперативно. Что Вы имеете ввиду под словом "тон" не совсем понятно. Высота принимаемого тона сигнала при "сдвиге" фильтра (изменении его центральной частоты) не изменяется. а не проще кнопку FILT- нажать (на узкополосный) и обратно, нежели сначала установить меню энкодера на режим изменения сдвига фильтра а потом его крутить искать. ( я не язвлю, я правда интересуюсь) Это вопросы эргономики - у меня два энкодера всегда привязаны к управлению фильтром, как Вы сделаете это уже Вам виднее. Здесь у каждого свое видение как "правильнее" сделать интерфейс пользователя :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 763] Автор : R3DI Дата : 24.07.2017 22:09 Высота принимаемого тона сигнала при "сдвиге" фильтра (изменении его центральной частоты) не изменяется. не могу понять, тогда на что это влияет? ( может видео есть посмотреть, только SDR, не аналоговый ) ... понял -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 764] Автор : avbelnn Дата : 24.07.2017 22:14 а не проще кнопку FILT- нажать (на узкополосный) и обратно Этим Вы ширину фильтра меняете,а при смене боковой фильтр тот же остаётся.На слух смена боковой особенно ощутима у аналоговых аппаратов,где разная крутизна фильтров.Коррекция BFO само сабой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 765] Автор : R3DI Дата : 24.07.2017 22:19 На слух смена боковой особенно ощутима у аналоговых аппаратов,где разная крутизна фильтров.Коррекция BFO само сабой. с аналоговыми то понято, мы ж про SDR , там смена боковой как, только если полоса широкая вч-нч изменится, а при узкой..... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 766] Автор : avbelnn Дата : 24.07.2017 22:28 а при узкой..... Если был бы только сигнал,но Вы же слышите всё,что попадает в полосу фильтра и шум в паузах...Тогда уж можно поставить ворота в герц 50 и свой тон включать по сигналу:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 767] Автор : R3DI Дата : 24.07.2017 23:29 Я у себя имею CW-U и CW-L на выбор. Зачем нужно, ну я например привык, чтобы на всех диапазонах одинаково тон менялся. Схема и алгоритм у "Маламута" такой, что как раз и не нужно CW-U и CW-L переключать , чтобы на всех диапазонах одинаково тон менялся. Полная путаница.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 768] Автор : Genadi Zawidowski Дата : 24.07.2017 23:34 чтобы на всех диапазонах одинаково тон менялся Я отношусь к тем, кому надо чтобы тон на разных диапазонах менялся по разному. Причины я описывал выше (в том числе при переклбчении режима приема из SSB в телеграф). Я не отношусь к пользователям Вашего трансивера, просто рассказываю о важных на мой взглял сервисных функциях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 769] Автор : UR3IQO Дата : 25.07.2017 08:12 Схема и алгоритм у "Маламута" такой, что как раз и не нужно CW-U и CW-L переключать , чтобы на всех диапазонах одинаково тон менялся. Полная путаница... Путаницы нет. У меня тоже все в одну сторону будет без лишних "движений", но мне нравится работать на верхней боковой, Геннадию чтобы на разных диапазонах по-разному, а кому-то другому на нижней. Имея возможность переключить все будут довольны :) Учитывая, что Ваш аппарат уже не в единичном экземпляре вопрос становится более актуальным. Я про это. P.S. Просто рассказал, как сделано у меня и почему это может быть нужно. Без каких-либо претензий на "истину" :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 770] Автор : alex_m Дата : 25.07.2017 10:03 Подскажите, а где можно почитать о различных способах демодуляции CW и SSB? В коде разных SDR встретил совершенно разные способы. Хотелось бы почитать теорию по этому делу :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 771] Автор : R3DI Дата : 25.07.2017 11:24 В Маламуте, думаю можно сказать что в смесителе, ППП получается, далее комплексное FFT разделяет зеркальные каналы, затем ограничение полосы через фос и все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 772] Автор : UA3AHI Дата : 25.07.2017 11:46 Добрый день Евгений. <Можно поставить в меню режим CW на верхней боковой?> Постараюсь объяснить почему обратился с такой просьбой. Как пример : диапазон - 7070 кгц Mode - LSB 2,7 kHz в меню включаем режим TONE, переходим IQ Imb,убираем балансировкой не нужную боковую в режиме TX в ноль. выходим из меню выключаем TONE меняем Mode - CW 0,4 kHz. работаем ключом , на анализаторе отличный CW сигнал (не нужная боковая в нуле). теперь переходим на диапазон - 14200 кгц Mode - USB 2,7kHz после аналогичной балансировки включаем режим CW 0,4kHz, ключом даем телеграфный сигнал и на анализаторе видим с основным сигналом ,сигнал на не нужной боковой -40db. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 773] Автор : R3DI Дата : 25.07.2017 11:55 UA3AHI, День добрый. А калибровка на 40 на 20ку не влияет, для каждого диапазона своя. Но все равно добавлю. ... Не внимательно прочитал, второе предложение отбой. ...-40db, а какая у Вас схема, на каких элементах собрана? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 774] Автор : R3DI Дата : 25.07.2017 13:05 Да и как то странно получается.... 1- диапазон - 7070 кгц Mode - LSB 2,7 kHz TONE 0,4 kHz - выходная частота получается 7069,6 kHz - настройка IQ Imb - Mode CW 0,4 kHz. - выходная частота получается 7070 kHz - дельта (по настройке) 0,4 kHz (не нужная боковая в нуле) 2 - диапазон - 14200 кгц Mode - USB 2,7 kHz TONE 0,4 kHz - выходная частота получается 14200,4 kHz - настройка IQ Imb - Mode CW 0,4 kHz. - выходная частота получается 14200 kHz - дельта (по настройке) 0,4 kHz (не нужная боковая -40db) Этот факт как то странно выглядит , да цифра меня смущает, довольно высокая... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 775] Автор : UA3AHI Дата : 25.07.2017 13:45 Евгений , по телефону проще, 915-220-9698 Анатолий -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 776] Автор : R3DI Дата : 25.07.2017 16:08 Тестовая прошивка(hex) с CWL CWR , USBCAT нет, пока пробую usb_audio. 267393 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 777] Автор : UA3AHI Дата : 25.07.2017 17:19 Все как было, изменений нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 778] Автор : R3DI Дата : 25.07.2017 18:41 Тестовая прошивка(hex) с CWL CWR , USBCAT нет, пока пробую usb_audio. Извиняюсь, не тот файл запаковал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 779] Автор : UA3AHI Дата : 25.07.2017 22:06 Смогу проверить только через 2 дня ,сейчас не на работе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 780] Автор : R3DI Дата : 26.07.2017 18:32 На контролере опечатка STM32FVTG6 ??? ((( В последней схеме STM32F407VGT6, какая опечатка ? осталось ссылок на Алли ,где заказывали Комплектующие Валкодер кодеки и ПЕшки все что заказывал на Алли,а ну и тангента, но это было так давно что ссылки больше не работают эти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 781] Автор : RA6ANR Дата : 27.07.2017 09:45 https://www.youtube.com/watch?v=lG4XbRFb9zc Думал что на солнце не будет хватать яркости дисплея-оказалось ошибался)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 782] Автор : РУСИЧ Дата : 27.07.2017 17:56 В последней схеме STM32F407VGT6, какая опечатка ? Пардон, это у меня распечатка видать старая (((( Сейчас зашел на диск там все ОК!!!:пиво::пиво::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 783] Автор : UA3AHI Дата : 28.07.2017 09:54 Евгений , проверил SDR_V2.u.hex - все просто отлично!!!!!:-P Сегодня утром 07.20 мск слышал RA6ANR\p на 40 метрах. Отличный сигнал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 784] Автор : RA6ANR Дата : 28.07.2017 10:49 UA3AHI, антенна практически на грунте лежала,около 5м высота,плюс машины под ней.все кого слышал мне отвечали и часто отмечали хорошее качество сигнала)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 785] Автор : R3KBL Дата : 28.07.2017 11:56 А прибор в черной коробке что есть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 786] Автор : RA6ANR Дата : 28.07.2017 12:04 R3KBL, такой же как на одной из фотографий на ядиске Евгения))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 787] Автор : РУСИЧ Дата : 30.07.2017 18:42 TO R3DI Размеры корпуса трансивера 140*190*60 G767???? Чёто я такого у Китайцев не найду(((( Вот только что нашел((( https://ru.aliexpress.com/item/1-piece-free-shipping-ABS-plastic-enclosure-desktop-electric-meter-panel-plastic-electronic-enclosure-140-170/2038449773.html?spm=a2g0v.10010108.1000013.15.wkqAIZ&traffic_analysisId=recommend_2088_8_81019_new2&scm=1007.13339.81019.0&pvid=c18e7f29-123e-4355-9014-fb841b026821&tpp=1 Не поможете???:пиво: Может 140*170*60???? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 788] Автор : UR7HBP Дата : 30.07.2017 18:49 G767 - пластиковый корпус Gainta (https://www.google.com.ua/url?sa=t&rct=j&q=&esrc=s&source=web&cd=2&ved=0ahUKEwjbz-qmrbHVAhXB7BQKHT6bC0MQFggpMAE&url=http%3A%2F%2Fwww.gainta.com%2Fg767.html&usg=AFQjCNFd1D1-lKb4Z0YeifbRgdcpztmPnA) Пластиковый корпус G767 — пластиковый корпус светло-серого цвета, производится из высокопрочного ABS пластика UL-94HB. Габаритные размеры составляют: по длине 140мм, по ширине 190мм и по высоте 60мм. На внутренней поверхности корпуса отлиты стойки для горизонтального и направляющие для вертикального размещения печатных плат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 789] Автор : R6BK Дата : 30.07.2017 18:50 Не поможете??? Может 140*170*60???? Вот такой: https://www.chipdip.ru/product/g767 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 790] Автор : РУСИЧ Дата : 30.07.2017 21:17 Вот такой: Понял! Спасибо!!!:пиво::пиво::пиво: У на с Воронеже в Чип-дипе только под заказ(((( А Воронеж от меня 250 км((( Почему нет на Алли????:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 791] Автор : UA3SKC Дата : 30.07.2017 21:20 Люди, подскажите где купить печатную плату к трансиверу? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 792] Автор : RA6ANR Дата : 30.07.2017 21:42 РУСИЧ, в чип и дип есть довольно удобный вариант доставки через Евросеть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 793] Автор : РУСИЧ Дата : 30.07.2017 22:31 доставки через Евросеть Написал письмо! Жду ответ))) печатную плату к трансиверу? Я Брал у Автора!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 794] Автор : RA6ANR Дата : 30.07.2017 22:49 РУСИЧ, там при оформлении заказа есть выбор способа доставки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 795] Автор : РУСИЧ Дата : 30.07.2017 23:24 выбор способа доставки Написал в письме о просьбе" Почтой России" Просто больше ничего нет((( Про транспортные компании можно даже не мечтать !!! Все за 250 км(((:-( Посмотрим что ответят! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 796] Автор : R6BK Дата : 31.07.2017 10:17 Все за 250 км(((Посмотрим что ответят! А зачем ждать ответа? Кидаешь в корзину, оформляешь заказ, оплачиваешь и выбираешь способ доставки - Почта России. Ждешь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 797] Автор : RD3Q Дата : 31.07.2017 11:51 РУСИЧ, напиши в л/с что нужно, может помогу чем смогу!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 798] Автор : РУСИЧ Дата : 01.08.2017 21:52 что нужно, может помогу чем смогу Спасибо!!!:пиво::пиво::пиво: Все разрулил :smile: Жду посылки с Чип и Дип ну и с Алли! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 799] Автор : RA4HJW Дата : 02.08.2017 11:26 Чёто я такого у Китайцев не найду(((( да они всё прячут... под кровать... :ржач::ржач::ржач: Любой цвет и размер, моделирование на cnc под любой фиговый листочек, окраска, шелкография... https://szomk.en.alibaba.com/productgrouplist-213058386-1/Aluminium_Case_Desck_top_cabinet_dinah_Plastic.html?spm=a2700.8304367.costd19dbc.6.7919501a9xIfte&isGallery=Y люминь, железо, пластик... каталоги шлют на e-mail... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 800] Автор : R0SBD Дата : 02.08.2017 11:56 Любой цвет и размер, моделирование на cnc под любой фиговый листочек, окраска, шелкография... https://szomk.en.alibaba.com/product...te&isGallery=Y Так алибаба - это вроде только оптовые поставки, для бизнеса там и т.п., для физ лиц - алиэкспресс. По вашей ссылке мин. партия 10-20 штук одного номинала, а оно надо? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 801] Автор : RA4HJW Дата : 02.08.2017 12:54 партия 10-20 штук одного номинала, а оно надо? так цены копеечные... двое-трое скинулись и выписали... три корпуса такого плана в хозяйстве самоделкиных лишними не будут стопудово. а пластик-десктоп-кейс меньше 5 баксов вообще конфетка https://szomk.en.alibaba.com/product/60582832583-219875689/Hot_selling_abs_plastic_desktop_enclosure_casing_for_equipment.html?spm=a2700.8304367.prewdfa4cf.103.29ce44e9a9Qcj1 выписывал ранее подобное на своё физлицо - счет в банке, инвойс, почта... вообще проблем не видел... но я не уговариваю...;-) :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 802] Автор : РУСИЧ Дата : 02.08.2017 22:41 Любой цвет и размер Спасибо я все это находил! Имелось ввиду авторский вариант G767 140*190*60 Заказал уже в Чипе -Дипе! Посылка в пути! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 803] Автор : R0SBD Дата : 03.08.2017 05:40 А во сколько вся комплектация с али выходит (чисто микросхемы). Что-то я прикинул у меня получается в районе 2-3 тыс. руб. , не считая печатной платы и индикатора tft. Сколько кстати печатная плата стоит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 804] Автор : ua3ycv Дата : 03.08.2017 08:20 вроде как около 1.5+пересыл-но некоторые позиции у кетайцев так и не нашёл:smile:по микрухам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 805] Автор : R3DI Дата : 04.08.2017 09:55 Сделал два заказа плат с промежутком в неделю, и все равно, оба заказа опять висят в Европе :evil::evil: Поговорил с Китайцами, могут ЕMS отправить, по срокам пишут 7-15дн, но будет дороже, вот как постом выше только без около, если интересно пишите в лс, если заказ неберется то закажу с ЕMS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 806] Автор : UA7KJ Дата : 04.08.2017 10:52 R3DI, 3дравствуйте Евгений! Заказывал как то у этого человека https://ru.aliexpress.com/item/HQPCB-HQEW-PCB-Prototype-Manufacturing-Laser-Stencils-with-Frame-Quick-Delivery-Free-Shipping/2044986641.html?spm=a2g0s.9042311.0.0.obsCfU отправка в течении 4х дней. Это было пол года назад. Получил через 3 недели причем цена была почти в 2 раза меньше чем у других а качество отличнейшее. Заказывал 10 плат прислали 11. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 807] Автор : R3DI Дата : 04.08.2017 11:00 UA7KJ, Приветствую!С ними и работаю, мне тоже то за 12 дней до стола, а том месяц просто без движения в европе, они и говорят что могут EMSом но дороже будет и быстрее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 808] Автор : R3DI Дата : 04.08.2017 20:01 ”Запел” Маламамут и с УСБ на передачу, бывает ”песок” проскакивает, но это наверное с буферизацией нужно поколдовать. С Маламута на комп, сигнал идет чистый, но вот что беспокоит, иногда при подключении при первой передачи из SOF валится в ХардФолт, прям ну ни как не стартует, трекером ничего нового не вижу, все то же, что и когда все работает, шаманство какое то, так же в ХардФолт если вынуть шнур Усб во время обмена, ну не хорошо это. Может поскажет кто, куда капать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 809] Автор : RV4LX Дата : 04.08.2017 20:56 Заказывал как то у этого человека https://ru.aliexpress.com/item/HQPCB...311.0.0.obsCfU отправка в течении 4х дней. Это было пол года назад. Получил через 3 недели причем цена была почти в 2 раза меньше чем у других а качество отличнейшее. Заказывал 10 плат прислали 11. Довольно демократичные цены на печатные платы: https://easyeda.com Тоже китайцы. Делают в течении недели, отправляют с треком. Есть обратная связь с ними. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 810] Автор : UR3IQO Дата : 04.08.2017 21:25 Может поскажет кто, куда капать? посмотреть из хардфаулта откуда в него попали, потом анализировать из-за чего попали. ИМХО, сделать обработчик обработчик такого вида: void HFHandler(void) { volatile int i = 1; while(i); } Когда в него попадете запишите состояния регистров, измените i на 0 и прошагайте с выходом в точку возникновения проблемы, потом посмотрите дизассемблером (используя сохраненные значения регистров) что там делалось перед HF. Не помню какой у Вас процессор, у старших кортексов HF может возникнуть и не в месте выхода. Если fault произошел не в той команде куда возвратились смотрим, что было до нее. Если совсем туго, то смотрим в регистр BFSR, оттуда можно почерпнуть полезной инфы в том числе произошла ли ошибка по адресу возврата или нет. Ну и если так ничего и не понятно через регистр ACTLR можно поотключать разные улучшатели (и, например, сделать из М7 почти что М0, но у меня такой надобности никогда не было, обычно все отлавливается после возврата простым анализом 5..10 комманд до точки возврата). бывает ”песок” проскакивает, но это наверное с буферизацией нужно поколдовать. Без нормального ASRC как бы с буферизацией не шаманили артефакты будут периодически проскакивать всеравно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 811] Автор : Genadi Zawidowski Дата : 04.08.2017 21:40 Олег, STM32F429 там... А для чего SOF потреобвался? Вот и смотреть, что за данные там используются, которых нет еще... Может есть какие предположения, которые неверны? мне SOF был без надобности, данные пришли - звбрал, данные от меня хотят - передал (или ZLP). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 812] Автор : UR3IQO Дата : 04.08.2017 21:57 Олег, STM32F429 там... Ну тогда возможностей вылететь где-то не там намного меньше, чем в М7. мне SOF был без надобности Мне тоже. Вначале использовал для определения разбега частот и синхронизации ASRC, потом перешел на аппаратную реализацию этого дела через второй таймер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 813] Автор : RD3Q Дата : 04.08.2017 22:36 STM32F407VGT6 там установлен! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 814] Автор : R3DI Дата : 04.08.2017 23:16 А для чего SOF потреобвался? Проц 407, переделан пример usbd_audio_core.c (из стандартной иаровской либы), там первый пакет через SOF отправить нужно, иначе usbd_audio_DataIn даже не вызывается пока хоть что нибудь через usbd_audio_SOF не отправит, все до тех пор , пока есть что отправлять в usbd_audio_DataIn, как только нету, опять идет вызов только функции usbd_audio_SOF пока не появится хот какие нибудь данные и так по кругу. Сейчас еще задача - пришел домой, прошил домашний трх той прошивкой что на работе, в диспетчере устройств увиделся и драйвер установился, но вот в Панель управления-Звук - ничего не добавилось ...7ка SP1... Добавлено через 5 минут(ы): данные от меня хотят - передал вот только сначала usbd_audio_SOF вызов идет и в usbd_audio_DataIn даже не попадает пока через usbd_audio_SOF не будет отправки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 815] Автор : Genadi Zawidowski Дата : 04.08.2017 23:25 usbd_audio_DataIn даже не попадает пока через usbd_audio_SOF не будет отправки. После инициализации ендпоинта отправляю ZLP - потом все катит... но вот в Панель управления-Звук - ничего не добавилось ...7ка SP1... Вот решение: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 816] Автор : R3DI Дата : 04.08.2017 23:35 Вот решение: Да уж ))) ... помогло спасибо! и в голову не пришло ))) 268126268127 Геннадий, подскажите как вставить mp3 в пост, так чтобы плеер был, а не просто только через скачивание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 817] Автор : Genadi Zawidowski Дата : 04.08.2017 23:46 Я ничего специального не делал, просто как файл добавлял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 818] Автор : R3DI Дата : 04.08.2017 23:55 Запись через USB (monitor 33 % ) PS Вот вложение, а плеера нет....(((( как то не так вложил ? Понял, не через Вложения , а через Управления вложениями - и тогда есть плеер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 819] Автор : Genadi Zawidowski Дата : 04.08.2017 23:59 Проверяю... Через "управление вложениями" - xxx.mp3 ваш файл. При прослушивании вашей записи хрипы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 820] Автор : UR3IQO Дата : 05.08.2017 10:19 После инициализации ендпоинта отправляю ZLP - потом все катит... У нас мысли идут в одинаковом направлении ;) Я тоже посылаю ZLP после выбора активного аудио интерфейса. R3DI, грязновато все выглядит, думаю от МР3 тоже мусора добавилось, но его и на слух слышно, так что исходно тоже не все в порядке. Если бы выложили кусок в непожатом виде, то возможно можно было бы по спектрограмме определить где проблема. А при сжатии в МР3 получилась каша: 268145 Вот те палки выше основной полоски сигнала надо бы по возможности убрать/уменьшить. Кстати, судя по уровню запас до ограничения маловат. Я бы на Вашем месте попробовал на 6..12дБ уменьшить выдаваемый уровень сигнала. Аналогичная спектрограмма с Неона с такими же параметрами отображения: 268146 Ну и аудио: https://drive.google.com/file/d/0B1t_w96zUhV8UTFmd1VFYVVnLWM/view?usp=sharing -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 821] Автор : UA7KJ Дата : 05.08.2017 20:19 В схеме УНЧ нарисовано две TDA7233 одна в дипе другая в смд включенных параллельно ,что так и должно быть или ставить одну из них? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 822] Автор : R3DI Дата : 05.08.2017 20:32 UA7KJ, на выбор, то что будет в наличии -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 823] Автор : R3DI Дата : 06.08.2017 15:35 потом перешел на аппаратную реализацию этого дела через второй таймер. Можете по подробнее рассказать про этот момент? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 824] Автор : UR3IQO Дата : 06.08.2017 17:57 Можете по подробнее рассказать про этот момент? Для работы ASRC мне нужно знать точное соотношение частоты ОГ компьютера и ОГ своего DSP. Чтобы его вычислить я использую два таймера - один измеряет частоту ОГ в DSP (она определяет частоту дискретизации трансивера), второй таймер измеряет частоту SOF пакетов (она определяет частоту дискретизации со стороны компьютера). На второй таймер заведен сигнал SOF от USB блока, в итоге его можно использовать для измерения частоты SOF пакетов. Далее ASRC выполняет ресэмплинг для каждого отсчета, чтобы согласовать немного отличающиеся частоты дискретизации двух клоковых доменов. Таким образом получается устранить разрывы фазы и артефакты (в моей реализации ASRC мусор лежит ниже где-то -150дБ), а также избежать проблем с буфером. В теме "Как шумит радио" было исписано несколько страниц по этому поводу. Можете заглянуть туда там были разные примеры и небольшое обсуждение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 825] Автор : Genadi Zawidowski Дата : 06.08.2017 19:50 А я считаю, что надо принимать решение о ресэмплинге на основании размера очереди между выходом uac и входом модулятора. Если она растёт - надо корректировать в одну сторону, если в пределах допустимого threshold то оставляем как есть, если грозит опустошиться - в другую сторону. В любом случае данные должны идти непрерывно, если рассчитанное по таймерам отклонение использовать, результат зависит от интервала, на котором измеряем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 826] Автор : UR3IQO Дата : 06.08.2017 21:12 А я считаю, что надо принимать решение о ресэмплинге на основании размера очереди между выходом uac и входом модулятора. Если она растёт - надо корректировать в одну сторону, если в пределах допустимого threshold то оставляем как есть, если грозит опустошиться - в другую сторону. Можно и так, пробовал - работает, но точность определения соотношения частот будет хуже. Описанный алгоритм если вдуматься будет все время болтаться у одного из крайних значений этого самого threshold'a, в итоге получим обычный пропорциональны регулятор с большим джиттером. Положение можно частично исправить добавив ПИД-регулятор, что однако привносит с собой новые грабельки :). Такой вариант стоит использовать там, где по другому никак, например, когда данные приходят по сети с большим и джиттером. Под мои требования точность должна быть очень высокой. Кроме того мой вариант дает минимальный размер буфера и минимальные задержки соответственно. В любом случае данные должны идти непрерывно, если рассчитанное по таймерам отклонение использовать, результат зависит от интервала, на котором измеряем. Не понял что и от чего зависит? Фактически сделан обычный частотомер (точнее два, но то издержки моей конструкции - можно было бы и одним обойтись), данные естественно идут непрерывно. Все это не теория, а реально работающая схема, притом работающая реально хорошо ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 827] Автор : R3DI Дата : 06.08.2017 22:07 В теме "Как шумит радио" было исписано несколько страниц по этому поводу. Пробежался по теме, только в районе 33 стр. но без конкретного решения, хотя может чего упустил... Далее ASRC выполняет ресэмплинг для каждого отсчета ASRC со стороны хоста ? Это на стороне компьютера, мне только сообщить ошибку? Для работы ASRC мне нужно знать точное соотношение частоты ОГ компьютера и ОГ своего DSP. Это тот метод где есть еще один EP для сообщения соотношение частоты хосту? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 828] Автор : Genadi Zawidowski Дата : 06.08.2017 22:28 Не понял что и от чего зависит Каждую милисекунду частотомер выдает соотношение частот. на какой размер блока данных влияет это значение? Такой вопрос я задал еще в той теме "про шумящее радио". от этого зависит возможное перерегулирование в том числе (и джиттер в модулирующем сигнале) - просто при столь минимальном объяснении некоторые вещи могут показаться опасными в реализации. на основании частотомеров делается ресэмплинг. КОлисество данных после ресэмплинга и потребляемого модулятором сходится? не накапливается ли ошибка? Ведь именно это в конце-концов является целью ресэмплинга. в итоге получим обычный пропорциональны регулятор с большим джиттером лог работы показывает что коррекция происходит только в одну сторону, с периоличностью соответствующей ожиданиям (в пределах погрещности е-4 и меньше) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 829] Автор : UR3IQO Дата : 06.08.2017 23:07 ASRC со стороны хоста ? Это на стороне компьютера, мне только сообщить ошибку? Нет. Это я все делаю сам (внутри МК), USB аудио интерфейс синхронный. Это тот метод где есть еще один EP для сообщения соотношение частоты хосту? Нет. См. выше. на какой размер блока данных влияет это значение? Размер блока всегда постоянный - интерфейс синхронный. Отношение влияет на то, как будут сформированы отсчеты, а точнее на их положение на оси времени. Каждый отсчет на выходе блока ASRC интерполируется по времени на основе данных о соотношении частот. В итоге у меня с одной стороны ASRC может заходить больше данный, а с другой выходить меньше, или наоборот. Буфер нужен только для компенсации случайных отклонений частот. КОлисество данных после ресэмплинга и потребляемого модулятором сходится? не накапливается ли ошибка? Ведь именно это в конце-концов является целью ресэмплинга. Да, все ок. Специально проверял - очередь стоит как вкопанная по много часов. Ну и в случае проблемы буфера будут "сброшены". Естественно это вызовет появление артефакта, но при нормальном хосте (который удовлетворяет спецификации) такое невозможно. лог работы показывает что коррекция происходит только в одну сторону, с периоличностью соответствующей ожиданиям (в пределах погрещности е-4 и меньше) e-4 как-то многовато. Я так понимаю, чем больше очередь, тем меньше джиттер? Для определения соотношения частот под мои требования ошибка в 2^-22 была "на грани" даже пришлось извратиться немного т.к. float при использовании его "в лоб" не хватало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 830] Автор : Genadi Zawidowski Дата : 06.08.2017 23:15 e-4 как-то многовато Ну не помню точно... на практике раз в несколько минут добавлялся сэмпл - это явно меньше чем е-4 (Число из спеки, romanetz напоминал). Очередь с нормальным состоянием шесть блоков по 57 стерео сэмплов. со стороны хоста заполяется по 48 стерео сэмплов за милисекунду (или по сколько хост решит), критерием является только размер очереди. Если что, смотреть тут (https://188.134.5.254/browser/hfreceiver/trunk/buffers.c#L822). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 831] Автор : R3DI Дата : 06.08.2017 23:32 с одной стороны ASRC может заходить больше данный, а с другой выходить меньше, или наоборот А на реализацию этого зверя можно где то посмотреть ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 832] Автор : UR3IQO Дата : 06.08.2017 23:43 на практике раз в несколько минут добавлялся сэмпл Стоп. Добавлялся сэмпл это из другого балета - нельза вот так взять и добавить сэмпл без разрыва фазы. Мы получается о разном говорили... А на реализацию этого зверя можно где то посмотреть ? На исходники - нет. Но могу объяснить, там ничего сложного нет. Поднимаем частоту дискретизации во много раз (у меня в 512 раз), после этого вычисляем где должен быть нужный нам сэмпл, после этого делаем интерполяцию (в простейшем случае линейную) по соседним сэмплам интерполированного сигнала и получаем сэмпл, который находится в нужной точке оси времени. А сами точки, где должны у нас быть сэмплы выходного потока вычисляем на основании соотношения частот дискретизации на входе-выходе ASRC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 833] Автор : Genadi Zawidowski Дата : 06.08.2017 23:51 нельза вот так взять и добавить сэмпл В той теме меня уже в этом убедили... когда сделаю ASRC просто будет подключаться в те же моменты что и сейчас - и будет делать 6 * 57 сэмплов из (6 * 57) + 1 или из (6 * 57) - 1 входных, полсле чего ждать следующего повода... Про практику - в одноминутных сеансах передачи WSJT необходимость ресэмплинга не возникает, все решается в пределах допустиимых колебаний размера очереди. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 834] Автор : R3DI Дата : 07.08.2017 00:04 а вот так (http://we.easyelectronics.ru/electro-and-pc/asinhronnoe-usb-audio-na-stm32.html) можно сделать, я так понимаю это речь идет о данных от хоста в МК? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 835] Автор : Genadi Zawidowski Дата : 07.08.2017 00:27 Если интересно - в исходниках mcHF не стали с ASRC заморачиваться пока, функция AUDIO_AudioCmd_FS использует тот же способ выкидывания/вставки (даже без среднего по сосседним) сэмпла. можно сделать, я так понимаю это речь идет о данных от хоста в МК? Я так понимаю, romanetz которгого я упоминал и который писал в моей теме раньше (и оказал мне громадную помощь в разбирательстве с USB общаясь вне форума) описывает вариант на таймерах - который Олег использует? Наверное, можно и так сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 836] Автор : UR3IQO Дата : 07.08.2017 09:36 когда сделаю ASRC просто будет подключаться в те же моменты что и сейчас - и будет делать 6 * 57 сэмплов из (6 * 57) + 1 или из (6 * 57) - 1 входных, полсле чего ждать следующего повода... Это не будет ASRC - ASRC работает не так. Его другое название fractional resampler - возможно оно на какие-то мысли наведет. То, что Вы описываете будет эквивалентно оцифровке с периодически прыгающим клоком. ASRC должен работать всегда пересчитывая каждый сэмп под нужное время. в одноминутных сеансах передачи WSJT необходимость ресэмплинга не возникает. Это не мой путь :) К тому же когда пишешь аудио то есть реальная необходимость, чтобы все работало по много часов. Я так понимаю, romanetz которгого я упоминал и который писал в моей теме раньше (и оказал мне громадную помощь в разбирательстве с USB общаясь вне форума) описывает вариант на таймерах - который Олег использует? Да он тоже вторым таймером измеряет соотношение частот, но ресэмплинг, как я понял, делается хостом через асинхронный аудио интерфейс. У меня же ресэмплинг делается девайсом (stm32) поэтому все пакеты одинаковой длины, ну и я полностью контролирую этот процесс, ибо к внутренностям винды нет доверия у меня, да и помнится официально асинхронное аудио винда не поддерживает (по крайней мере далеко не все версии которые достаточно широко используются). в исходниках mcHF не стали с ASRC заморачиваться Думаю там со многим "не стали заморачиваться" :) Я же приводил разные примеры того, что выходит - меня это не устраивает. Более того драйвера в E-MU0202 тоже небезгрешные и ресемплинг там делается кривовато - на слух этого не слышно, но очень мешает когда меряешь SpectraLABом или чем-то подобным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 837] Автор : Genadi Zawidowski Дата : 07.08.2017 09:57 При чем тут "пишешь по много часов"? В эту сторону то оно точно без пинков работает. О каких таких версиях виндов речь? Хп, 7, 10 проверены- приём бпск везде работал. Что-то из восьмых видов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 838] Автор : UR3IQO Дата : 07.08.2017 10:14 О каких таких версиях виндов речь? ХР официально поддержки асинхронного аудио не имела. В эту сторону то оно точно без пинков работает. Ну значит хорошо :) А насколько качественный ASRC в винде? Добавлено через 6 минут(ы): Собственно вот: https://answers.microsoft.com/en-us/windows/forum/windows_7-pictures/is-implicit-feedback-asynchronous-usb-audio/95b95c3b-53ee-4b27-be51-a3eddd89d7f9 Поддержка асинхронного аудио появилась начиная с Висты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 839] Автор : VFO Дата : 07.08.2017 10:30 Весь смысл проекта асинхронного юсб, чтобы никакого ресемплинга вообще не было. Девайс является источником качественного клока (и соответственно семплов), а выравнивание с хостом достигается за счёт явной обратной связи. Это доступный способ получить bit-perfect, из-за чего на этом помешан весь мир Hi-Fi/Hi-End. Всё это работало в серийных девайсах во времена ХР, а теперь и подавно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 840] Автор : Genadi Zawidowski Дата : 07.08.2017 11:11 а выравнивание с хостом достигается за счёт явной обратной связи. На той аппаратуре что у меня (Stm32, Renesas) наблюдается дефицит ендпоинтов, выделять для обратной связи один "жаба душит" - а на renesas всего два изохронных... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 841] Автор : UR3IQO Дата : 07.08.2017 12:53 а выравнивание с хостом достигается за счёт явной обратной связи Почитайте стандарт это adaptive endpoints, а не asynchronous. Кроме того нужен дополнительный эндпоинт, которые в дефиците, а еще непонятно как обрабатывается все это потом в винде, но подозреваю, что до bit-perfect там далеко, как до Луны. Подумайте сами - какой может быть bit-perfect, если реальные частоты могут разбегаться до +-250ппм оставаясь полностью в USB спецификации. Единственный вариант bit-perfect'a это синхронный обмен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 842] Автор : Alex_US9ICY Дата : 07.08.2017 14:56 Добрый день. Кто-нибудь в Украине занимался заказом плат на эту конструкцию? Я бы приобрел плату. Александр. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 843] Автор : DeXter Holland Дата : 07.08.2017 17:05 заказом плат рисунка авторских плат нету в свободном доступе, поэтому никто не заказывал. ели очень горит, то можете и у автора купить, но проблема в ее стоимости (верней стоимости доставки, мы ж любим подешевле) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 844] Автор : UR7HBP Дата : 07.08.2017 17:13 (верней стоимости доставки, мы ж любим подешевле)Ну вот нас уже трое, может есче кто подтянется. Скопом на всех заказывать, а тут по месту рассылать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 845] Автор : UT8IFM Дата : 07.08.2017 17:52 Здравствуйте. Я возьму одну. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 846] Автор : R3DI Дата : 07.08.2017 18:12 но проблема в ее стоимости (верней стоимости доставки Почта России дорого?:shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 847] Автор : UR3ACH Дата : 07.08.2017 19:47 Ну вот нас уже трое, может есче кто подтянется. Я тоже. Не забудьте.Цену кто-нибудь в личку напишите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 848] Автор : RD3Q Дата : 07.08.2017 20:52 Друзья, Вы хотя бы написали, как Вам в Украину из России эти платы переправить, автор может и не против! Сами знаете какая обстакановка сейчас!:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 849] Автор : R3DI Дата : 07.08.2017 21:01 как Вам в Украину из России эти платы переправить Да я так думаю как обычно, у меня знакомые с работы всякие штуки оправляют и нормально все доходит, вот коллега недавно фрезы отцу оправлял, все дошло. Другое дело оплата - с карты на карту будет или нет, нужно узнавать, знаю что международный перевод точно нет, остается WU. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 850] Автор : Serg Дата : 07.08.2017 21:42 знаю что международный перевод точно нет, Пару лет назад был еще почтовый перевод без проблем, запретили что ли? Можно еще просто вам на пайпал скинуть капусту, если есть учетка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 851] Автор : R3DI Дата : 07.08.2017 22:37 Вот несколько записей через USB uacTRXtoPC-RX - запись эфира через usb uacTRXtoPC-ToneMoni- трх передача тона с режимом монитора, запись через usb uacTRXtoPC-PCtoTRX - передача данных через usb в трх(передача) и запись через usb(монитор) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 852] Автор : UT0UM Дата : 07.08.2017 22:38 Сами знаете какая обстакановка сейчас! какая у вас обстановка? неужели у вас почту закрыли? :shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 853] Автор : Жекаа Дата : 07.08.2017 22:40 В конце месяца возможно буду ехать в украину. Несколько плат могу привезти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 854] Автор : R3DI Дата : 07.08.2017 22:47 Друзья, может уже стоит отдельную тему по Маламуту завести на предмет сборки(повторения), доставки, кто с кем деталями поделится, у кого как почта работает, кто где что заказывал и тд., да и не плохо бы с фотографиями кто как конструкцию сделал, а тот так уже тут вопросы технического характера среди таких сообщений просто теряются ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 855] Автор : UR3IQO Дата : 08.08.2017 00:22 запись эфира через usb Щелкает и на слух, и видно на спектрограмме -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 856] Автор : Genadi Zawidowski Дата : 08.08.2017 00:41 Кстати, я тут попробовал... конвертация в MP3 подчеркивает широкополосные помехи... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 857] Автор : R3DI Дата : 08.08.2017 01:14 Щелкает и на слух, и видно на спектрограмме Можете время указать парочки щелчков? Может это АРУ? На тоне есть(кроме тех моментов где режимы переключаю, их то явно слышно)? ( есть wav , другой записи тоже приема в это же время, но она порядка 50 метров ) Точка "изо" 0x25 ( да и 0х05) так же себя ведет, как с DSP готовы очередные 1024 отправляю пакеты по 256 байт ( 8 посылок 2 байта на семпл ), для 48000 это больше сем нужно. В общем отправка всегда того что есть , без пропусков. Раз в миллисекунду вас спрашивают: есть что передать? отдавай все что накопил! Это можно делать не каждый раз, но то что уходит к хосту, должно без пропусков формироваться. Вот запись тона TRX->PC USB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 858] Автор : Genadi Zawidowski Дата : 08.08.2017 01:55 отправляю пакеты по 256 байт Интересно, а что в IN ендпоинте как размер прописано? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 859] Автор : R3DI Дата : 08.08.2017 02:02 а что в IN ендпоинте как размер прописано 256 , и трекером видно ...отправил 8 пакетов - молчит - потом опять 8 и тд wav tone тот что mp3 выше Я вот что - то щелчков не слышу.( и на mp3 выше тоже ) #define AUDIO_OUT_PACKET 256 int16 RecBuf0[]; USB_DACn,USB_DACp - из прерывания DMA обновляются /* Endpoint - Standard Descriptor */ 0x09, 0x05, AUDIO_IN_EP, 0x25, // (AUDIO_OUT_PACKET&0xFF),((AUDIO_OUT_PACKET>>8)&0xFF), 0x01, 0x00, 0x00, static uint8_t usbd_audio_SOF (void *pdev){ if(usb_Rec == 1 ){ FrameCountUSB_IN++; if( FrameCountUSB_IN > 5000 ) usb_Rec = 2; } if( usb_Rec == 2 ){ if( USB_In_State == 0){ if(USB_DACn == 0){ return USBD_OK; }else{ USB_Recp = 0; for( int i=0; i=1024 ){ USB_In_State = 0; }else{ int i; for( i=0; i=1024 ){ i++; break; } } DCD_EP_Flush (pdev,AUDIO_IN_EP); DCD_EP_Tx (pdev, AUDIO_IN_EP,(uint8_t*)&RecBuf0[0], i*2 ); } } } return USBD_OK; } -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 860] Автор : Genadi Zawidowski Дата : 08.08.2017 02:14 На тоне щелчков нет, Олег говорил про запись эфира... на компютере колонки плохие, но могу (да и Вы сами можете) посмотреть адобе аудишином, например один из выбросов в uacTRXtoPC-RX.mp3 на 0:44:853, 1:18:018 - у меня от такого после детектора фильтр стоит. Где ваш и где мой сигнал: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 861] Автор : R3DI Дата : 08.08.2017 02:28 Где ваш и где мой сигнал у Вас 0.5 для усб или такой сигнал с ару выходит, может тоже так сделать...запас по амплитуде будет когда ару не успеет:???:, а ручку громкости побольше... Геннадий посмотрите предыдущий пост, код добавил. Добавлено через 8 минут(ы): например один из выбросов в uacTRXtoPC-RX.mp3 на 0:44:853 Несколько раз прослушал, и смотрел, ну ничего не слышу и не вижу :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 862] Автор : Genadi Zawidowski Дата : 08.08.2017 02:30 у Вас 0.5 для усб или такой сигнал с ару выходит, может тоже так сделать У меня АРУ с управляемым наклоном характеристики, например модет стоять на каждые 10 дБ изменения входного уровня 1 дБ изменения выходного. 6 дБ запаса означает что еще 50 дБ до перегруза. (максимальный уровень на воспроизведение идет как -1 дБ от FS). При приеме АМ АРУ "плоская" - поддерживает максимальный уровень (255 дБ / 1 дб), при DIGI - 6 дБ / 1 дБ. код добавил DCD_EP_Flush на мой взгляд там вредна. ничего не слышу и не вижу Внеполосный "хруст" очень утомляет оператора, проверено... в том числе и на себе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 863] Автор : R3DI Дата : 08.08.2017 02:41 а каждые 10 дБ изменения входного уровня 1 дБ изменения выходного таки компрессор что ли получается ? 6 дБ запаса означает что еще 50 дБ до перегруза. (максимальный уровень на воспроизведение идет как -1 дБ от FS) Геннадий, не могли бы Вы более простым языком пояснить, я еще не столько в этой теме... мне "таргет" у ару занизить, что выход меньше был, и был запас на случай больших выбросов, пока ару отрабатывает ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 864] Автор : Genadi Zawidowski Дата : 08.08.2017 02:45 Нет... Если АРУ отрабатывает мгновенно, как и у меня. Мгновенные изменения (уменьшения) амплитуды дают широкополосные выбросы спектра, которые как щелчки и хруст воспринимаются. Пока забить, разбиратьcя с USB. Вариант с задержкой сигнала и плавным его "зажиманием" у меня не пошел, перегрузы все равно были... Ну уберете target, будет тихо... из 16 ти разрядов с одним распрощаетесь... компенсировать усилением по НЧ попытаетесь - те же перегрузы но в УНЧ будут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 865] Автор : R3DI Дата : 08.08.2017 02:49 Скорее всего АРУ отрабатывает мгновенно, как и у меня. Вот и у меня такое предположение, тон то чистый. Пока забить, разбиратьcя с USB А с чем разбираться, тогда получается что USB работает( по перегону данных в обе стороны ), есть пара вопросов по инициализации и отключению, но наверное уже не сегодня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 866] Автор : Genadi Zawidowski Дата : 08.08.2017 02:52 есть пара вопросов Добавить CDC? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 867] Автор : R3DI Дата : 08.08.2017 02:55 Добавить CDC? Это само собой!:super:, его сейчас отключил чтобы глаза не мозолил , он был, верну обязательно. PS Все спать пора , уже не так все понимаю... ))), Не вопрос не про CDC, с ним все понятно, и как композит сделать и тд. тут без вопросов. Вопрос про тот "костыль" static uint8_t usbd_audio_SOF (void *pdev){ if(usb_Rec == 1 ){ FrameCountUSB_IN++; if( FrameCountUSB_IN > 5000 ) usb_Rec = 2; } и про то , что если при обмене с UAC шнур вытащить, проц на ХардФол падает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 868] Автор : Genadi Zawidowski Дата : 08.08.2017 03:17 Не скажу... ловите, куда оно лезет... Про костыль и смысл usb_Rec догадаться не могу... hint: SOF идет и когда нет обмена звуком. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 869] Автор : UR3IQO Дата : 08.08.2017 10:59 Можете время указать парочки щелчков? Может это АРУ? Может АРУ, а может просто перегрузка - я Вам уже писал, что нужно иметь запас сверху на всякие непредвиденные выбросы, которые АРУ не может (или не должна, это уже от реализации зависит) обработать. У меня на записи, как и у Геннадия можете увидеть запас. Частично он из-за наклона х-ки АРУ (на записи он 1:10), но также есть запас, чтобы перегрузки гарантированно не было. По щелчкам их много - 3.25сек, 7сек, 7.6сек и т.д. На 3.25, кстати, скорее всего сигнал ограничен был: 268385 может тоже так сделать...запас по амплитуде будет когда ару не успеет Да, сделайте, это ведь не проблема. Только вначале посмотрите где у Вас может быть узкое место, а то можно уровень уменьшить, а ограничение останется. По записи тона (wav файл). Щелчков нет: 268380 Кстати генерация синусоиды с чем синхронизирована? Я так понимаю с работой USB интерфейса, но тогда проблем и не должно быть. Однако есть какой-то второй тон на 60дБ ниже основного, на 2622Гц, и много мусора пониже: 268390 конвертация в MP3 подчеркивает широкополосные помехи. Она их размазывает во времени (что неудивительно, там же обработка блоками). Поэтому иногда скрывает, а иногда подчеркивает. МР3 для наших целей хорош, когда надо просто зафиксировать факт работы (там скажем тест записать), а для "копания" слишком много он своего привносит, даже на хорошем битрейте (типа 320кбит/с), да и при таких битрейтах flac получается уже того же размера. Точка "изо" 0x25 ( да и 0х05) так же себя ведет 0x25 это adaptive (которая с обратной связью), 0x05 asynchronous (асинхронный обмен, поддерживается от Висты и выше). Я у себя использую 0x0D - синхронный изохронный обмен. Может кому будет интересно блок дескрипторов аудиоинтерфейсов у меня: /*** UAC - Interface Association Descriptor ***/ IAD(4 /*bFirstInterface*/, 3 /*bInterfaceCount*/, 0x01 /*bInterfaceClass = UAC*/, 0x01 /*bInterfaceSubClass = AC*/, 0x00 /*bInterfaceProtocol*/, 7 /*iFunction*/), /*** Standard AC Interface Descriptor ***/ INTERFACE(4 /*bInterfaceNumber*/, 0 /*bAlternateSetting*/, 0 /*bNumEndpoints*/, 0x01 /*bInterfaceClass = UAC*/, 0x01 /*bInterfaceSubClass = AC*/, 0x00 /*bInterfaceProtocol*/, 0 /*iInterface*/), AUDIO_CTRL_CS_IFACE(0x0100 /*bcdADC = UAC 1.00*/, 2 /*AS Interface number*/, 5 /*RX AS Iface*/, 6 /*TX AS Iface*/, /* RX Audio */ AUDIO_INP_TERMINAL(1 /*bTerminalID = #1*/, USB_UAC_TERMINAL_RADIO_RECEIVER /*wTerminalType*/, 0 /*bAssocTerminal = No Assoc*/, 2 /*bNrChannels*/, 0x0003 /* wChannelConfig L+R*/, 0 /*iChannelNames*/, 8 /*iTerminal*/), AUDIO_OUT_TERMINAL(2 /*bTerminalID = #3*/, USB_UAC_TERMINAL_USB_STREAMING /*wTerminalType*/, 0 /*bAssocTerminal*/, 1 /*bSourceID = Terminal #1*/, 8 /*iTerminal*/), /* TX Audio */ AUDIO_INP_TERMINAL(11 /*bTerminalID = #11*/, USB_UAC_TERMINAL_USB_STREAMING /*wTerminalType*/, 0 /*bAssocTerminal = No Assoc*/, 1 /*bNrChannels*/, 0x0000 /* wChannelConfig Mono sets no position bits*/, 0 /*iChannelNames*/, 9 /*iTerminal*/), AUDIO_OUT_TERMINAL(12 /*bTerminalID = #12*/, USB_UAC_TERMINAL_RADIO_TRANSMITTER /*wTerminalType*/, 0 /*bAssocTerminal*/, 11 /*bSourceID = Terminal #11*/, 9 /*iTerminal*/) ), /*** Standard AS Zero Bandwidth Interface (RX)***/ INTERFACE(5 /*bInterfaceNumber*/, 0 /*bAlternateSetting*/, 0 /*bNumEndpoints*/, 0x01 /*bInterfaceClass = UAC*/, 0x02 /*bInterfaceSubClass = AS*/, 0x00 /*bInterfaceProtocol*/, 0 /*iInterface*/), /*** Standard AS Interface (Alternate Setting 1) ***/ INTERFACE(5 /*bInterfaceNumber*/, 1 /*bAlternateSetting*/, 1 /*bNumEndpoints*/, 0x01 /*bInterfaceClass = UAC*/, 0x02 /*bInterfaceSubClass = AS*/, 0x00 /*bInterfaceProtocol*/, 0 /*iInterface*/), AUDIO_STREAMING_CS_IFACE(2 /*bTerminalLink = Terminal #2*/, 1 /*bDelay*/, 0x0001 /*wFormatTag = PCM*/, AUDIO_TYPEI_FORMAT(2 /*bNrChannels*/, UAD_SLOT_SIZE /*bSubFrameSize*/, 32 /*bBitResolution*/, 1 /*bSamFreqType*/, UAD_SAMPLE_FREQ /*tSamFreq*/), AS_ENDPOINT(USB_IN_EP_ADDR(5) /*bEndpointAddress*/, USB_EP_TYPE_ISOCHRONOUS | USB_EP_SYNC_MASK /*bmAttributes*/, UAD_PACKET_IN /*wMaxPacketSize*/, 1 /*bInterval*/, 0 /*bRefresh*/, 0 /*bSyncAddress*/), AS_CS_ENDPOINT(0x00 /*bmAttributes*/, 0x00 /*bLockDelayUnits*/, 0x0000 /*wLockDelay*/) ), /*** Standard AS Zero Bandwidth Interface (TX)***/ INTERFACE(6 /*bInterfaceNumber*/, 0 /*bAlternateSetting*/, 0 /*bNumEndpoints*/, 0x01 /*bInterfaceClass = UAC*/, 0x02 /*bInterfaceSubClass = AS*/, 0x00 /*bInterfaceProtocol*/, 0 /*iInterface*/), /*** Standard AS Interface (Alternate Setting 1) ***/ INTERFACE(6 /*bInterfaceNumber*/, 1 /*bAlternateSetting*/, 1 /*bNumEndpoints*/, 0x01 /*bInterfaceClass = UAC*/, 0x02 /*bInterfaceSubClass = AS*/, 0x00 /*bInterfaceProtocol*/, 0 /*iInterface*/), AUDIO_STREAMING_CS_IFACE(11 /*bTerminalLink = Terminal #11*/, 1 /*bDelay*/, 0x0001 /*wFormatTag = PCM*/, AUDIO_TYPEI_FORMAT(1 /*bNrChannels*/, UAD_SLOT_SIZE /*bSubFrameSize*/, 32 /*bBitResolution*/, 1 /*bSamFreqType*/, UAD_SAMPLE_FREQ /*tSamFreq*/), AS_ENDPOINT(USB_OUT_EP_ADDR(5) /*bEndpointAddress*/, USB_EP_TYPE_ISOCHRONOUS | USB_EP_SYNC_MASK /*bmAttributes*/, UAD_PACKET_OUT /*wMaxPacketSize*/, 1 /*bInterval*/, 0 /*bRefresh*/, 0 /*bSyncAddress*/), AS_CS_ENDPOINT(0x00 /*bmAttributes*/, 0x00 /*bLockDelayUnits*/, 0x0000 /*wLockDelay*/) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 870] Автор : R3DI Дата : 08.08.2017 12:18 Я так понимаю с работой USB интерфейса А вот и нет. Точно так же как и прием, через DMA. //************************************************************************** #define ToneDDSShift 8 if( SdrStr.TX_Tone==1){ int dds_accum_add = (int)((float)SdrStr.SdrSetup.CW_Tone/( (float)SdrStr.SdrSetup.Sample_Rate/(float)SdrStr.SdrSetup.FFT_Size*2.0/(float)(1<> ToneDDSShift; dds_idx &= (FM_DDS_TBL_SIZE - 1); // limit lookup to range of sine table Buf_float_tmp[i] = (float32_t)(FM_DDS_TABLE[dds_idx]*255); // Load I value } } -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 871] Автор : Genadi Zawidowski Дата : 08.08.2017 12:42 Buf_float_tmp[i] = (float32_t)(FM_DDS_TABLE[dds_idx]*255); // Load I value Не понял с приведением... Какого типа значения лежат в таблице NCO? Если сгенерировать wav файл с теми же частотами но без передачи по USB, грязь в спектре тоже будет? ps: сгенерировал... тоже имею на 2.6 помеху... у меня NCO с четвертинкой на 16385 элементов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 872] Автор : R3DI Дата : 08.08.2017 13:01 Какого типа значения лежат в таблице NCO? #define FM_DDS_TBL_SIZE 1024 const short FM_DDS_TABLE[FM_DDS_TBL_SIZE] = { Из mcHF взятая АРУ из библиотеки Dttsp -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 873] Автор : LZ1AO Дата : 08.08.2017 14:00 Генадий, Таблица у него - полный период синуса, 1024 отсчета. Неясно зачем в mcHF так сделали, могли бы сделать таблицу одного квадранта, как у Вас, было бы несколько лучьше. То что наблюдается сейчас - для 10 бит - нормально. Нужна птблица побольше или интерполяция. Можно посмотреть здесь: https://namoseley.wordpress.com/2015/07/26/sincos-generation-using-table-lookup-and-iterpolation/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 874] Автор : Genadi Zawidowski Дата : 08.08.2017 14:03 На паразитные сигналы не влияет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 875] Автор : R3DI Дата : 08.08.2017 14:59 могли бы сделать таблицу одного квадранта Тоже есть такое желание, да все времени нет :-|, да и раз уж const можно и табличку побольше сделать. Добавлено через 55 минут(ы): тоже имею на 2.6 помеху... у меня NCO с четвертинкой на 16385 элементов. Т.е. получается не смысла на более точную таблицу переходить, или уровень 2.6 на много меньше получился? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 876] Автор : LZ1AO Дата : 08.08.2017 15:24 Плохо вставилься линк: https://namoseley.wordpress.com/2015/07/26/sincos-generation-using-table-lookup-and-iterpolation/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 877] Автор : UR3IQO Дата : 08.08.2017 22:13 раз уж const можно и табличку побольше сделать Зачем? У меня таблица 256значений по 24бита каждое (в таблице полный период), делается интерполяция по Тейлору, используется три члена. Как по мне то большего и не нужно - максимальная погрешность вычисления синуса/косинуса 3.08e-7. Вот картинка, палки не знаю от синуса или от ASRC (в принципе можно выяснить, но лень :roll:, уровень их меня совсем не заботит :)): 268429 А вот и нет. Точно так же как и прием Тогда мои поздравления :) - похоже в направлении трх->комп асинхронная точка решает проблему с синхронизацией. Винда какая-то из не очень древних наверное? Добавлено через 6 минут(ы): Если сгенерировать wav файл с теми же частотами но без передачи по USB, грязь в спектре тоже будет? Проблемы с передачей обычно хорошо видны на спектрограмме, т.к. сопровождаются разрывами фазы, а в том файле, что был выложен ранее с этим все ОК. Так что надо синус смотреть. Впрочем там и смотреть особо нечего, при таком алгоритме его генерации что-то подобное и должно получаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 878] Автор : LZ1AO Дата : 09.08.2017 13:15 Если добавить даже совсем простую линейную интерполяцию и существующую 16 битную таблицу сделать 24 битной, как у Олега, все будеть гораздо лучше. Насколько я увидел, ее отсчеты и сейчас приводиттся с 16 к 24 битам умножением на 255 при переводом в float. Олег прав, при существующем алгоритме, то что получилось - нормально. Хотя, по моему не помешает проверить верность таблицы и способ индексации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 879] Автор : R3DI Дата : 09.08.2017 17:16 Поступили платы! Кто желает и готов сразу оплатить на карту (не сбербанк, такой не имею) пишите в лс с полным адресом доставки, в ответ уточню стоимость по доставки и отправлю номер карты. Пока принимаю только на карту, WU рядом нет (на этой недели), если кто не успеет, едет еще посылка, платы будут. PS Сообщения с просьбой придержать плату сколько нить недель - не пишите, лишними средствами не обладаю и pcb отдам тому кто готов сразу оплатить. 268453 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 880] Автор : denska Дата : 09.08.2017 17:30 здравствуйте в личку написал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 881] Автор : R3DI Дата : 09.08.2017 18:06 Поступили платы! Кто желает и готов сразу оплатить на карту Все, пока отбой, ожидаю следующую посылку. PS Кому карту отправил, жду оплату, на Вас и рассчитано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 882] Автор : SVd2004 Дата : 09.08.2017 18:29 Поступили платы! Кто желает и готов сразу оплатить на карту Вы как то учитываете сообщения, которые Вам отправлялись ранее? Или каждый раз играем по новому? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 883] Автор : ua3ycv Дата : 09.08.2017 19:20 Или каждый раз играем по новому?наверное так-опять не успел к "раздаче"-хотя на сайт заходил недавно:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 884] Автор : UA9olb Дима Дата : 09.08.2017 19:57 к "раздаче" И я прозевал:cry: тоже целый день на сайте обидно . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 885] Автор : R3DI Дата : 09.08.2017 20:21 Вы как то учитываете сообщения, которые Вам отправлялись ранее? Или каждый раз играем по новому? Желающих очень много, и берут даже и не по 2 шт. Заказать сразу много на физлицо не могу, просто не пропустят, да и средствами не располагаю ( были бы - просто купил бы себе готовый ). Опять же из-за средств, пока не оправлю посылку, следующую заказать не могу, а как практика показала, первые из списка или молчат, или пишут - придержите пару месяцев, я потом куплю или оплачу через три недели и тд.... По поводу сообщений ранее - тоже проблема, когда за неделю ящик полностью заполняют такими сообщениями типа " Сделайте чтобы в часах точки не мигали..." или "А можно чтобы цифры частоты не такие круглые были" и тд приходится "жестко" чистить полностью. Следующие платы уже в Москве, скоро будут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 886] Автор : SVd2004 Дата : 09.08.2017 20:43 Следующие платы уже в Москве, скоро будут. Я правильно понял, что нужно повторно давать свои почтовые реквизиты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 887] Автор : R3DI Дата : 09.08.2017 20:54 Я правильно понял, что нужно повторно давать свои почтовые реквизиты? Все верно, если завтра платы не придут, то будут через 2 недели, а за это время мне 3 раза успеют почту переполнить, так что нужно будет это сделать по факту снова. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 888] Автор : SVd2004 Дата : 09.08.2017 20:57 Понял, если, если, если... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 889] Автор : vlad57 Дата : 09.08.2017 23:58 R3DI, Евгений, добрый вечер. Пожалуйста передайте реквизиты карты и сумму перечисления на почту ua6lre@mail.ru С уважением UA6LRE Владимир. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 890] Автор : R3DI Дата : 10.08.2017 00:23 DCD_EP_Flush на мой взгляд там вредна. Действительно вредна....Геннадий, спасибо, и не подумал бы на нее :super:. CDC через некоторое время затыкался, дебажить лень было, камент куда быстрее :-P, //DCD_EP_Flush и норм. Трудятся сейчас UAC и CDC, позже буду смотреть как они там справляются ( в смысле UAC туда-сюда, выход АРУ уменьшил... ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 891] Автор : Oleg_T Дата : 10.08.2017 08:42 Удалено -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 892] Автор : us8igt Дата : 10.08.2017 08:55 R3DI, Евгений, добрый день. Пожалуйста передайте реквизиты карты и сумму перечисления на почту us8igt@mail.ru TNX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 893] Автор : R3DI Дата : 10.08.2017 11:38 Пожалуйста передайте реквизиты карты и сумму перечисления на почту Пожалуйста передайте реквизиты карты и сум... Добрый день. Пожалуйста, такого вида сообщения только в лс, среди них теряются сообщения техничекского характера. Реквизиты даю только при наличии плат. И еще прошу, отнеситесь с пониманием! Все что могу заказать на физлицо это 10-15 шт. Много сообщений " я вам писал еще в мае", мне в основном все написали в мае ( начали с апреля и по сей день). Вчера очистил почтовый ящик, уже сегодня он подходит к заполнению опять. Люди начинают обижаться мол пишу 5й раз, теряют культуру общения, к сожалению , я ничего с этим сделать не могу, секретаря у меня нет, который каждому сообщить о ситуации, магазин с бесперебойной поставкой плат тоже никому не обещал, для чего задумывался трансивер написано ранее в теме . Тому кто просит выложить pcb - объясняю - Потратьте око 10 лет на создание такого трансивера, у которого спокойно сможете "закрыть корпус", закажите 10ок версий плат за свой счет ( не шт., а именно версий это не по 1й шт), оптравте это все в ведро, закажите рабочую 11у версию, выкладывайте теперь все бесплатно - с удовольствием повторю конструкцию. PS Есть и еще вариант, заказать быстро и в любом количестве, Tepro или Резонит, калькуляторы на их сайтах есть можете посчитать, не забудьте почитать ссылки о надбавках за 5й класс точности , ограничения и тд. Уже считал и выходит за 2шт больше пяти т.р. (10шт около 2тр за плату), кому интересно это вариант - не вопрос(только после полной предоплаты ), не забудьте мои комиссионные. И вот еще, не пишите письма с просьбой выслать файл pcb под обещание сделать только для себя и только одну, .... ну правда:-P. Здесь в теме есть уже коллеги, которые уже сделали себе трансивер на своих платах, попробуйте обратиться к ним. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 894] Автор : Genadi Zawidowski Дата : 10.08.2017 11:55 Вчера очистил почтовый ящик Есть такая штука как outlook (в составе MS OFFICE), позволяет хранить все письма, отмечать, группировать. У меня например за последний десяток лет всё сохранено... то что раньше - перенесено в отдельную базу. Всегда можно поиск выполнить. 268529 А форумный ящик мгновенно переполняется... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 895] Автор : R3DI Дата : 10.08.2017 12:09 Есть такая штука как outlook Геннадий, это все конечна есть у меня, но дело то не в этом.... за неделю 200 писем, через месяц 1000 - человека нужно нанимать, чтобы каждому ответ написал, удалял ненужные и тд и тп. ... Вот сейчас за 1.5 часа вел переписку с 6ю людьми и пара сообщений на форуме, за это время еще 4 новых письма, и все одно и то же, будто и последние сообщения темы вообще не читают. Все, пока отбой, ожидаю следующую посылку. Реквизиты даю только при наличии плат. И вот за 20 минут 4 письма "прошу выслать плату", потом пишут что N писем уже оправляли и тд.... ну вот как тут быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 896] Автор : Balaganoff Дата : 10.08.2017 13:04 Геннадий, это все конечна есть у меня, но дело то не в этом.... за неделю 200 писем, через месяц 1000 - человека нужно нанимать Ну раз вы выбрали коммерческий путь, то почему-бы и нет? :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 897] Автор : R3DI Дата : 10.08.2017 16:23 Ну раз вы выбрали коммерческий путь Ну если вы представляете какая прибыль с 20( а пока их ушло 18 только) платок в месяц, то добро пожаловать ко мне на работу :super: . Ну а если серьезно, то это скорее оказание услуги было ( высылка плат), не бесплатно конечна, за бесплатно сами трассируйте выкладывайте, пишите коды, на почту бегайте, ведите проект, отвечайте на вопросы на все, успокаивайте обиженных и тд и тп, а мне это не нужно, и потому пожалуй тема будет закрыта, мне за эти копейки этот гемор не нужен. :evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 898] Автор : ur5yfv Дата : 10.08.2017 16:35 Не горячитесь, пока .... Могу на Украину принять со следующих партий, скажем 5... 10 ... ,тем более уже есть желающие. Народ пишет, группируется. Сам прекрасно знаком с проблемами своевременной переписки. Писем тоже не мало приходит и всем стараешся ответить. А если на день, другой випадешь, то все... Порой такие поэмы пишут, что читать некогда. :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 899] Автор : UT0UM Дата : 10.08.2017 16:43 18 только) платок в месяц я Вам в личку вчера написал что оплачу 10 плат для Украины но чтобы производитель выслал прямо сюда Вы ничего не ответили мне за эти копейки вы же сами писали " хотелось бы чай с булочкой попить а не просто" а если гемор не нужен. то выложите плату в первом сообщении и наслаждайтесь жизнью а то получается ни себе, ни людям пишите коды, на почту бегайте, ведите проект, в заграничном подобном СДР трансивере и пишут коды и ведут проект за бесплатно прибыль получает только автор на платах и китах, что для Вас почему то оказалось геморно странно все как-то :shock: П.С. в бизнесе на булочку без гемора не получится заработать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 900] Автор : ua3ycv Дата : 10.08.2017 16:46 и потому пожалуй тема будет закрыта, мне за эти копейки этот гемор не нужен.с начала-всегда трудно!крепитесь Евгений-самое трудное это работа с людьми. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 901] Автор : UT0UM Дата : 10.08.2017 16:47 del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 902] Автор : Balaganoff Дата : 10.08.2017 16:55 Ну если вы представляете какая прибыль с 20( а пока их ушло 18 только) платок в месяц, то добро пожаловать ко мне на работу :super: . Ну а если серьезно, то это скорее оказание услуги было ( высылка плат), не бесплатно конечна, за бесплатно сами трассируйте выкладывайте, пишите коды, на почту бегайте, ведите проект, отвечайте на вопросы на все, успокаивайте обиженных и тд и тп, а мне это не нужно, и потому пожалуй тема будет закрыта, мне за эти копейки этот гемор не нужен. :evil: Евгений ! Вы не горячитесь! У меня и в мыслях не было вас обидеть. И как распоряжаться вашим проектом это естественно ваше дело. Просто вы сразу обозначили , что проект коммерческий. Народ жаждит купить, а вас это напрягает. Ну вы уж как-то определитесь. Публично прошу прощения, если чем обидел. Я от этого не облезу! Не бросайте тему! 73 ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 903] Автор : DeXter Holland Дата : 10.08.2017 17:05 Ну если вы представляете какая прибыль с 20( а пока их ушло 18 только) платок в месяц, то добро пожаловать ко мне на работу :super: . Ну а если серьезно, то это скорее оказание услуги было ( высылка плат), не бесплатно конечна, за бесплатно сами трассируйте выкладывайте, пишите коды, на почту бегайте, ведите проект, отвечайте на вопросы на все, успокаивайте обиженных и тд и тп, а мне это не нужно, и потому пожалуй тема будет закрыта, мне за эти копейки этот гемор не нужен. :evil: Может пересмотреть позицию? тойсть заложить на символическую оплату (или сколько вы там заложите) на стоимость одноразовой активации ПО трансивера на передачу (допустим или еще какието ограничения) - это будет вам и проще (выслать только уникальный код активации), чем влаживать деньги в производство и бегатню на отправку на почту . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 904] Автор : RA6ANR Дата : 10.08.2017 17:08 Главное не нервничать)))проблемы как бы нет большой.в любом интернет магазине,если товара нет на складе и его нужно ждать-он считается позицией под заказ(по предоплате). просто вести учет всех желающих-дело не благодарное,в итоге часть все равно откажется или будет просить подождать до улучшения финансового положения))а вот если не терпится и хочется быстрее-то вариант с предоплатой самый простой.как только автор получает платы на руки-начинает рассылку олатившим.а уже о наличии остатков пишет тут на форуме.по поводу бизнеса-эт конечно как то громко сказано,а отдавать за так результат своего труда или оставить себе-дело личное(Евгений так же в начале темы отметил,что делал этот трансивер исключительно для себя и лишь по многочисленным просьбам радиолюбителей-открыл эту тему) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 905] Автор : R3DI Дата : 10.08.2017 18:15 а вот если не терпится и хочется быстрее-то вариант с предоплатой самый простой.как только автор получает платы на руки-начинает рассылку олатившим Так тоже было бы не плохо но одно НО, после версии 1.1 заказал 1.2 ( с поправкой падстека AD9951) и потому сначала нужно было платы новые "в руках подержать" и теперь опять же, желающих много, а за раз заказать могу 10(я про новую версию), да и вообще от всяких случаев никто не застрахован, возьму предоплату а завтра со мной .... и что тогда ? Это в магазине так можно - я вчера Коли оплатил.. его нет...Федя, тогда я к вам -.... а тут то вы что делать будете ? Евгений так же в начале темы отметил,что делал этот трансивер исключительно для себя и лишь по многочисленным просьбам радиолюбителей-открыл эту тему Важно: Проект не коммерческий, делался исключительно для себя, не для продажи готовых изделий. Конструкция разрабатывалась для изучения SDR техники, чтобы не только р/элементами, но и с элементами исходного кода программы можно было поэкспериментировать , узнать, что за что отвечает, как это влияет на те или иные параметры. Код трансивера модернизируется по сей день, и скорее всего так будет на протяжении всей его жизни. Исходники кода так же лежат на Ядиске. Функции добавлялись/изменялись по мере необходимости (многого еще нет). Тема открыта по просьбе р/любителей для обсуждения ( пояснения некоторых вопросов) из-за проявленного интереса. ...и это с тех людей, с которых еще ничего не брал, а что будет когда возьму? Если техническая тема превращается в обсуждение - почему не могу бесперебойно снабжать население платами, то тему нужно закрывать. Добавлено через 8 минут(ы): то выложите плату в первом сообщении и наслаждайтесь жизнью UT0UM, Делайте, выложите и наслаждайтесь жизнью. в заграничном подобном СДР трансивере и пишут коды и ведут проект за бесплатно UT0UM, Ведите за бесплатно- все на ядиске! Добавлено через 20 минут(ы): заложить на символическую оплату (или сколько вы там заложите) на стоимость одноразовой активации ПО трансивера на передачу (допустим или еще какието ограничения) - это будет вам и проще (выслать только уникальный код активации), чем влаживать деньги в производство и бегатню на отправку на почту . Если платное ПО и там к примеру какая то кнопочка не фунционирует или ни дай бог зависает в каком то режиме - лично я бы этой компании за такое платное ПО весь мозг вынес бы - а потому сам на такое потить не могу. А создать "хорошее ПО", думаю Вы и сами догадываетесь чего это стоит и каких усилий, сколько для этого нужно времени и человек\часов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 906] Автор : R3DI Дата : 10.08.2017 23:21 https://www.youtube.com/watch?v=j9djTUYpe1A& feature=youtu.be QSO провел RA6ANR по своему QTH, записал видео R3DI по своему QTH. У меня есть вопросы, как должна работать АРУ в этом режиме? И может еще чего подскажите, может стоит ввести режим DIG который будет иметь необходимые пред.настройки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 907] Автор : RC3ZQ Дата : 11.08.2017 00:35 R3DI, Евгений, ЛС видимо у Вас перегружена. Платы есть у Вас сейчас в наличии? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 908] Автор : Genadi Zawidowski Дата : 11.08.2017 01:18 У меня режим для цифры имеет: Источник звука модулятора усб, а не микрофон, отсутствие коррекции ЗАЧХ на приёме, уменьшенную крутизну ару. Настраивается, но по умолчанию на 1 дБ выхода 6 дБ входа. Режимы dgu & dgl, с инверсией спектра и без. Кстати, в конфигурационных файлахьомнириг ошибочно переправлены местами эти режимы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 909] Автор : R3DI Дата : 11.08.2017 11:53 Не пойму для UR5EQF_Log3 для САТ и РТТ нужны разные КОМ порты ? PS Платы (10шт) пришли, но человек на которого они были заказаны как раз сегодня уехал в отпуск на 2 недели. Потому за это время хотя бы обозначитесь следующие люди : ra6ljm Radiotester electronshik rayy ra1agb ua6ct rx3m ra9qpa Сергей12701 r6yy ( след 5 ua9olb_Дима rt0om r2dic ua3lee ur5yfv) , извиняюсь если напутал где в никах, с листочка переписал. Адрес пока не присылайте, все равно потеряется, просто сообщите что Вы есть (галочки проставлю), высылать буду только по одной , предоплату не беру- (почему-см. выше пост). Версию 1.2 буду заказывать много, думаю, всем кто написал в мае закроем ( ну опять же если по 1й а не по 3-5 шт на человека). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 910] Автор : Radiotester Дата : 11.08.2017 11:56 Евгений, платы товарищь Ваш получит только через <14 дней ? Верно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 911] Автор : R3DI Дата : 11.08.2017 12:10 Такие вопросы лучше в лс, а то тема вся в платы превратится и вопрос про CAT PТТ потеряется, да через 2 недели не раньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 912] Автор : RA1TEX Дата : 11.08.2017 13:05 Да для лога разные,САт rx tx висит на одном все остальные мигалки для цифры на другом, посмотрите схему unicom dual там на FT2232 сделано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 913] Автор : R3DI Дата : 11.08.2017 13:13 посмотрите схему unicom dual Да... САТ и РТТ на разных сом портах ((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 914] Автор : UA9olb Дима Дата : 11.08.2017 13:26 Евгений В посмотрите в монке там и CAT и PTT и звук все по USB https://github.com/df8oe/UHSDR/wiki/USB-CAT-and-AUDIO-Mode Не знаю как с тем логом но WSJTX все переключает и кат и птт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 915] Автор : user12 Дата : 11.08.2017 13:34 В монке ртт идёт через сат команды- RX и ТХ переключают. В логгере UR5EQF в настройке ртт стоит ртт порт омнириг и всё отлично работает. На передачу можно переводить командами по сат так и классически через пин RTS или DTR компорта и зависит от конфигурации самого трансивера а в программе омнириг это конфигурируется как и где "слушать". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 916] Автор : UT0UM Дата : 11.08.2017 14:11 В монке ртт идёт через сат команды уже работает и по RTS через USB вчера в яху написали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 917] Автор : Genadi Zawidowski Дата : 11.08.2017 14:44 уже работает и по RTS через USB вчера в яху написали Можете цитату оттуда привести? Я на конфу не подписан, а интересно как сделали... По DTR я понимаю, а RTS? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 918] Автор : UT0UM Дата : 11.08.2017 14:46 Можете цитату пжлст (daily build 2.5.43 and newer): - Control the PTT via USB Serial Port RTS. A while ago we added DTR for CW Keying, now you can use RTS for PTT Using CAT PTT is recommended, though but if your program is not capable of that, give PTT via RTS a try. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 919] Автор : user12 Дата : 11.08.2017 14:52 И тут обсуждали не много https://github.com/df8oe/UHSDR/issues/966 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 920] Автор : Genadi Zawidowski Дата : 11.08.2017 15:51 Посмотрим, до недавнего времени состояние DTR передавалось из windows в USB CDC, а RTS тоолько после измененеия DTR. Я не знаю, что нужно в дескрипторах править... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 921] Автор : R3DI Дата : 11.08.2017 16:03 остояние DTR передавалось из windows в USB CDC, а RTS тоолько после измененеия DTR. Я вот прям сейчас сижу и удивляюсь ))))), открыл форум и вот сообщение, да видимо что то нашептать винде нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 922] Автор : Genadi Zawidowski Дата : 11.08.2017 16:06 Давно просил автора OmniRig внести кое-какие изменения, чтобы при манипуляции RTS передавалось старое состояние DTR... Он говорит что не его это дело, пусть авторы LOG программ правят... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 923] Автор : R3DI Дата : 11.08.2017 20:41 Тестовая версия USB UAC CDC. Вместо кнопки "TUNE" теперь источник входного сигнала MIC->LINE->USB->... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 924] Автор : R3DI Дата : 12.08.2017 13:36 В посмотрите в монке там и CAT и PTT и звук все по USB https://github.com/df8oe/UHSDR/wiki/...and-AUDIO-Mode Подскажите, на сколько в монке это все хорошо работает, стабильно, нет зависаний, "глюков", щелчков и тд... если хорошо, буду изучать этот код, на предмет интегрирования в Маламут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 925] Автор : RD3Q Дата : 12.08.2017 14:03 Евгений трансивер M0NKA у меня на столе, замечаний по работе USB CAT, PTT и звука по одному кабелю не заметил. Драйвера установились на 7-ку без проблем. Да, есть одно замечание при работе с логом UR5EQF из кластера как то медленно и не четко переключается на частоту ДХса, приходится еще раз нажимать на ДХ, на трансивере SW2016 это четче и быстрее происходит! Это моё мнение, прошивка установлена новая с сайта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 926] Автор : R3DI Дата : 12.08.2017 14:07 M0NKA у меня на столе, замечаний по работе USB CAT, PTT и звука по одному кабелю не заметил Игорь спасибо, а прошивка та что у меня постом выше ? при работе с логом UR5EQF из кластера как то медленно Может ЛОГ запрашивает по САТ команду которой нет в монке, в оимринг стоит таймаут по умолчанию 4000 мс, может его стоит уменьшить, не пробовали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 927] Автор : RD3Q Дата : 12.08.2017 14:16 Только что пришло извещение, приехал дисплей 2.8 еще не забрал из почтового отделения! Да и прошивку не заливал пока, сборка только на 25%. Таймаут у меня стоит 4000, сейчас попробую 1000 мс. Но с этими настройками SW работает хорошо!Только протоколы разные! Проверил сейчас все вроде как нормально работает и при 4000!Может после нескольких часов работы температура повышается до 54 градусов и это будет заметно! Сейчас 43 и нормально все! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 928] Автор : user12 Дата : 12.08.2017 14:33 Нет ни какой проблемы у монки с работой САТ и не было. Мгновенно все переключается в логе UR5EQF хоть в кластере хоть на прямую. Когда есть сомнения то надо поставить сниффер компорта и посмотреть обмен. Прошивка в монке у меня месячной давности. Со звуком тоже нормально. Бывает часов по 6 вечером подключена и не виснет ни чего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 929] Автор : UT0UM Дата : 12.08.2017 14:57 на сколько в монке это все хорошо работает работает стабильно не зависает не глючит не щелкает Добавлено через 17 минут(ы): 54 градусов у меня стабильно работает при любой температуре и при 54 тоже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 930] Автор : RD3Q Дата : 12.08.2017 15:00 user12, какая у Вас температура после 30 минут в CQ режиме? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 931] Автор : user12 Дата : 12.08.2017 15:07 после 30 минут в CQ режиме?Я не работаю на передачу так как нет позывного пока. А старый был закрыт ещё при СССР. В комнате +28 а на дисплее монки с утра включенной на приём температура 46 градусов. Вот только я не пойму каким боком чип измерения температуры Si570 к работе САТ и маламуту вообще? Хотя контроль температуры в монке можно отключить. Но это уже не по теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 932] Автор : RD3Q Дата : 12.08.2017 15:14 user12, точно не в этой ветке вопрос! А все таки он (трансивер) греется солидно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 933] Автор : user12 Дата : 12.08.2017 15:41 RD3Q, не греется только то что не работает:ржач: А на сколько сильно греется напрямую зависит от того сколько тепла выделяется и способности рассеять это тепло. В монке рассеивает корпус. Его площади вполне достаточно для этого. К тому же трансивер Автором позиционируется как QRР с пиковой мощностью до 5 ватт, но вы же с него все 10-15 выжимаете?:ржач: У меня монка максимально потребляет максимум 1,6А при 10 ватт на выходе. Там что каких то проблем с теплом нет и современные полупроводники нормально работают и при 100 градусах. По этому не ясно чего так бояться нагрева? Это транзистору МП40 100 градусов при работе смерть а с RD16 ни чего не случится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 934] Автор : RD3Q Дата : 12.08.2017 16:01 user12,выжимают мокрое бельё! Я тестирую на 5 Вт! И закончим обсуждение в этой ветке трансивер M0NKA! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 935] Автор : user12 Дата : 12.08.2017 16:05 Какие вы ранимые. Тогда надо было спрашивать не тут а в другой теме.:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 936] Автор : R3DI Дата : 13.08.2017 02:27 но вот что беспокоит, иногда при подключении при первой передачи из SOF валится в ХардФолт, прям ну ни как не стартует, трекером ничего нового не вижу, все то же, что и когда все работает, шаманство какое то, так же в ХардФолт если вынуть шнур Усб во время обмена, ну не хорошо это. Может поскажет кто, куда капать? Просто была "кривая библиотека":crazy:, теперь без "костылей", и переподключение usb работает.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 937] Автор : UR5ZVU Дата : 14.08.2017 10:27 Всем привет! Подскажите какой типоразмер корпуса в танталовых конденсаторах (А,В,С,Д,Е) и на какое максимальное напряжение они должны быть? И резисторы с каким допуском должны быть, с +/- 5% или 1%? В магазинах почти все с +/- 5% -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 938] Автор : R3DI Дата : 14.08.2017 13:02 Подскажите, конденсаторы 7С1-7С40, на 47 или как в схеме-22 мкф? Спасибо! Расчетное было на 47 мкф, но у меня таких не было, а были "TECAP 22/16V B 10 AVX"- это то что на катушке написано и на фото везде, их и поставил, потом и в схеме поправил, потому как именно они установлены, видео и звуки все с ними, чтобы не было отличий от собранного варианта. Резисторы у меня 5%е, по хорошему в операционники у смесителей посавить бы 1%, но смотрите сами. Подскажите, конденсаторы 7С1-7С40, на 47 или как в схеме-22 мкф? Спасибо! Расчетное было на 47 мкф, но у меня таких не было, а были "TECAP 22/16V B 10 AVX"- это то что на катушке написано и на фото везде, их и поставил, потом и в схеме поправил, потому как именно они установлены, видео и звуки все с ними, чтобы не было отличий от собранного варианта. Резисторы у меня 5%е, по хорошему в операционники у смесителей посавить бы 1%, но смотрите сами. Добавлено через 31 минут(ы): Для ком порта файл inf. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 939] Автор : Genadi Zawidowski Дата : 14.08.2017 13:07 Для ком порта файл inf. Глянул... Без поддержки x64? Я редактировал файл от protoss, который он для STK500 делал. С этим файлом ставится и на 64 бит системы. Попробуй допилить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 940] Автор : R3DI Дата : 14.08.2017 13:35 Глянул... Без поддержки x64? У кого есть х64 проверте этот, у меня нет х64. Геннадий спасибо ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 941] Автор : Genadi Zawidowski Дата : 14.08.2017 14:25 Оригинал был универсальный на 32 и 64 ставился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 942] Автор : R3DI Дата : 14.08.2017 14:39 Оригинал был универсальный на 32 и 64 ставился. И этот должен тоже таким быть, только пид\вид поменял и название. состояние DTR передавалось из windows в USB CDC, а RTS тоолько после измененеия DTR Проверил сейчас Prolific и FT232, там все норм RTS и DTR по отдельности, посмотрел ихнее файлы inf - usbser.sys не используют, каждый свой ser2pl.sys и ftser2k.sys -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 943] Автор : Genadi Zawidowski Дата : 14.08.2017 14:44 Евгений, вы не открыли Америку. Проблемы проявляются в usbser.sys, который CDC обслуживает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 944] Автор : R3DI Дата : 14.08.2017 15:15 Евгений, вы не открыли Америку И не собирался, вот мысль может ser2pl.sys Маламуту подсунуть? В монке вроде тоже usbser.sys и там тоже RTS без DTR не проходит ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 945] Автор : UR3IQO Дата : 14.08.2017 16:20 вот мысль может ser2pl.sys Маламуту подсунуть У них свой протокол обмена по USB. Я как-то пробовал маскироваться по ft4232 (правда по другим причинам), но забросил это дело, т.к. оно не имеет смысла - применить то такое нигде, кроме как у себя на столе нельзя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 946] Автор : RD3Q Дата : 14.08.2017 19:43 Евгений, какие настройки COM порта в OmniRig выставлять нужно и протокол обмена для какой марки трансивера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 947] Автор : R6BK Дата : 14.08.2017 20:06 какие настройки COM порта в OmniRig выставлять нужно Вот -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 948] Автор : R3DI Дата : 14.08.2017 20:27 У меня полинг 100мс , побыстрее, РТТ на DTR, сделал прошивку и в CW стучит через ЛОГ, трх режим- CW, cw type-man, РТТ CW в логе тоже на DTR, прошивку еще не выложил, только сделал, позже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 949] Автор : RD3Q Дата : 14.08.2017 20:37 R6BK, управление частотой функционирует, а вот модуляция LSB на SSB не переключается и CW то же, передача так же не включается! Плата у меня не до конца распаяна, процессорная часть вся, PTT - DTR включено. Добавлено через 7 минут(ы): R3DI,Евгений лог какой используете? Если UR5EQF - передача из лога включается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 950] Автор : R3DI Дата : 14.08.2017 20:46 RD3Q, UR5EQF его, и передача рабатает, режимы пока только на считывание, не полность еще протокол поддержал, попробуйте трх переткнуть а только потом лог запустить, иначе порт не активен будет, да и прошивка из последнего поста? Передача только в ней. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 951] Автор : RD3Q Дата : 14.08.2017 20:54 R3DI, да прошивка из последних выложенных. Я так и подумал, что может не весь протокол задействован. С портом порядок - частота меняется, из кластера устанавливается! Ну не сразу Москва строилась - разберётесь по немногу! Спасибо!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 952] Автор : R3DI Дата : 14.08.2017 21:03 RD3Q, так на передачу так и не работает? RA6ANR прям сейча вот в цифре работает, с той же прошивкой... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 953] Автор : RA6ANR Дата : 14.08.2017 21:11 Подтверждаю,сейчас провел несколько QSO,rx/tx переключается без сбоев.все отлично работает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 954] Автор : RD3Q Дата : 14.08.2017 21:13 R3DI,Евгений включил цифровые моды в UR5EQF, нажимаю макрос в программе передача на экране компа идет, на дисплее нет включения TX! Может у меня что то не так? PTT и CW - DTR включено. Нет, надо все припаять, а потом проверять! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 955] Автор : R3DI Дата : 14.08.2017 21:15 RD3Q, проверте и Цифровых модах установку РТТ, там своя установка вроде. И еще сами макросы прсмотрите, там не во всех изначально <TX> прописано, если кнопка ТХ трх не переводит, это смотрите установку РТТ, если переводит, то правте макрос. А в самом логе рх тх работает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 956] Автор : RD3Q Дата : 14.08.2017 21:21 R3DI, Проверил и там, то же нет ТХ на дисплее! Не буду мозг выносить, продолжу паять!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 957] Автор : R3DI Дата : 14.08.2017 21:27 RD3Q, да уже даже интересно стало.... Во нашел, меню кнопок то где PWR TONE DTONE MIC, жмите последнюю до USB и все заработает, ток что код посмотрел.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 958] Автор : RD3Q Дата : 14.08.2017 21:32 R3DI,Вот и разобрались! Все пошло как надо!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 959] Автор : R3DI Дата : 16.08.2017 15:23 Произвел расчет фильтров (600/2700 Гц) с несколькими оконными функциями. И вот что получается для окна Блэкмана — Харриса Кпр 2700 Гц по ур. -6/-60дБ = 1,08 Кпр 600 Гц по ур. -6/-60дБ = 1,49 268906268908268907268909268911268912268913 Fil_FFT - спектр фильтра без наложения оконной функции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 960] Автор : R3DI Дата : 17.08.2017 15:24 Или подскажи, что ставить в обвеске SI535, я имею ввиду под платкой, на основной? У меня так... Резистор 0 ом для подачи питания 3,3В, трансформатор 1:1 268963 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 961] Автор : R0SBD Дата : 18.08.2017 04:26 Вот такая фирма есть наша по изготовлению плат. Делают от 1-2 штук и больше. Есть калькулятор, прикинул 10см на 10см двухслойную, 231 руб. Это дорого? http://www.pselectro.ru/calc/ Или я не там посмотрел? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 962] Автор : ua3ycv Дата : 18.08.2017 08:39 Или я не там посмотрел?как то "сладко получается"-хотя имеет право. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 963] Автор : Genadi Zawidowski Дата : 18.08.2017 09:11 Или я не там посмотрел Стоимость подготовки производства? В зависимости от платы ориентируйтесь на 1500..1800 рублей разовые расходы по этому пункту. КОнкретно по Электроконнекту - раньше было химическое лужение под маской, мне не нравилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 964] Автор : ua3ycv Дата : 18.08.2017 09:15 меня интересует плата от Автора-всякий плагиат приводит к не нужным проблемам-просто ждём очередную партию:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 965] Автор : R3DI Дата : 18.08.2017 11:01 Вот такая фирма есть наша по изготовлению плат. Делают от 1-2 штук и больше. Есть калькулятор, прикинул 10см на 10см двухслойную, 231 руб. Это дорого? http://www.pselectro.ru/calc/ Или я не там посмотрел? 231-это при заказе 44шт. А для 2шт -1200р, для платы Маламута 1600.(опять же скорее всего -от...) Да почти тоже самое что и в Тепро, в Китае дешевле. Версию 1.2 уже заказал много, до этого этож все пробное было, дело должно пойти быстрее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 966] Автор : RD3Q Дата : 18.08.2017 15:47 Частично напаял!На промывку! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 967] Автор : UR3IQO Дата : 18.08.2017 16:11 Стоимость подготовки производства? В зависимости от платы ориентируйтесь на 1500..1800 рублей разовые расходы по этому пункту. Некоторые небольшие конторы делают без подготовки (правда их совсем немного и производство скорее всего будет "полупрофессиональное" :)). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 968] Автор : R3DI Дата : 18.08.2017 17:22 Частично напаял! Процесс идет!:super: Тр в смеситель RX и на S15351(1:1 я с материнке мал. бинокль сдул с изернета и 2*3вит намотал)- и уже можно слушать (без ФНЧ вечером 3я и 5я гармоники могут помешать, но слушать можно, несколько месяцев так слушал :) ) 7805 обязательно на радиатор! А у себя поставил синхронные DC/DC, оч понравились ( не шумят, маленькие и тд) , сейчас 235 мА при 13,9В 269036 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 969] Автор : RD3Q Дата : 18.08.2017 17:32 R3DI, Евгений ссылочку на DC/DC можно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 970] Автор : ua3ycv Дата : 18.08.2017 17:34 сейчас 235 мА при 13,9Вочень хороший результат-но надо меньше-у "конкурента" те-же цифры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 971] Автор : UR6LCW Дата : 18.08.2017 17:36 Евгений можно добавить поддержку контроллера Павлин с индикатором 4и3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 972] Автор : R3DI Дата : 18.08.2017 18:31 R3DI, Евгений ссылочку на DC/DC можно? Брал тут http://ru.aliexpress.com/item/Mini360-DC-DC-Buck-Converter-Step-Down-Module-4-75V-23V-to-1V-17V-17x11x3-8mm/32582982343.html?spm=a2g0s.9042311.0.0.X6zOqP очень хороший результат-но надо меньше-у "конкурента" те-же цифры. Никак, кодек CS почти в 10 раз больше чем WM кушает Евгений можно добавить поддержку контроллера Павлин с индикатором 4и3 Просто нет на это времени Добавлено через 45 минут(ы): .... хотя... у меня таки реле в ФНЧ, 2 шт почти 60мА кушают, такие вот лежали в столе, и даже эти черные в описании есть 2х типов по аппетиту, у меня как раз худший, для этого случая, вариант -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 973] Автор : LZ1AO Дата : 18.08.2017 19:15 R3DI, У Ваших реле напряжение удержания после включения довольно маленкое. Можно попробовать вставить резистор шунтированным електролитическим конденсатором в общей цепи питания реле для некоторого понижения тока. 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 974] Автор : UT0UM Дата : 18.08.2017 20:43 Брал тут http://ru.aliexpress.com/item/Mini36...311.0.0.X6zOqP ( при использовании модуля DC/DC12/5V @1mHz) это не 1 МГц от него будет много пораженок м/с работает на частоте около 400 кГц (350-450 по даташиту (http://www.datasheetframe.com/PDF/CX8509-PDF/908779)) в трансиверы надо ставить с частотой 1 или 1,5 МГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 975] Автор : R3DI Дата : 18.08.2017 20:55 это не 1 МГц А кто писал что Mini360 это 1 МГц? UT0UM, или Сообщение от R3DI ( при использовании модуля DC/DC12/5V @1mHz) я тут написал что это Mini360 ???? ....... Mini360 совсем недавно попробовал установить, он синхронный, оказался лучше всех. До него пробовал разные синие зеленые с али, на 1 МГц зеленые с али, из своих на ST и еще какие то, все не то. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 976] Автор : UT0UM Дата : 18.08.2017 20:58 у "конкурента" . 160 мА с DC/DC преобразователем кто ну раз Вы это понимаете, то зачем давать ссылки на этот хлам? или это не для питания трансивера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 977] Автор : R3DI Дата : 18.08.2017 21:04 то зачем давать ссылки на R3DI, Евгений ссылочку на DC/DC можно? UT0UM, ну я тут уже вам ничем не помогу.... и отвечать на такое не буду -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 978] Автор : UT0UM Дата : 18.08.2017 21:17 Mini360 да питайте чем хотите с таким модулем будут пораженки на всех основных бендах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 979] Автор : ua3ycv Дата : 19.08.2017 08:08 160 мА с DC/DC преобразователемUT0UM (http://www.cqham.ru/forum/member.php?20703-UT0UM) http://www.cqham.ru/forum/images/cqham/statusicon/user-offline.pnghttp://www.cqham.ru/forum/customavatars/avatar20703_1.gif (http://www.cqham.ru/forum/member.php?20703-UT0UM)Регистрация06.01.2012АдресУкраинаСообщений1,613 http://www.cqham.ru/forum/images/misc/quote_icon.png Сообщение от UA9olb Дима http://www.cqham.ru/forum/images/buttons/viewpost-right.png (http://www.cqham.ru/forum/showthread.php?p=1370128#post1370128) 380 ма и сильно греется первый стабилизатор 13.8 на 8 вольт. примерно так же без радиатора не включаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 980] Автор : RD3Q Дата : 19.08.2017 09:18 R3DI, Евгений, что подключается к разъёмам Encoder и Vlcoder? В чем различие? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 981] Автор : ur5yfv Дата : 19.08.2017 09:32 что подключается к разъёмам Encoder и Vlcoder? В чем различие? Здесь #634 269076 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%D0%9C%D0%B0%D0%BB%D0%B0%D0%BC%D1%83%D1%82-quot&p=1416257&viewfull=1#post1416257) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 982] Автор : EU2TT Дата : 19.08.2017 20:03 Вместо реле 881-2CC-C-12 допустима ли замена на EMI-SS-212D или OMI-SS-212DM.500 или RT444012 или RTE44012? Какие еще есть варианты? Добавлено через 33 минут(ы): Тангента (в порядке убывания цены) https://www.aliexpress.com/item/FREE-SHIPPING-MH-48-DTMF-Speaker-Mic-for-YaesuFT-8800R-FT-8900R-FT-7900R-FT-7800R/32628745279.html https://www.aliexpress.com/item/YAESU-MH-48-MH-48A6J-DTMF-Speaker-Microphone-for-FT-8800R-FT-8900R-FT-7900R-FT/32796678231.html https://www.aliexpress.com/item/Brand-New-Car-Radio-MH-48A6J-DTMF-Mic-Microphone-For-Yaesu-FT-8800R-FT-8900R-Speaker/32797168145.html Дешевле есть, но или репутация продавцов страдает или отзывы нехорошие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 983] Автор : ur5yfv Дата : 19.08.2017 21:59 Евгений, пожалуста уточните по двум не подписанным связям вверху на первом листе. 269113 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 984] Автор : R3DI Дата : 19.08.2017 22:15 пожалуста уточните по двум не подписанным связям вверху на первом листе Линии имеют то же название что и порт линии SPI_SCK SPI_MOSI, идут на 30ю и 32ю ногу процессора. Вместо реле 881-2CC-C-12 допустима ли замена на .... ? Какие еще есть варианты? На работе выпаивал из хлама разные реле у которого 2-е группы контактов и обмоткой на 12В, вобщем смотрите чтобы по функционалу подходило. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 985] Автор : ur5yfv Дата : 21.08.2017 16:32 Евгений, я так понял, эти связи остались для второго МК ? Такие же и для кодека RX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 986] Автор : R3DI Дата : 21.08.2017 16:42 эти связи остались для второго МК ? Все верно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 987] Автор : R3DI Дата : 21.08.2017 20:26 Версия 2.9 - добавлены оконные функции, можно отдельно установить для ФСС и для отображения спектра (для спектра отключена возможность установки прямоугольного окна RECT, т.к. оконная функция для спектра используется и для "наката/скатов" в режиме CW на передачу). Установка Setup->SDR-> WinS F ( для ФСС)/WinS D ( для отображения спектра) ( RECT, TRIANGUL, SIN, LANCZOS, HANN, BAR_HAN, HAMMING, BLACKMAN, BL_HARRIS, NUTTALL, BL_NUTTALL, FLATTOP,). PS На слух по приему отличий особо не заметил, но прежде чем оставить выбранную оконную функцию для ФСС рекомендую сделать следующее: Установить мощность 0%( а лучше на экв. нагрузки), установить зум спектра ZOOM2 или ZOOM4 , переключая оконную функцию для ФСС обратите внимание на утечку спектра при передачи ( дуя в микрофон). BL_HARRIS является оптимальным вариантом, не используйте оконную функцию ведущую к большой утечке спектра ФСС. PS2 Версия 2.9 потребует переинициализации FRAM т.к. добавились поля в структуры. Запишите необходимые настройки перед прошивкой (например частоту кварца гетеродина ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 988] Автор : UR5ZVU Дата : 22.08.2017 10:34 А какая должна быть эта деталь ERA-xSM? посмотрел в интернет магазинах, их там куча с разными цифрами и ценой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 989] Автор : EW2MS Mikhail Дата : 22.08.2017 10:39 В даташит посмотрите, там разное усиления, с цифрой 3 оно составляет 22dB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 990] Автор : ur5yfv Дата : 22.08.2017 19:13 Евгений, для управления Si5351 по этим шинам идут, как на картинке? 269298 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 991] Автор : UR4QBP Дата : 22.08.2017 20:06 Евгений, для управления Si5351 по этим шинам идут, как на картинке? 269298 Судя по исходникам так: #define SDA GPIO_Pin_15 #define SCL GPIO_Pin_13 #define I2C_PORT GPIOD У меня работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 992] Автор : ur5yfv Дата : 22.08.2017 20:33 Неа, наверное не так. Я в первый раз зацепил с FRAM и ничего на выходе "сишки" не было. Две сишки перепаял, два кварца ... Сейчас подключил как показал и водопад ожил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 993] Автор : R3DI Дата : 22.08.2017 20:39 Почему не так? Все правильно написал UR4QBP, у Фрам и Сишки разные i2c, у первой аппаратный у второй программный, на разных пинах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 994] Автор : UR4QBP Дата : 22.08.2017 20:59 Неа, наверное не так. Я в первый раз зацепил с FRAM и ничего на выходе "сишки" не было. Две сишки перепаял, два кварца ... Сейчас подключил как показал и водопад ожил. FRAM висит на PB6, PB7. У меня как в коде... я и платку развел(в моем варианте место только под Si5351) и собрал и в эфире уже алекаю... и код под свои хотелки правлю... 269303 Вот фрагмент схемы из Proteus. 269302 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 995] Автор : ur5yfv Дата : 22.08.2017 21:11 Друг друга не поняли. PD15 и PD13 - всё правильно, если по схеме смотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 996] Автор : РУСИЧ Дата : 22.08.2017 21:13 под свои хотелки правлю... Доброго вечерка Александр Иванович!!! А под POWERSDR не пробовал Маламута нагнуть)))??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 997] Автор : ur5yfv Дата : 22.08.2017 21:18 Друг друга не поняли. PD15 и PD13 - всё правильно, если по схеме смотреть. и собрал и в эфире уже алекаю... Я еще не алёкаю, и даже не слушаю, потому что МС УНЧ спалил. :( Вот первое видео после правильного подключения. https://www.youtube.com/watch?v=tUitIUimKBc& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 998] Автор : UR4QBP Дата : 22.08.2017 21:21 Доброго вечерка Александр Иванович!!! А под POWERSDR не пробовал Маламута нагнуть)))??? А зачем? Под работу с компьютером через PowerSDR вагон и тележка разнообразных конструкций... и моих в том числе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 999] Автор : РУСИЧ Дата : 22.08.2017 21:30 разнообразных конструкций... и моих в том числе. Да нет просто интересуюсь!!! Не плохо бы в стационаре к компу прикрутить, с большим монитором! К UR5EQF Прикрутили же???:oops: Я просто вспомнил про Ваш синтез к SDR на Stm32F100(103)! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1000] Автор : RA6ANR Дата : 22.08.2017 22:13 РУСИЧ, вся прелесть этой конструкции в автономности)))а панорама и на маленьком дисплее прекрасно выполняет свою функцию.для обзора диапазона вполне достаточно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1001] Автор : РУСИЧ Дата : 22.08.2017 22:26 вся прелесть этой конструкции в автономности)))а панорама и на маленьком дисплее прекрасно выполняет свою функцию.для обзора диапазона вполне достаточно Хорошо я понял!!! Соберем будем посмотреть!!!:пиво::пиво::пиво: Всем удачно повторения)!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1002] Автор : DeXter Holland Дата : 22.08.2017 22:33 собрал и в эфире уже алекаю фото в студию, что получилось -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1003] Автор : UR4QBP Дата : 22.08.2017 23:21 фото в студию, что получилось Собственно показать особо нечего. Плата размером 125х125 мм, без оконечника и ФНЧ. Заказано было пару штук для себя и пары близких товарищей. Выходная мощность платки около 1Вт. Оконечник на паре RD16 + ФНЧ в процессе... Смеситель у меня сделан на FST3125 с формирователем фазы на 74АС74, коммутация смесителя сделана на 74HC4053. Работает неплохо. Пока полностью плата не собрана, едут коммутаторы PE4259 и ERA-3SM, а также м/с УНЧ TDA7233. Фото своего "огорода" вот: 269312 P.S. В планах дисплей 3.5"(480х320рх) и клавиатура 4х5(20 кнопок) чтобы не листать менюшки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1004] Автор : Alex_US9ICY Дата : 23.08.2017 11:27 Добрый день, Саша UR4QBP, Там на полочке для не столь отдаленны наверное лежит одна платка? Можно в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1005] Автор : R3DI Дата : 23.08.2017 13:09 Разъемы - корпус, посмотрел как это теперь выглядит. ( на фото нижний трх с pcb 1.0 без корректировки положения разъемов) 269331269332 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1006] Автор : RD3Q Дата : 24.08.2017 14:44 Уважаемые паяльщики "Маламута"! Не попадитесь на удочку Китайского поддельщика!https://ru.aliexpress.com/item/PE4259/32398420759.html?spm=2114.13010708.0.0.A0Mz5E Вся партия - в мусор! При проверке не должны звонится 1-3-5 ноги на коротко, как в моём случае! Всем успехов в творчестве! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1007] Автор : R2AIA Дата : 24.08.2017 15:17 RD3Q, Поздно.... Как раз у него и заказал! :facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1008] Автор : RD3Q Дата : 24.08.2017 15:20 R2AIA, Не поздно может открыть спор! У меня маркировка не такая как на фото у него, все коряво выбито и не ровномерно! Но срок спора вышел - увы!:cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1009] Автор : R2AIA Дата : 24.08.2017 15:31 RD3Q, Как получу, сразу проверю. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1010] Автор : sever2k6 Дата : 24.08.2017 15:37 вот сегодня получил от него же, проверил - звонятся 1,3 и 5... сейчас буду пробовать спорить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1011] Автор : R3DI Дата : 24.08.2017 15:43 проверил - звонятся 1,3 и 5. Прям в "0" звоняться ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1012] Автор : ur5yfv Дата : 24.08.2017 16:05 Только что проверил железе. Питание 3,3В при включении АТТ1, АТТ2 на 4н. появляются, а аттенюаторы не срабатывают - все проходит на прямую. Тоже у этого продавцы брал их. :( Спор открыть уже не смогу. Прям в "0" звоняться ? У меня показывает 0,5 ома -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1013] Автор : RD3Q Дата : 24.08.2017 16:06 ur5yfv, Попробуйте полярность щупов поменять, я пока на работе не могу проверить! И по сопротивлению, сколько получится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1014] Автор : sever2k6 Дата : 24.08.2017 16:16 да, звонятся в ноль не зависимо от полярности щупов тоже была мысль - там ведь коммутация полевиками, видимо, а если у них затвор в воздухе, они ведь могуть быть открытыми, может, без управления так и должно быть, а для закрытия надо подать что-нибудь? 269365269364 попробовал телефоном сфотографировать ноги погнул я, щупами мультиметра ))) Китайцу написал, пока спор не открывал. Сегодня день плохих посылок - память не в том корпусе прислали, переходников 9 штук не доложили, открываю форум, а тут ещё и аттенюаторы плохие... )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1015] Автор : ur5yfv Дата : 24.08.2017 16:38 замерил в включенном состоянии - тоже самое, около 0,5ом - 1-3-5 ножками, с вкл./выкл АТТ 269370 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1016] Автор : R3DI Дата : 24.08.2017 16:42 У меня тестер показывает от 10 до 60 ом (1-3-5), зависит от касаний упр. выводов, но "0" нет. 269367 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1017] Автор : RD3Q Дата : 24.08.2017 16:52 R3DI, Женя это измерено в плате или не запаянные? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1018] Автор : R3DI Дата : 24.08.2017 17:02 это измерено в плате или не запаянные? это на столе, в плате на 1-5-3 = ATT off МОм/3.6 ом, ATT on 3.2 ом / 73 ом ... ( 1-5 / 5-3 нога)( показания на 6U5 ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1019] Автор : UR4QBP Дата : 24.08.2017 17:29 Вы бы еще лампочкой их проверяли... :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1020] Автор : RD3Q Дата : 24.08.2017 18:32 Вы бы еще лампочкой их проверяли... :crazy: Флуд батенька!Подайте умную мысль,научите безграмотных! Подать ВЧ сигнал и посмотреть ВЧ вольтметром на выходах! Как еще? Можно и другими ВЧ приборами измерить! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1021] Автор : R3DI Дата : 24.08.2017 18:58 Небольшое развлекалово - режим USB IQ ( пока 48к/16bit ) 269383269384 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1022] Автор : UR4QBP Дата : 24.08.2017 19:04 Флуд батенька!Подайте умную мысль,научите безграмотных! Подать ВЧ сигнал и посмотреть ВЧ вольтметром на выходах! Как еще? Можно и другими ВЧ приборами измерить! Вы сами ответили на свой вопрос! Ну никак не тестером проверяется RF-cвич, это же не реле. "Флуд" - https://ru.wikipedia.org/wiki/Флуд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1023] Автор : RD3Q Дата : 24.08.2017 19:09 UR4QBP, Александр дело пошло с того, что у меня не реагируют ни УВЧ ни АТТ. Вот и пытаемся разобраться в чем причина!Напряжения управления приходят, а коммутации нет!:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1024] Автор : UR4QBP Дата : 24.08.2017 19:22 UR4QBP, Александр дело пошло с того, что у меня не реагируют ни УВЧ ни АТТ. Вот и пытаемся разобраться в чем причина!Напряжения управления приходят, а коммутации нет!:-( Понятно... мне тоже едут от того же продавца. Получу, запаяю расскажу что у меня будет. А сейчас у меня стоят в УВЧ AS179 которые тоже хрен знает чего показывают при "щупании" тестером, но они работают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1025] Автор : РУСИЧ Дата : 24.08.2017 20:08 Небольшое развлекалово - режим USB IQ ( пока 48к/16bit ) Здорово!!!:super: Как на полторашке)))) Ну Вы поняли о чем это я:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1026] Автор : RD3Q Дата : 25.08.2017 06:51 Немного разобрались с ПЕ-шками, это ВЧ коммутаторы, и правильно заметил Александр UR4QBP - тестером не правильно проверять! В плате оставил только одну ПЕ шку , перед смесителем, все остальное сдул феном. Результат - переключается только 1 нога (по ВЧ), а 3- постоянно включена. Кто бы проверил как у него это работает на плате. Отсюда все беды с УВЧ и АТТ. Да ПЕ менял на новую из той же партии, результат одинаков! Похоже все же фуфло подсунули! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1027] Автор : sever2k6 Дата : 25.08.2017 07:10 попробую сделать стендик для проверки, советы и мысли по методике приветствуются, буду руководствоваться этой табличкой из ДШ 269402 лишь бы на работе не отвлекали на работу :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1028] Автор : ur5yfv Дата : 25.08.2017 07:51 Немного разобрались с ПЕ-шками Заказал у себя на месте десяток штук. За 3-5 дней должны быть у меня. Попробую, отпишусь. Посмотрите еще телеграф. Разве так должно быть ?! https://www.youtube.com/watch?v=3Wj3Bn1Nkhk& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1029] Автор : UR5ZVU Дата : 25.08.2017 11:09 Всем привет. А в каком корпусе PE4259-63/TR (http://www.chipmall.com/product/attention/pe4259-63-tr/486393)? По ходу есть два вида корпусов SOT363 и SC70-6. Это практически одно и тоже, но в даташит только SC70-6. И какая маркировка должна быть правильная? Есть разные: PE4259-06SC70-3000 (http://www.chipmall.com/product/renesas/pe4259-06sc70-3000/3605572), PE4259-06SC70-3000C (http://www.chipmall.com/product/peregrine/pe4259-06sc70-3000c/3605575) PE4259-52 (http://www.chipmall.com/product/peregrin/pe4259-52/3605577) PE4259-63 (http://www.chipmall.com/product/-/pe4259-63/486392) PE4259-63/TR (http://www.chipmall.com/product/attention/pe4259-63-tr/486393) PE4259 (http://www.chipmall.com/product/peregrine/pe4259/3605574) Или они все одинаковые? R3DI, В каком магазине Вы покупали PE4259 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1030] Автор : ur5yfv Дата : 25.08.2017 11:12 А в каком корпусе PE4259-63/TR? В корпусе SC‐70 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1400909&viewfull=1#post1400909) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1031] Автор : UR5ZVU Дата : 25.08.2017 11:28 В корпусе SC‐70 вот что нашел -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1032] Автор : R3DI Дата : 25.08.2017 11:34 R3DI, В каком магазине Вы покупали PE4259 Брал в этом (http://s.aliexpress.com/j2IVV3Yn) магазине. А вробще в разных магазинах заказывал, и маркировка и в одну строку была и в две, все работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1033] Автор : R3DI Дата : 25.08.2017 13:07 Так... для наглядности. https://www.youtube.com/watch?v=EpC6x0I8X0Y -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1034] Автор : RA1TEX Дата : 25.08.2017 13:17 maswss0192 можно вместо AS179 и куча кучная всяких макомовских аналогов начинающихся с MASWSS0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1035] Автор : R3DI Дата : 25.08.2017 13:25 Посмотрите еще телеграф. Разве так должно быть ?! Если станция была бы настроена точно в CWtone, то переключения даже не заметили. Разница будет только в направлении изменении частоты звука от направления изменения частоты настройки. maswss0192 можно вместо AS179 и куча кучная всяких макомовских аналогов начинающихся с MASWSS0 Ну не знаю ... у PE4259 неиспользуемый пин к земле подключается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1036] Автор : ur5yfv Дата : 25.08.2017 13:56 Футпрант (посадочное место) для ... :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1037] Автор : RA1TEX Дата : 25.08.2017 13:57 какбы не "неиспользуемый пин" а единственный пин с землей. Абсолютно попсовый коммутатор. Искать перегрин со скайворксом тупо, когда есть куча макома. А если взять на одну ножку CTRL середину напряжения, то на другой CTRL вход можно лог.0 и лог.1 вкл-выкл делать (как бы с искусственным нулем). И самое главное не забывать про обязательные разделительные конденсаторы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1038] Автор : ur5yfv Дата : 25.08.2017 14:02 Если станция была бы настроена точно в CWtone, то переключения даже не заметили. Разница будет только в направлении изменении частоты звука от направления изменения частоты настройки. Не в том вопрос ! А разве так должно быть при переключении CWR - CWL ? https://www.youtube.com/watch?v=zfnwbDWiXW8& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1039] Автор : RA6ANR Дата : 25.08.2017 15:11 RA1TEX, вот с разделительный конденсаторами интересно получилось.у меня при включении-выключении увч -ПЕшка,которая после увч стоит,зависала в каком то нейтральном состоянии.оказалось,что из за того,что на конденсаторе оставалось напряжение больше чем управляющее.подтянул вход этой ПЕшки со стороны УВЧ на землю сопротивлением несколько десятков килоом-проблема исчезла(питание УВЧ у меня 4,2В получилось.антеный вход пришлось так же шунтировать высокоомным резистором.(при определенных условиях появлялся гул низкочастотный) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1040] Автор : RA1TEX Дата : 25.08.2017 16:26 да есть такая проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1041] Автор : UR4QBP Дата : 26.08.2017 01:07 Пока все в муторном ожидании РЕ4259 и я в том числе :crazy:. Решил перед сном попробовать вместо "кренки" 7805, которая вместе с радиатором греет здорово плату, DC/DC преобразователь. Купил на пробу в местном магазине готовый модуль (http://www.kosmodrom.com.ua/el.php?name=MP1584-MICRO-POWER) и сразу удачно! Зверек на MP1584 с заявленной частотой преобразования 1,5 МГц. Пробежался по диапазонам, покрутил, все чистенько! Рекомендую к применению. 269452 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1042] Автор : UT0UM Дата : 26.08.2017 01:27 на MP1584 с заявленной частотой преобразования 1,5 МГц ну так я это им тут давно писал (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1427996&viewfull=1#post1427996) ставил модуль на этой м/с в mcHF (http://www.cqham.ru/forum/showthread.php?33633-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-M0NKA-mcHF&p=1415231&viewfull=1#post1415231) все гуд у меня частота была немного меньше 1 МГц (она устанавливается резистором) получилось 3 пораженки вот в даташите (http://pdf1.alldatasheet.com/datasheet-pdf/view/551593/MPS/MP1584EN.html)написано что если резистор на 6 ноге 100кОм то частота 900 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1043] Автор : RD3Q Дата : 27.08.2017 14:24 Да самому намотать на колечке - цена 50 рублей получится, за все! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1044] Автор : UR4QBP Дата : 27.08.2017 15:49 Да самому намотать на колечке - цена 50 рублей получится, за все! Например на колечке FT23-43. 269534 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1045] Автор : РУСИЧ Дата : 27.08.2017 19:33 Да самому намотать на колечке - цена 50 рублей получится, за все! Да можно))) НО автор применил с материнки какой то! Пришлось копать под Flex-1500! Там такие! Пока с Аллешы придут трансы, намотаем на чем есть)))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1046] Автор : RD3Q Дата : 27.08.2017 19:43 Трансформаторы. 1TR1 - М600НН 7х4х2 I-отрезок RG-58 без оплетки, II- 12 вит. 4TR1, 6TR1, 6TR2, 10TR1, 10TR2 - М600НН 7х4х2(10х6х3) скрутка в три провода 5-7 вит. 4TR2 - пока еще не определился (драйвер PA). 5TR1 - BN-43-3312 I-медные трубки внутри II-2 вит. Или какой то другой трансформатор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1047] Автор : RA6ANR Дата : 27.08.2017 20:21 Получил ПЕ шки от того самого нехорошего продавца...в общем они не работают,открыл спор. А трансформаторы хорошо получаются на bn43-2402))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1048] Автор : R6BK Дата : 27.08.2017 23:21 но, в планах поэкспериментировать С чем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1049] Автор : UR5ZVU Дата : 28.08.2017 09:08 Какое напряжение подаете на PE4259? В даташит указано МАХ... 4 вольта. Кто-то замерял его? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1050] Автор : RD3Q Дата : 28.08.2017 09:19 Какое напряжение подаете на PE4259? В даташит указано МАХ... 4 вольта. Кто-то замерял его? Конечно проверяли! Если полностью узел проверять, то не уж то не проверили! 3.3 В! :-P А вот кому нибудь нерадивый продавец ПЕ-шек новый трек прислал? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1051] Автор : RA6ANR Дата : 28.08.2017 12:08 RD3Q, мне прислал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1052] Автор : R3DI Дата : 28.08.2017 13:14 Поступи платки Жду ответа в лс от: ra6ljm Radiotester electronshik ua6ct rx3m Сергей12701 ua9olb_Дима rt0om r2dic - до конца недели и потом от сдед. ur5yfv sever2k6 ua3ycv uy5um ur3uw_ ua0sm. В лс точный адрес или сообщите что плата не нужна. Еще платы будут, уже в пути. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1053] Автор : sever2k6 Дата : 28.08.2017 14:11 С чем? с разными трансформаторами, включая самодельные (это чтоб не покупать)))) Вообще, PE я заказал для HiQSDR mini, а здесь уже прочитал, что пришли неисправные, ровно в тот день, когда получил на почте. Для Маламута я жду очереди на плату, хотя занял первый (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1397235&viewfull=1#post1397235), в личку подтверждал, :cry: ну да ладно, надеюсь, на мой век хватит... :-P Трек на новые ПЕшки RG.....3996CN, пока информация недоступна -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1054] Автор : R3DI Дата : 28.08.2017 14:32 хотя занял первый, в личку подтверждал Все верно, 16-05 записано, но тут люди и с апреля еще есть, до открытия темы мне в лс писали.... Несколько заказов на платы с Китая уже в пути -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1055] Автор : РУСИЧ Дата : 28.08.2017 18:04 R3DI, А в чем отличие версии 1,1 от 1,2??? В площадке DDS???? Или при каждом заказе меняется версия))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1056] Автор : R3DI Дата : 28.08.2017 18:13 В площадке DDS? Именно в ней -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1057] Автор : R3DI Дата : 28.08.2017 20:08 ....Купил на пробу в местном мага! Зверек на MP1584 с заявленной частотой преобразования 1,5 МГц. Пробежался по диапазонам, покрутил, все чистенько! Рекомендую к применению. Если не сложно, можете видео снять на диапазонах и там где есть пораженки, укажите тип первичного источника питания и напряжение? Интересно сравнить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1058] Автор : UR4QBP Дата : 28.08.2017 20:33 Если не сложно, можете видео снять на диапазонах и там где есть пораженки, укажите тип первичного источника питания и напряжение? Интересно сравнить. Евгений пораженки есть, но они не попадают в любительские диапазоны(кроме 160 метрового). Все как UT0UM описывал в параллельной теме. Самый большой ее уровень на частоте преобразования 950 кГц, на 1900 она раза в два меньше и уже на 15200(16-я гармоника) ее вообще не слышно и не видно на панораме. Первичный источник 13.8В импульсный древний АТ-шный 200 Вт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1059] Автор : R3DI Дата : 28.08.2017 20:39 Посмотрел свои дцдц и у меня 1504, а 1584 у меня такой и нет, хочу купить попробовать. 1504 по опыту оказалась шумнее чем синхронный, от последнего есть несколько пораженок едва в 1 бал без антенны, с ней вовсе теряется в шумах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1060] Автор : R6BK Дата : 28.08.2017 21:02 с разными трансформаторами, включая самодельные Ааа, ну да. Успехов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1061] Автор : UR4QBP Дата : 28.08.2017 21:07 Посмотрел свои дцдц и у меня 1504, а 1584 у меня такой и нет, хочу купить попробовать. 1504 по опыту оказалась шумнее чем синхронный, от последнего есть несколько пораженок едва в 1 бал без антенны, с ней вовсе теряется в шумах. Обязательно прикуплю и попробую, отпишусь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1062] Автор : RC3ZQ Дата : 29.08.2017 09:44 Доброго дня. Верно ли указана марка процессора в sdr-bom STM32F407VG6T TQFP100/WG16.00MM На али находятся 32F407 STM32F407VG STM32F407 STM32F407VGT6 LQFP100 https://ru.aliexpress.com/item/New-original-STM32F407VGT6-STM32F407VG-STM32F407-STM-LQFP-100/1122300352.html?ws_ab_test=searchweb0_0,searchweb201602_4_10152_10065_10151_5490020_10068_10209_5400011_5430020_5410020_10307_10301_10137_10060_439_10155_10154_10333_10334_10056_5370011_10335_10055_10336_10054_10059_10332_100031_10099_10103_10102_10169_10052_10053_10107_10050_10142_10051_5380020_10328_10326_10327_5390020_10084_10083_10080_10082_10081_10110_10111_5420020_10112_10113_10114_10312_10313_10314_10078_10079_10210_10073_10125-10333,searchweb201603_15,ppcSwitch_5&btsid=d8bb0b28-8155-4cff-813f-f97a32d25205&algo_expid=bb457c5d-3318-4362-8600-083be075eb02-0&algo_pvid=bb457c5d-3318-4362-8600-083be075eb02&transAbTest=ae803_3 Это этот проц ( в таком корпусе как надо)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1063] Автор : RD3Q Дата : 29.08.2017 10:34 STM32F407VGT6 LQFP100 Именно он и есть!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1064] Автор : Genadi Zawidowski Дата : 29.08.2017 11:18 на схеме ошибочка с названием процессора - существует STM32F407VGT6 автор говорил что исправил -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1065] Автор : RC3ZQ Дата : 29.08.2017 14:14 Genadi Zawidowski, Геннадий, то есть и по корпусу LQFP100 будет верно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1066] Автор : Genadi Zawidowski Дата : 29.08.2017 14:51 Да верно все... если сомнения есть, гляньте в даташит на деталь... там все буквочки оюъясняются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1067] Автор : R3DI Дата : 29.08.2017 15:22 схема на модуль (https://docviewer.yandex.ru/view/0/?*=%2Fad5o0cXg1ABQEwakVb5SO6lZG17InVybCI6InlhLWRpc2stcHVibGljOi8vUEszazg0ekg4dDV0Z0NjNEF3Zjd0MjVJVUhha0ljam5IUHNnZDJMSG5XND06L1NJNTM1MUEoU0NIKS5wZGYiLCJ0aXRsZSI6IlNJNTM1MUEoU0NIKS5wZGYiLCJ1aWQiOiIwIiwieXUiOiIzOTExNTUyMTYxNTAzNjU5NzIzIiwibm9pZnJhbWUiOmZhbHNlLCJ0cyI6MTUwNDAwOTE0MjI4OX0%3D) Si5351 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1068] Автор : ur5yfv Дата : 29.08.2017 15:45 Евгений, Подскажите по режиму MUTE !!! В нормальном(рабочем) состоянии 2н. МС TDA7233 через МК на корпус !? При включении MUTE 2н. "отрывается" от "земли " !? Switch Open = Mute Switch Closed = Play Нужно для применения более распространенной МС УНЧ, например LM386. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1069] Автор : R3DI Дата : 29.08.2017 16:39 Евгений, Подскажите по режиму MUTE !!! Mute = open Play = 0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1070] Автор : R3DI Дата : 29.08.2017 17:58 Запустил прием USB IQ 96k/16b (96k/24b не получится) , 48k/24b должно пройти, но вот голову ломаю как сделать? Сообщить в дескрипторах что есть 2 частоты могу, а вот как что для одной только 24бита для другой только 16 не знаю как сделать. Можно конечно и "костыль забить" - 48k/24b один PID а для 96k/16b другой ( со своими дескрипторами) и переключать в трх-е, но как то.... Хотя у меня сейчас и так разные PID для USB_AF(mono) и USB_IQ(стерео с отключением dsp). Передачу не делал, не понимаю пока что откуда и куда идет ( по микрофону и дт. ). Или сделать 96к/48к только 16 бит, а 24 не делать, или только 48к/24бит. Или это вообще все не к чему ... :crazy:? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1071] Автор : Genadi Zawidowski Дата : 29.08.2017 18:07 Когда мы начинали это обсуждать, я привёл дамп дескрипторов. У меня 16/48000 и 24/96000 переключаются со стороны компьютера выбором одного из интерфейсов. Zero bandwidth ведь приходится обеспечивать выбор... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1072] Автор : R3DI Дата : 29.08.2017 18:45 Genadi Zawidowski ​ приветствую! , посмотрел pdf ( #689 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1418170&viewfull=1#post1418170) ) ...... Audio Streaming Format Type DescriptorOffset Field Size Value Description 0 bLength 1 0Bh 1 bDescriptorType 1 24h Audio Streaming Format Type 2 9 02 01 02 02 10 01 80 BB 00 Endpoint Descriptor 01 1 Out, Isochronous, 1 ms Offset Field Size Value Description 0 bLength 1 09h 1 bDescriptorType 1 05h Endpoint 2 bEndpointAddress 1 01h 1 Out 3 bmAttributes 1 05h Isochronous, ........... и ...... Audio Streaming Format Type Descriptor Offset Field Size Value Description 0 bLength 1 0Bh 1 bDescriptorType 1 24h Audio Streaming Format Type 2 9 02 01 02 03 18 01 00 77 01 Endpoint Descriptor 81 1 In, Isochronous, 1 ms Offset Field Size Value Description 0 bLength 1 09h 1 bDescriptorType 1 05h Endpoint .................. для Out 48к/16 а для In 96к/24, каким образом выбор получается, или я чего не доглядел ? ( для точки In указанно 96к/24 только один режим ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1073] Автор : Genadi Zawidowski Дата : 29.08.2017 18:59 Оут у меня вообще единственный... Среди ин выбор обработкой setinterface.. Форматы out и in не пересекаются... Пока могу посоветовать глянуть в исходники, где все эти варианты создаются динамически... Там и комментарии есть, кстати. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1074] Автор : R3DI Дата : 29.08.2017 19:08 Оут у меня вообще единственный. По картинки дескриптор не составлю, не подскажете по Audio Streaming Format Type Descriptor с возможностью 96k/16b - 48k/24b :oops: Добавлено через 7 минут(ы): Пока могу посоветовать глянуть в исходники Пробовал их посмотреть....0,5мБ файлы.... тут свои то через пару недель с трудом понимаешь что от куда, буду искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1075] Автор : Genadi Zawidowski Дата : 29.08.2017 19:09 У меня 2*16/4800 и 2*24/96000. А зачем такая экзотика как вы пишете нужна? Исходники поиском по тексту смотреть, не глазами... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1076] Автор : R3DI Дата : 29.08.2017 19:16 А зачем такая экзотика как вы пишете нужна? 96к/16 и для 48к тоже получается 16, а можно было бы при 48к и 24 бита отдавать /* Audio Type I Format */ 11, AUDIO_INTERFACE_DESCRIPTOR_TYPE, AUDIO_STREAMING_FORMAT_TYPE, 0x01, // FORMAT_TYPE_I. (bFormatType) 2, // (bNrChannels) 0x02, // Two bytes per audio subframe.(bSubFrameSize) 0x10, // 16 bits per sample.(bBitResolution) 0x01, // One frequency supported. (bSamFreqType) B3VAL(96000), /// //B3VAL(48000), /// 96 и 48 указать могу, но разделить для них bSubFrameSize и bBitResolution... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1077] Автор : Genadi Zawidowski Дата : 29.08.2017 19:23 Разные форматы в разных интерфейсах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1078] Автор : РУСИЧ Дата : 29.08.2017 19:25 А зачем такая экзотика как вы пишете нужна? Так это же для ESSBистов!!!:super::ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1079] Автор : Genadi Zawidowski Дата : 29.08.2017 19:46 Среди ин выбор обработкой setinterface.. Форматы out и in не пересекаются... Синим помечен IN zero bandwidth, оранжевым - IN, 2*16/48k, красным - IN, 2*24/96k. Будуьт вопросы задавайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1080] Автор : R3DI Дата : 29.08.2017 20:02 Genadi Zawidowski Геннадий, спасибо! Буду разбираться. Нужно будет почитать, может Alternate Setting не только 0 и 1, но и 2 можно сделать со своим ( Audio Streaming Interface / Audio Type I Format и тд) Добавлено через 9 минут(ы): Посмотрел свои дцдц и у меня 1504, а 1584 у меня такой и нет, хочу купить попробовать. 1504 по опыту оказалась шумнее чем синхронный, от последнего есть несколько пораженок едва в 1 бал без антенны, с ней вовсе теряется в шумах. И все-таки 1584 ! Посмотрел, на али на фото 1504 а на столе лежат 1584 - значит сравнивал синхронный с 1584 ! ... Будет время проверю (сравню) еще раз ( может и с видео ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1081] Автор : Genadi Zawidowski Дата : 29.08.2017 20:02 Alternate Setting не только 0 и 1, но и 2 можно сделать со своим ( Audio Streaming Interface / Audio Type I Format и тд) Да хоть десять... в дампе что выше - как раз 0, 1 и 2. Попробовал поставить ваши значения... Имейте в виду, при редактировании в исходниках параметров интерфейсов, стирайте в device manager ранние версии этого устройства - windows кэширует информацию о форматах (и типах устройств) и может не показать изменениий. Или вообще ничего из скоростей не показать (закладка advanced будет отсутствовать - как на картинке). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1082] Автор : R3DI Дата : 29.08.2017 20:16 Да да, удаление это первое с чего начинаю, про то что винда помнит-знаю. Геннадий, а попробовали просто значения или именно альтсеттинг добавить со значениями? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1083] Автор : Genadi Zawidowski Дата : 29.08.2017 20:21 без альтсеттинг только разные скорости можно. Разные форматы через альтсеттинг. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1084] Автор : R3DI Дата : 29.08.2017 20:25 Genadi Zawidowski, поторопился с вопросом, ...работа работа, посмотрел дамп, все понял, спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1085] Автор : Анатолий.Mel Дата : 31.08.2017 00:01 Всем здравствуйте. Подскажите, критична ли частота кварца для Si5351 25 МГц или можно 27 МГц? Мои успехи:269756269757 Есть и поражения, также попался на РЕ4259. Заказ у того же продавца. Обещал что вышлет повторно но уже 100% хорошего качества. Посмотрим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1086] Автор : R3DI Дата : 31.08.2017 00:05 частота кварца для Si5351 25 МГц или можно 27 МГц Можно, в меню укажите нужную частоту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1087] Автор : Анатолий.Mel Дата : 31.08.2017 00:12 Евгений здравствуйте. Рад приветствовать. Спасибо за ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1088] Автор : R3DI Дата : 31.08.2017 18:09 Вот такая штука получается с USB UAC... UAC_In 3(три) альтсеттинга- 48к/16 48к/24 96к/16 - так вот винда 7ка 2й ну никак не видит, 10ка видит, а 7ка только 1й и 3й режим. UAC_Out- 48180/16 48180/24 96360/16 - видит только один первый и все, если выставить все стандартные 48000 и тд. то видит все....но это не для моего случая. Мне нужно что бы винда мне чуть больше данных давала чем мне нужно, если трх-а кодек перевести на частоту ниже 48000, для того чтобы в Out указать 48к и все режимы видны были(и данных для меня хватало), тогда проблемы с In т.к у меня теперь просто не хватает данных отдавать компу. Свободной точки для фитбека (винду подгонять) нет. "Колдовать" с добавлением/обрезкой семплов нет ни времени ни желания - да и USB IQ так для интереса был сделан. Сейчас IQ работает только на прием, все 3 режима ( 48к/16-AF/RX/TX 48к/24 96к/16-IQ/RX), переключение через меню трансивера Setup->SDR->Usb Mode-> . Режимы имеют разные USBD_PID - неудобно то, что компорты становятся разными номерами. Если интересно послушать через SDR софт - вот прошивка и там три .inf файла для портов( если нужно ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1089] Автор : UR3IQO Дата : 31.08.2017 20:04 так вот винда 7ка 2й ну никак не видит Делайте 32битные сэмплы. Их вроде все видят (по крайней мере те, которые у меня есть для проверки :)). У меня с XP были похожие проблемы. Дескрипторы свои я выкладывал чуть раньше - можете посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1090] Автор : R3DI Дата : 31.08.2017 20:09 Можно попробовать, но есть ли смысл? Не стандартная частота для передачи не позволяет выбирать другие режимы, а для стандартной нет доп. эндпоинта. Т.е. толку перевода прием в 96к/16IQ, когда передача останется с режимом 48180/16АF Ps. Там так понимаю не именно в 24 проблема, менял местами альтсет. и может перестать 96/16 или 48/16 видеть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1091] Автор : UR3IQO Дата : 31.08.2017 20:29 Там так понимаю не именно в 24 проблема У меня с ХР была именно в 24битах проблема, с 32битами или 16битами все работает без проблем. На счет нестандартных частот не подскажу - я использую 12000Гц. С Вашим подходом к синхронизации может возникнуть проблема с цифровыми модами, т.к. уедет частота сигналов, модуляции, скорость передачи и т.д. Если конечно я правильно понял, что Вы делаете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1092] Автор : R3DI Дата : 31.08.2017 20:39 Работали цифровыми, проблем не замечал, буфер в 1024 примерно за 6 минут переполнялся, это когда моно режим был, стерео должен примерно за 3 мин. При переполнении- обнуление, 23мс тишины, на слух не заметно даже, если не знаешь когда именно будет, для цифры, ну если передача больше 3мин, то да, пара символов потеряется наверное.(48к/16 AF) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1093] Автор : RD3Q Дата : 31.08.2017 20:54 R3DI, Что то с новой прошивкой по USB звук перестал проходить! В устройствах определяется как SDR Маламут, в звуковых Радиоприемник SDR Маламут и Радиопередатчик SDR Маламут. В программе спектра сигнала нет! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1094] Автор : R3DI Дата : 31.08.2017 21:02 Если про режим AF, то удалите его, и пусть винда его поставит заново. Был одноканальный, теперь 2х. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1095] Автор : RD3Q Дата : 31.08.2017 21:12 R3DI,Спасибо работает!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1096] Автор : РУСИЧ Дата : 31.08.2017 21:56 R3DI, Евгений Просьба! Вид снизу платы с распаянными детальками сможете сфотографировать и выложить??? Пришли детальки с Китая потихоньку начал набивать))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1097] Автор : UR4QBP Дата : 31.08.2017 22:25 Евгений, Подскажите по режиму MUTE !!! В нормальном(рабочем) состоянии 2н. МС TDA7233 через МК на корпус !? При включении MUTE 2н. "отрывается" от "земли " !? Switch Open = Mute Switch Closed = Play Нужно для применения более распространенной МС УНЧ, например LM386. Дошли и у меня руки до режима MUTE в УНЧ TDA7233. В исходнике сигнал MUTE есть в ините, но он в коде нигде не используется. По крайней мере в тех исходниках которые на яндексе лежат. Добавил я в режим RX/TX функцию AF_MUTE_OFF()/AF_MUTE_ON() и был разочарован работой приглушения звука в данной м/с. Хлопки такие что лучше оставить как есть приглушая звук в кодеке. Это я к тому что УНЧ можно использовать любой и не париться с данной опцией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1098] Автор : R3DI Дата : 31.08.2017 23:21 и был разочарован работой приглушения звука в данной м/с Вот вот... потому и нет нигде, но в последней 3.1 прошивке использую в режимах USB IQ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1099] Автор : UR3IQO Дата : 01.09.2017 07:29 Работали цифровыми, проблем не замечал, буфер в 1024 примерно за 6 минут переполнялся, это когда моно режим был, стерео должен примерно за 3 мин. получается разница частот около 59ппм - с такой разницей все будет ок, но есть иная засада - согласно стандарту USB клок может гулять на +-250ппм. Т.е. с другим компом все может быть совсем по-другому - имейте это ввиду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1100] Автор : ua3ycv Дата : 01.09.2017 10:00 Вид снизу платы с распаянными детальками сможете сфотографировать и выложить??? Пришли детальки с Китая потихоньку начал набивать)))а схема то для чего? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1101] Автор : RD3Q Дата : 01.09.2017 10:56 https://yadi.sk/d/QuyGdEwM3H4HcB здесь есть монтажные схемы, вот расположение элементов на обратной стороне https://docviewer.yandex.ru/view/0/?*=sWO6bbqQelRFupG5HuXHJcHbd1l7InVybCI6InlhLWRpc2stcHVibGljOi8vUEszazg0ekg4dDV0Z0NjNEF3Zjd0MjVJVUhha0ljam5IUHNnZDJMSG5XND06L0FTRFJfQk9ULnBkZiIsInRpdGxlIjoiQVNEUl9CT1QucGRmIiwidWlkIjoiMCIsInl1IjoiODE3NzAzNzkxMTUwMDUzOTI2MCIsIm5vaWZyYW1lIjpmYWxzZSwidHMiOjE1MDQyNTI0Njk0OTJ9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1102] Автор : ur5yfv Дата : 01.09.2017 16:22 Запаял PE4259 приобретенные на месте (Космодром) - аттенюатор ожил. :super: https://www.youtube.com/watch?v=Tm1WvoG5U-8&feature=youtu.be Кстати, продавец после написания ему, предложил выслать повторно уже рабочие ПЭшки. И это после двух недель, как закончился срок открытия спора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1103] Автор : R3DI Дата : 01.09.2017 16:23 Вид снизу платы с распаянными детальками сможете сфотографировать и выложить? На номиналы резисторов смещения не смотрите, тут у меня RD15 269868 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1104] Автор : R3DI Дата : 01.09.2017 17:00 И все-таки 1584 ! Посмотрел, на али на фото 1504 а на столе лежат 1584 - значит сравнивал синхронный с 1584 ! ... Будет время проверю (сравню) еще раз ( может и с видео ). https://www.youtube.com/watch?v=zzzMLzqHfDc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1105] Автор : avbelnn Дата : 01.09.2017 17:11 1.32 минута-поражёнки? Тоже наблюдаю на разных диапазонах,причём это не с DC/DC,при линейном стабе тоже самое думал,что это из за "самодельной" разводки платы,но вижу что у Вас тоже наблюдается.Интересно сколько их без антенны на разных диапазонах в Вашем варианте? PS:те PE,что с браком не спешите выкидывать,по одному входу они коммутируются,в диапазонные фильтра например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1106] Автор : R3DI Дата : 01.09.2017 17:35 Интересн о сколько их без антенны на разных диапазонах в Вашем варианте? Не считал, 12288к, 3072к это от кодека ( и их порядки), для подсчета нужно очень медленно перестраивать частоту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1107] Автор : avbelnn Дата : 01.09.2017 17:49 это от кодека Вы так думаете?От клока кодека?При касании кварца 25МГц положение их меняется,значит это комбинашки на смесителе,кодек тактируется независимо от SIшки.Хотя,может тоже есть какие то вариации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1108] Автор : R3DI Дата : 01.09.2017 18:15 При касании кварца СИшки и радиомаяки уплывут - это не показатель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1109] Автор : avbelnn Дата : 01.09.2017 18:24 радиомаяки уплывут Причём тут радиомаяки?Поставьте частоту 7194880 как на видео,плата с SI у Вас ведь сверху?Коснитесь до ножки кварца не сорвав генерацию,тоже и на других поражёнках видно.Вопрос как это минимизировать?(экран на смеситель и т.д.)Без обид,трансивер в Вашем варианте цена-качество просто замечательный:-P Да,конечно не пальцами,убъёте статикой,достаточно щупом с отрезком провода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1110] Автор : avbelnn Дата : 01.09.2017 21:16 Не считал, 12288к, 3072к это от кодека ( и их порядки) Евгений,Вы правы.В бОльшей степени это из за клоковой шины кодека.3Вольтовая амплитуда шины вблизи(у меня)смесителя.Изменяя геометрию проводов,незначительно меняется амплитуда.Касанием ног кварца просто смещается по спектру. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1111] Автор : RX9UAO Дата : 02.09.2017 14:58 Трансформаторы на BN43-2402,обмотки по сколько витков? И еще, может кому пригодится http://www.qrz.ru/classifieds/detail/amidon-kol-ca-binokli-i-dr_278617 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1112] Автор : UA9UDQ Дата : 02.09.2017 17:01 есть ли различия в применяемых типах конденсаторов? ,к примеру в обвязке stm стоят танталовые электролиты небольшой ёмкости,возможна их замена например на керамические такой же ёмкости? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1113] Автор : RV4LX Дата : 02.09.2017 17:25 танталовые электролиты ,возможна их замена Из собственных долгосрочных наблюдений: Танталовые конденсаторы иногда выходят из строя по вине короткого замыкания. Кто-то говорил, что в них кристалл со временем растёт и коротит обкладки. К тому-же у танталов надо соблюдать полярность подключения. Керамике полярность подключения не важнА. Лишь-бы не превышать на них паспортное напряжение. Но они вроде склонны к микрофонному эффекту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1114] Автор : UA9UDQ Дата : 02.09.2017 17:35 естественно в аудиоцепях я небуду ставить керамику,а вот хотел бы в блокировках,обвязках ....других цепях там где стоят электролиты использовать той же ёмкости керамику на материнских платах давно не встречаю чтобы использовались танталовые конденсаторы подумал может и по возможности можно и здесь не применять по возможности -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1115] Автор : RV4LX Дата : 02.09.2017 17:37 подумал может и по возможности можно и здесь не применять по возможности Где позволяет, я ставлю керамику. Да и дорогие танталы. Керамика - дешевле. На ёмкость 22-47 мкф нетрудно найти, в СМД исполнении. Только с ростом ёмкости напряжение их падает до 16-6,3 Вольт. Для достижения нужной ёмкости ставлю несколько параллельно. Заодно разбавляю ток через них. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1116] Автор : RC3ZQ Дата : 02.09.2017 19:02 Сегодня получил платку, спасибо Евгению за оперативную отправку. Упаковано шикарно! Хотел спросить кто как паяет smd в 0603 с такой плотностью монтажа? Паяльник с паяльной пастой или припоем, или быть может фен с и паяльная паста? Как проще будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1117] Автор : RV4LX Дата : 02.09.2017 19:16 Как проще будет? Тоже думал в своё время, как паять эти 1206. Со временем перешёл на 0805.. И 0603 - не за горами. Хорошая оптика и достойный паяльник. Использую миниатюрный, самодельный. Жало из медной проволоки 2.5 квадрата. Припой - с канифолью внутри. Пинцет. Изопропиловый спирт на промывку. Хороший инструмент - залог успеха. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1118] Автор : R3DI Дата : 02.09.2017 19:49 Хотел спросить кто как паяет smd в 0603 с такой плотностью монтажа? Хороший инструмент - залог успеха Прям в точку. Хороший пинцет нужен, чтоб и 0603 держал и не "выстреливал" ими, губки пинцета тонкие но жесткие. Феном только для демонтажа пользуюсь, привык все паяльником. 407й сначала равняю и прихватываю в одном углу с 2х сторон по контакту (предварительно смазав все площадки проца платы флюсом), смотрю под лупой, если все ровно - припой 0.5 и паяльником сразу строну прохожую На работе станция Ersa с жутко дорогими жалами, купил для пробы с али простой паяльник https://ru.aliexpress.com/item/Hot-Sale-60W-220V-Temperature-Adjustable-Electric-Welding-Solder-Soldering-Iron-Handle-Heat-Pencil-Repair-Tool/32434337822.html?spm=a2g0s.9042311.0.0.kVG6WL ( не станция) но с регулировкой в ручке (220В), 5 жал в наборе и ТЕН запасной и все это в 2 раза дешевле чем одно жало для Ersa, попробовал - ничем не хуже :super:. На плате высокая плотность у операционников, сначала устанавливаю мс, потом 0603, потом танталы, пользуюсь конусным жалом. PS Если в "двух словах" пайка 0603 (и не только) - припой 0.5 и жало конус - касаюсь одной площадки элемента на плате, теперь она с припоем - пинцет/элемент к той площадке пайка - в уголок между элементом и площадкой второй контакт припой 0.5 жало конус - готово. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1119] Автор : RD3Q Дата : 02.09.2017 20:27 R3DI, аналогично паяю, фен только для удаления деталей! Станция LUKEY 702, а пинцет антимагнитный STAINLESS STEEL SS-sa! Оптика хорошая и свет! Хороший инструмент - залог качественной работы! ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1120] Автор : РУСИЧ Дата : 02.09.2017 20:49 Феном только для демонтажа пользуюсь, Фен за пол часа))):ржач: Не стал покупать! Фигачит лучше Китайского!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1121] Автор : R3DI Дата : 02.09.2017 21:24 Фен за пол часа))) Ни чё се !!!!! :super::super::super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1122] Автор : RA6ANR Дата : 02.09.2017 22:29 Мне как то боязно паять такую плату феном.плата довольно большая,может и крутнуть ее.паял свой экземпляр так же как и Евгений-паяльник с конусным жалом и припой 0.3мм(самый тонкий,что удалось найти). главное греть одновременно и площадку и деталь.плюс флюс почти не остается на плате-мыть потом легко)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1123] Автор : RD3Q Дата : 02.09.2017 23:04 РУСИЧ, да флюс не жалели! Теперь потрудиться надо отмыть от него! Отмывал свою в УЗ ванне по 5 минут в отмывочном растворе и дисц. воде! ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1124] Автор : РУСИЧ Дата : 02.09.2017 23:37 отмывочном растворе и дисц. воде :oops:Странно, вытирается салфеткой и спиртом, зубной щеткой но потом;-) А каким Вы флюсом пользуетесь??? Да на фото кажется что там все во флюсе плавает, на самом же деле все не так!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1125] Автор : Integral Дата : 03.09.2017 00:41 РУСИЧ, да флюс не жалели! Теперь потрудиться надо отмыть от него! Если флюс хороший, то большое количество его только облегчает пайку. Флюс не дает локального перегрева платы, а равномерно распределяет тепло. И при пайке феном помогает деталям сомоцентрироваться относительно контактных площадок. Расплавленный флюс слегка приподнимает деталь. А теперь самое полезное в способе пайки феном - это меньшее напряжение глаз и зрения. Потому что детали можно расставить на контактные площадки как угодно криво и при прогреве феном четко становятся ровно. Затем флюс отлично отмывается изопропиловым спиртом или просто этиловым. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1126] Автор : sever2k6 Дата : 03.09.2017 01:46 Главное, чтобы флюс был хорошим и не "сифонил" бы потом, ибо под корпусами его не вымыть. Не очень долго думал, почему синтез не генерирует в АЧХометре ))) отпаял, помыл, припаял с другим флюсом в меньшем количестве и всё заколосилось)) Этот же флюс в цифровой (ноутбучной) технике себя не скомпрометировал! Ну, честно говоря, только в питальных цепях - БГА паяю довольно оригинальным FluxPlus уже много лет. Для информации. (https://mysku.ru/blog/aliexpress/50184.html) Интересный подход к тестированию. Прошу заметить, измерения в обзоре происходят по постоянному току! Сами понимаете, что там будет в интересующих нас режимах ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1127] Автор : RD3Q Дата : 03.09.2017 10:26 РУСИЧ, Все время использую спирто-канифольный флюс, с появлением ЛТИ-120 - только им пользуюсь для пайки радиоэлементов, как дома, так и на производстве! Ни каких проблем ни разу не возникало с этим флюсом ни в аналоговой, ни в цифровой технике. Все платы промывались или спиртом или отмывочной жидкостью для удаления флюса.:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1128] Автор : R2RBN Дата : 03.09.2017 12:54 R3DI А вы не смотрели как себя ведут pe4259, в датащите минимальная частота от 10 МГц начинается,ниже завал. Интересно насколько там нелинейно и какие потери.Хотел так же как вы применить в трансивере, теперь возникли сомнения,а стоит ли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1129] Автор : РУСИЧ Дата : 03.09.2017 13:08 с появлением ЛТИ-120 Кто как привык! Я пользуюсь не активными флюсами RMA 233 Что применяют у нас на производстве, где мне посоветовали, лей не жалей:ржач: Что тоже смывается изопропиловым, блин вонючим спиртом:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1130] Автор : RV4LX Дата : 03.09.2017 13:18 применить в трансивере, теперь возникли сомнения,а стоит ли. В штатовском KX3 применяются повсеместно. 269990 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1131] Автор : Radiotester Дата : 03.09.2017 13:33 R3DI А вы не смотрели как себя ведут pe4259, в датащите минимальная частота от 10 МГц начинается,ниже завал. Интересно насколько там нелинейно и какие потери.Хотел так же как вы применить в трансивере, теперь возникли сомнения,а стоит ли. Добрый день. Сложно ли сделать управление этими переключателями с синтезатора? Там же нужен драйвер какой-то? Как Вы планирует управлять PE? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1132] Автор : RD3Q Дата : 03.09.2017 13:33 RV4LX,Александр эти ПЕшки в 2 раза дороже и выбор не велик на Али! Опять же какое качество? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1133] Автор : RV4LX Дата : 03.09.2017 13:40 Я такие заказал на И-Бее. Там народ почестнее. Возможно, цена определяет качество. Хотелось-бы надеяться.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1134] Автор : R2RBN Дата : 03.09.2017 18:40 KX3 применяются повсеместно Зачем мне они,я про 4259 спрашивал именно,если уж на то пошло то у них и получше коммутаторы есть 1 на 9 выходов как раз под дпф. Да и цена у них не очень,проще релюшки купить и дешевле и надёжнее. Сложно ли сделать управление этими переключателями с синтезатора? Там же нужен драйвер какой-то? Как Вы планирует управлять PE? С синтезатора подавайте сигнал да и всё,только не более 3.3в те либо делитель ставить либо использовать питание синтезатора 3.3в. Драйвер не какой не нужен. Управлять естественно синтезатором. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1135] Автор : RD3Q Дата : 03.09.2017 19:00 Такое ощущение, что некоторые люди вообще схему не смотрели! Пишут, что в голову взбрело! Все уже давно реализовано, если бы мне первому не попались бракованные ПЕшки - то и вопросов бы ни каких не было, а теперь развезли базар! У кого есть желание - ставьте хоть вакуумные переключатели! Это походный вариант автора!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1136] Автор : R3DI Дата : 03.09.2017 19:50 R3DI А вы не смотрели как себя ведут pe4259, в датащите минимальная частота от 10 МГц начинается,ниже завал. Во "флексе" они применяются, опыта с ними и без на замер децибелок не делал, (на КЗ пинцетом не заметно). ниже завал Тут укажите если можно точнее, то что в описании от 10 МГц видел, про завал видимо проглядел. Вот и еще платки поступили (и ещё будут), для заказа пишите в л/с -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1137] Автор : R2RBN Дата : 03.09.2017 20:27 Пишут, что в голову взбрело! Вот вы как раз и пишите что в голову взбредёт. Я задал конкретный вопрос и не вам,а автору. И если вы вопроса не поняли,лучше бы промолчали бы. Я про TRX ВООБЩЕ разговор не заводил,не про схемотехнику, не про реализацию.Вопрос был смотрел автор ли сколько потерь ниже 10 МГц на переключателях или нет, тк у них нелинейность ниже 10Мгц,это так для справки. Но я просто что то забылся что у нас форум это "нечто" могут за банальный вопрос сожрать, ладно, извиняюсь перед автором что в тему влез, на сем откланиваюсь ,удачи. Тут укажите если можно точнее, то что в описании от 10 МГц видел Не как не удаётся страницу из датащита скопировать,на второй странице датащита где указана параметры,там первая сноска "1. Device linearity will begin to degrade below 10 MHz" те работать то она будет,но потери возрастут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1138] Автор : R3DI Дата : 03.09.2017 20:31 забылся что у нас форум это "нечто" могут за банальный вопрос сожрать :-P ооо это даа.. извиняюсь перед автором что в тему влез Вовсе не стоит извиняться, хороший тех. вопрос очень даже по теме. Про нелинейность ниже 10Мгц стоку видел в ДШ, да и описание как-то насторожило про 10Мгц , потом думаю - вот во флексе стоят и на али есть, дешевле реле и по потреблению, установил, пока не жалуюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1139] Автор : RD3Q Дата : 03.09.2017 21:01 R2RBN, а я и не Вам написал, а тем кто интересуется что да как включать и чем управлять! Не надо так близко воспринимать!Удачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1140] Автор : РУСИЧ Дата : 04.09.2017 21:49 R3DI, Я приношу извинения!!! А на схеме оба развернутые((( Где косяк??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1141] Автор : R3DI Дата : 04.09.2017 22:09 На монтажке все верно. На фотах кояк. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1142] Автор : РУСИЧ Дата : 04.09.2017 22:31 R3DI, Спасибо за ответ! И еще вопрос что за транзистор в УВЧ что то я не пойму что за зверь))):ржач: Аналог наш есть??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1143] Автор : R3DI Дата : 04.09.2017 23:21 что за транзистор в УВЧ Monolithic Amplifier • DC-3 GHz https://ww2.minicircuits.com/pdfs/ERA-3SM+.pdf Брал на али, ссылку не дам - давно это было. Есть нюансы, если DC напряжение на УВЧ выше чем на ПЕшке (входной и выходной) то их вх/вых (ПЕшек) нужно зашунтировать сопротивлением (~10k), иначе ПЕшка перестает переключаться( нет разряда для блокировочных конденсаторов ) - такое было у коллеги(брал тоже на али и судя по напряжению они не era-3 )(и "поймать" было трудно, стоило коснуться осцил. или тестером ПЕшка тут же переключалась и проявлялось только если быстро, несколько раз переключать режим с УВЧ/без ) , в моих вариантах 3.2V. Хотя у меня есть и другая партия с али вроде как era-3, но маркировка другая(цвет шрифт и тд), все никак руки не дойдут попробовать их. И еще - прям между контактами входа и земли УВЧ конденсатор 5~15 pF, на диапазоне до 30 мГц не сказывается - а вот "заводится" в некоторых местах перестает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1144] Автор : Genadi Zawidowski Дата : 04.09.2017 23:31 а вот "заводится" в некоторых местах Обычно помогает аттенюатор на пару децибел на выходе микросхемы... 5.6R - 220R - 5.6R например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1145] Автор : RA1TEX Дата : 04.09.2017 23:46 Или диссипативная цепочка по входу. Последовательно LRC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1146] Автор : ur5yfv Дата : 05.09.2017 14:13 Monolithic Amplifier • DC-3 GHz https://ww2.minicircuits.com/pdfs/ERA-3SM+.pdf Брал на али, ссылку не дам - давно это было. Есть нюансы, если DC напряжение на УВЧ выше чем на ПЕшке (входной и выходной) то их вх/вых (ПЕшек) нужно зашунтировать сопротивлением (~10k), иначе ПЕшка перестает переключаться( нет разряда для блокировочных конденсаторов ) - такое было у коллеги(брал тоже на али и судя по напряжению они не era-3 )(и "поймать" было трудно, стоило коснуться осцил. или тестером ПЕшка тут же переключалась и проявлялось только если быстро, несколько раз переключать режим с УВЧ/без ) , в моих вариантах 3.2V. Хотя у меня есть и другая партия с али вроде как era-3, но маркировка другая(цвет шрифт и тд), все никак руки не дойдут попробовать их. И еще - прям между контактами входа и земли УВЧ конденсатор 5~15 pF, на диапазоне до 30 мГц не сказывается - а вот "заводится" в некоторых местах перестает. Тоже заметил проблемы с УВЧ с самого начала. Но оставил их как то "на потом". Работает УВЧ на оборот. Вчера прочитал, сегодня проделал все как выше, и даже пробовал его от 3,3В - всё одно.... Брал их здесь (https://ru.aliexpress.com/item/ERA-3SM-ERA-3SM-SMD-10pcs-lot-Free-shipping/32515729362.html?spm=a2g0s.9042311.0.0.zFbfOM). Только пришли с маркировкой не как на фото, а с такой 03 , и точка под ... 270122 Евгений, иногда при включении пит. стартует вот так 270127 это что с FRAM может быть какая то проблема !? Нужно кнопочкой перекл. диапазонов и все запускается. Не часто, но бывает ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1147] Автор : RD3Q Дата : 05.09.2017 14:39 Тоже заметил проблемы с УВЧ с самого начала. Но оставил их как то "на потом". Работает УВЧ на оборот. Вчера прочитал, сегодня проделал все как выше, и даже пробовал его от 3,3В - всё одно.... Брал их здесь (https://ru.aliexpress.com/item/ERA-3SM-ERA-3SM-SMD-10pcs-lot-Free-shipping/32515729362.html?spm=a2g0s.9042311.0.0.zFbfOM). Только пришли с маркировкой не как на фото, а с такой 03 , и точка под ... Видно и эти ERA заказывали у одного продавца! Опять засада! Похоже Али превращается в мусорку, еще и всякие новшества не в пользу покупателей вводят!:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1148] Автор : R3DI Дата : 05.09.2017 15:17 Евгений, иногда при включении пит. стартует вот так Это больше на плохой кодек похоже, макро-фото кодека можете сделать ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1149] Автор : UR4QBP Дата : 05.09.2017 15:54 Это больше на плохой кодек похоже, макро-фото кодека можете сделать ? Интересно при чем тут кодек если просто контроллер читает неверное значение частоты из FRAM? У меня такое вылечилось поднятием флага SaveSdrMode в обработчике валкодера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1150] Автор : RV4LX Дата : 05.09.2017 15:59 ]Только пришли с маркировкой не как на фото, а с такой 03 , и точка под... Потребляемый ток сборкой не меряли? Должен быть в пределах 30-35мА при исправной микросборке. Если память не изменяет, у меня такая-же маркировка, как у вас. Точно проверю только в выходные, по приезде с командировки. Достали эти жулики с Алиэкпресс! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1151] Автор : UA9UDQ Дата : 05.09.2017 16:03 ещё уточните на фото 8r1-8r5 8r6-8r10 стоят нулевые сопротивления,а по схеме 100 ом так же на фото питание1U4 для stm стоит 5 в стабилизатор на питание кодеков по фото стоит 3.3 в стабилизатор почему так то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1152] Автор : R3DI Дата : 05.09.2017 16:19 Интересно при чем тут кодек если просто контроллер читает неверное значение частоты из FRAM? Действительно, не обратил внимание на отсутствие показаний частоты - у меня такого не было, если данные с FRAM не совпадет CRC - произойдет инит структур настройками по умолчанию....( точно FMxxxxx установлена? ) Про кодек - это по спектру, у коллеги было такое, сначала редко, потом все чаще и затем изредка стал работать, на спектре вот такая картинка была - были "левые" кодеки. на фото 8r1-8r5 8r6-8r10 стоят нулевые сопротивления,а по схеме 100 ом Собирайте по схеме не по фото. так же на фото питание1U4 для stm стоит 5 в стабилизатор Установлен 3,3В , то что на фото это const и имеет такую маркировку ( это если с фото где 05, на яндексе L5 - это 317е и напряжение задается делителем ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1153] Автор : ur5yfv Дата : 05.09.2017 17:01 макро-фото кодека можете сделать ? ну если уже кодеки будут подделывать и отправлять ... 270138 Действительно, не обратил внимание на отсутствие показаний частоты - у меня такого не было, если данные с FRAM не совпадет CRC - произойдет инит структур настройками по умолчанию....( точно FMxxxxx установлена? ) FM24CL64B-GTR. Думаю поправимо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1154] Автор : R3DI Дата : 05.09.2017 17:29 Оказалось что 2а вида ERA-3 на работе , обе 3,4V( при этом переключение ПЕшек в порядке ) при 51r/5V, ~20 и ~25 dB ( на вскидку, по Sметру ) 270141 И вот фото кодека 270142 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1155] Автор : RV4LX Дата : 05.09.2017 17:45 Евгений, на фото плохо видно маркировку ERA. По даташиту - это сборка Дарлингтона, предназначена для усилителей сигнала GSM до 3-х GHz. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1156] Автор : UT0UM Дата : 05.09.2017 17:47 ну если уже кодеки будут подделывать и отправлять ... 270138 так видно ж невооруженным глазом, что это левак :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1157] Автор : R3DI Дата : 05.09.2017 17:59 Евгений, на фото плохо видно маркировку ERA Фото слева - E3 крупный тонкий шрифт лазер гравировка , точка снизу. Фото справа -E3 мелкий толстый шрифт белая краска, точка слева. По даташиту - это сборка Дарлингтона, предназначена для усилителей сигнала GSM до 3-х GHz. Вот это не понял, Вы к чему ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1158] Автор : RV4LX Дата : 05.09.2017 18:15 Только к тому, что ножки ее можно попытаться прозвонить тестером. Есть типовая схема. Когда-то встречался с такими сборками, купленными на Али. Делал антенный анализатор. Из-за некачественных сборок так и не победил его. Но те сборки были GALI. Перемерял тогда все, присланные китайцами. Какие-то вообще были в обрыве, и совсем не потребляли тока. Некоторые потребляли, и даже ток регулировался. А на выходе ничего не было.... И все звонились по-разному. Теперь в раздумьях, что за операционники китайцы прислали для Маламута.... Еще не проверял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1159] Автор : RD3Q Дата : 05.09.2017 18:34 ur5yfv, ссылку на продавана дайте пожалуйста! ;-) Мой вот https://ru.aliexpress.com/item/CS4272-CS4272-CZZ/32786717812.html?spm=2114.13010708.0.0.065Z0E , работают нормально пока! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1160] Автор : ur5yfv Дата : 05.09.2017 18:40 так видно ж невооруженным глазом, что это левак По чем видно ? 270146 Если левак, то наверное вообще не должны работать. !? Если б не работало .... Заводы, филиалы по всему миру выпускают. Те же AD995х - Малайзия, других не встречал ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1161] Автор : UR4QBP Дата : 05.09.2017 18:41 ERA-3SM рабочие(продавец тот же что и у ur5yfv) https://ru.aliexpress.com/item/ERA-3SM-ERA-3SM-SMD-10pcs-lot-Free-shipping/32515729362.html?spm=2114.13010708.0.0.PQSAOf TDA7233D рабочие https://ru.aliexpress.com/item/FREE-SHIPPING-7233D-TDA7233D-TDA7233D013TR-SOP8-10PCS-in-Stock/32249638641.html?spm=2114.13010708.0.0.PQSAOf Кодеки CS4272 рабочие https://ru.aliexpress.com/item/10pcs-lot-CS4272-CS4272-CZZ-TSSOP28-Audio-codec-IC/32723932396.html?spm=2114.13010708.0.0.lTl7EX Лично проверено! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1162] Автор : ur5yfv Дата : 05.09.2017 18:47 Кодеки CS4272 рабочие там же брал ERA-3SM рабочие УВЧ заработал без танцев ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1163] Автор : UR4QBP Дата : 05.09.2017 19:03 там же брал УВЧ заработал без танцев ? А как же без этого! :ржач: Изначально в цепи питания УВЧ были установлены дроссель 470 мкГн в корпусе 1210 и резистор 51 Ом. Ток при этих номиналах был около 4 мА. В даташите указан ток 35 мА при котором усиление должно быть около 22 дБ. Пришлось заменить дроссель 470 мкГн на 100мкГн так как сопротивление по постоянному току у дросселя 470 мкГн было 22 Ома, а у 100 мкГн около 2 Ом. И резистор подобрал по току, получилось 15 Ом. При таких номиналах 100 мкГн(2 Ома по постоянному току) и резистор 15 Ом ток получился 28 мА и напряжение на ERA-3SM 4.4 В. Несколько отличается от даташита, но подав сигнал с ГССа увидел усиление на частоте 14150 порядка 20 дБ по S-метру. Для УВЧ +20 дБ конечно излишество, но тем не менее эта "малышка" способна на такое. И кстати, я не добавлял никаких антипаразитных цепочек все работает отлично! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1164] Автор : R3DI Дата : 05.09.2017 19:12 Пришлось заменить дроссель 470 мкГн на 100мкГн так как сопротивление по постоянному току у дросселя 470 мкГн было 22 Ома, а у 100 мкГн около 2 Ом. Действительно, нужно поправить будет схему, копированием попал туда. у меня 22~47 мкГн установлены, но резисторы по 51 ом. И кстати, я не добавлял никаких антипаразитных цепочек все работает отлично! По диапазонам "пробегались" ? У себя заметил, например 14210-14240( не помню точно частоты, еще в нескольких местах, вкл/выкл УВЧ - понял что именно от него ) "горб "довольно широкополосный поднимался, а с конденсатором везде ровненько -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1165] Автор : RV4LX Дата : 05.09.2017 19:26 Горбы - скорее всего паразитные резонансы, зависящие от конструкции корпуса трансивера и ПДФ. Это неизбежно и вряд-ли применимо к конкретной конструкции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1166] Автор : UR4QBP Дата : 05.09.2017 19:38 По диапазонам "пробегались" ? У себя заметил, например 14210-14240( не помню точно частоты, еще в нескольких местах, вкл/выкл УВЧ - понял что именно от него ) "горб "довольно широкополосный поднимался, а с конденсатором везде ровненько На 20-ке вроде заметных "горбов" нету, есть что-то еле заметное на частоте 14020 но это скорее всего помехи или от БП(импульсного) или от стоящего в метре компьютера без боковых крышек. На "эре" у меня лазерная гравировка "03" и точка. 270151 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1167] Автор : ur5yfv Дата : 05.09.2017 19:41 Действительно, нужно поправить будет схему, копированием попал туда. у меня 22~47 мкГн установлены, но резисторы по 51 ом. У меня 47 мкГн так и было установлено. Только заменил 51 ом по совету Александра на 15 ом и вроде заработало. Сразу после включения возрастает "на слух", спектр чуть выше становится. Пока так. Потом еще поиграюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1168] Автор : R3DI Дата : 05.09.2017 20:03 Это неизбежно и вряд-ли применимо к конкретной конструкции. Почему врядли, я ж не про теорию, а реально установил конденсатор на 15 пик и стало усиление ровное до 30 мГц без всяких излишеств не хороших. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1169] Автор : RA6ANR Дата : 05.09.2017 22:00 У меня era 3sm тоже как то коряво работала.при питании 4,2В употребляла всего около 11-12ма.в общем в итоге решил что это скорее всего левак,поставил bga-616(то что было в столе). сейчас все отлично работает.да,резистор по питанию уменьшил до 10ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1170] Автор : UT0UM Дата : 06.09.2017 01:00 поделитесь ссылками кто где брал РАБОЧИЕ детальки на Али... 2 года назад на Тюльпан брал кодеки тут (https://ru.aliexpress.com/item/CS4272-CZZ-TSSOP-original-spot-false-a-compensate-ten-free-shipping/32302678949.html?spm=a2g0s.9042311.0.0.BtqVya) рабочие маркировка краской -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1171] Автор : Анатолий.Mel Дата : 06.09.2017 18:53 Вот может кому пригодится http://www.changpuak.ch/electronics/mar_era_bias.php для ERA ..... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1172] Автор : RA1AGB Дата : 06.09.2017 20:09 Евгений (R3DI) может отказаться от PE4259 в пользу MASWSS0115 https://www.digikey.com/product-detail/en/m-a-com-technology-solutions/MASWSS0115TR-3000/1465-1373-1-ND/4430070 Есть в http://www.filur.net/products/mikroshemyi/mikroshemyi-rf/maswss0115-m-a-com Что скажет автор? Павел RA1AGB 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1173] Автор : R3DI Дата : 06.09.2017 20:38 Евгений (R3DI) может отказаться от PE4259 в пользу MASWSS0115 Процесс этот не простой и довольно дорогостоящий потому не вижу в этом смысла, заказывал ПЕшки раз пять и были все рабочие. Тут или продаван один под разными никами или в один ларек бегали. А так и efind много выдает, но на али цены приятнее. Канечно если у кого есть MASWSS... почему бы и нет, мне тоже было бы интересно как они работают, но индивидуально плату не разработаю, просто нет на это времени. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1174] Автор : RD3Q Дата : 07.09.2017 19:57 RV4LX, Александр, а ссылки на Али даем - это не реклама? Ни кто не удаляет! Надо сообща решать проблему с ПЕ шками! Так что пишите, кто что считает полезным для радиолюбителей! Я не вижу здесь нарушений! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1175] Автор : UR5ZVU Дата : 07.09.2017 20:44 Вот тут есть Пешки (https://www.compel.ru/infosheet/PEREGRIN/PE4259-63). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1176] Автор : RT9OM Дата : 08.09.2017 06:47 Вот тут есть Пешки (https://www.compel.ru/infosheet/PEREGRIN/PE4259-63). Что-то там тип корпуса указан SOT323-3...Не стреляет, однако...:shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1177] Автор : RA1TEX Дата : 08.09.2017 11:07 А аналоги подходящие по распиновке и корпусу не кто не искал? смотрите из серии MASWSS0... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1178] Автор : RV4LX Дата : 08.09.2017 12:32 Александр, а ссылки на Али даем - это не реклама? Купил тут: http://elbase.ru/products/search/PE4259-63+TR/0/1 Правда дороговато. Но надежда есть, что 100% работоспособны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1179] Автор : Espresso Дата : 08.09.2017 12:47 Че самое интересно процы Китайцы делают и они работают...что atmega...что stm....а вот какой-то ключ так гонят фуфло... Меги очень часто идут с отбраковки с производства. Лазером их маркируют и продают. На эту тему у Dihalt-а есть опыт - то периферия битая, то логика. Относительно ключей, так тут всё еще проще - берется нечто с подходящим корпусом без маркировки и маркируется. Если маркировка была - стирают абразивами и маркируют заново. Тогда под микроскопом видны борозды от абразива. Мне так танталлы прислали - заявлено 10.0 16В а на замер получились 5.0 и при приложении 16 вольт умирают, хотя я увидел запилы от абразива еще до теста.:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1180] Автор : R3DI Дата : 08.09.2017 15:19 Версия 3.11 - Режим ТХ САТ теперь через САТ команду ( не по пину DTR ) - В режиме USB AF при ТХ выбора источника USB - отключается АРУ EQ ECHO (да, вопрос - USB AF стерео - сигнал брать с одного канала?) - САТ по DTR управление CW ключом, ( Log при выборе в циф.модах CW переводит SDR в нужный режим и режим для ключа) - Развлекалово - меню DispColor - можно установить цвет для показаний верхней строки и для отображения частот. - ну и что то еще уже не помню :crazy: 270360 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1181] Автор : EU2TT Дата : 08.09.2017 20:29 Павел привет! Там на страничке есть два волшебных слова. :smile: Request sample? Добавлено через 27 минут(ы): Действительно, нужно поправить будет схему, копированием попал туда. у меня 22~47 мкГн установлены, но резисторы по 51 ом. ......... Нашел онлайн-калькулятор (http://www.changpuak.ch/electronics/mar_era_bias.php). При напряжении питания 5В индуктивность получается ~80 мкГ (при минимальной частоте 1МГц), резистор 51 ом. Получается, номиналы 80 мкГ и ниже будут работать. Как-то так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1182] Автор : UR5ZVU Дата : 08.09.2017 21:44 Что-то там тип корпуса указан SOT323-3...Не стреляет, однако... Странно как то ,но ни в одном даташите не указано что ПЕшки бывают в корпусе 323. Почитал через Гугл-переводчик даташит и там указано что они боятся статику. Так ли это? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1183] Автор : Viktor76 Дата : 08.09.2017 22:07 Подскажите, должны кодеки греться? 8U2 ощутимо сильней греется чем 8U1.На "палец" где то 40-45 градусов. Это нормально? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1184] Автор : R6BK Дата : 08.09.2017 23:16 Это нормально? Да, греются сильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1185] Автор : UT0UM Дата : 08.09.2017 23:54 8U2 ощутимо сильней греется чем 8U1 видимо грется тот что на прием -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1186] Автор : Viktor76 Дата : 09.09.2017 00:18 Да, именно 8U2 работает на прием. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1187] Автор : R3DI Дата : 09.09.2017 00:29 Нормально, по дш до 358 mW. 270390 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1188] Автор : RK3AQW Дата : 09.09.2017 20:56 вот фото,руки грязные сорри машину делал)не отмыл:oops: промерил все оставшиеся-печаль полная,2 штуки из 52 присланных подают надежду на жизнь -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1189] Автор : RD3Q Дата : 09.09.2017 21:04 RK3AQW, проверяли в плате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1190] Автор : EW2MS Mikhail Дата : 09.09.2017 21:08 Как вы их проверяете? Их нельзя мультиметром проверять, вы их сразу палите. Они статике боятся очень. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1191] Автор : UT0UM Дата : 09.09.2017 21:12 вы их сразу палите. гы если посмотреть в каком виде они приходят, то, имхо, им уже все равно как их проверять :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1192] Автор : RK3AQW Дата : 09.09.2017 22:15 RK3AQW, проверяли в плате? нет в плату не паял,пока не приобрел,у половины выводы выпрямлял,у некоторых они просто прижаты друг к дружке ,пока выпрямил,даже если тут говорят они статикой бьются,то им и так кирдык был,на днях макетку сделаю,поставлю и отпишу ,что и как,хотя полагаю зря время потеряю Да в догонку,ленту такую в первый раз присылают,с виду как будто на бок положили и утюгом прошли,но криво -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1193] Автор : RC3ZQ Дата : 10.09.2017 11:27 Ребят вместо PE4259 без переделки не кто не задумывался например FSA3157 "прикрутить"? Коль они такие эти PEшки дорогие оригинальные. Корпуса в роди похожи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1194] Автор : ur5yfv Дата : 10.09.2017 12:09 А мне продавец https://ru.aliexpress.com/item/PE425...708.0.0.A0Mz5E выслал новую посылку после того, как я сообщил ему о неисправности полученных от него PE4259. И это при том, что я уже не мог открыть диспут. Посмотрим что придет в этот раз. Аналогично ! И трек уже отслеживается. Ребят вместо PE4259 без переделки не кто не задумывался например FSA3157 "прикрутить"? Корпус немного поболее. И затухание чуть будет. Вот на них реальные х-ки полосовых 270500 а так можно применить .... для ТПП вполне допустимо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1195] Автор : ra6ljm Дата : 10.09.2017 23:02 3 страницы обсуждений, где купить пешки- очень познавательно ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1196] Автор : UN7RX Дата : 10.09.2017 23:35 Вот что значит какое то время не заглядывать в тему, моментально в помойку превратили! :evil: Вам что, специальных тем про Али не хватает?! В теме СТО ДВАДЦАТЬ ВОСЕМЬ страниц, сколько их останется, если вычистить весь словесный хлам и попутно забанить флудеров? :evil: Марш сюда (http://www.cqham.ru/forum/showthread.php?34730-%CF%F0%EE%E1%EB%E5%EC%FB-%C0%EB%E8-eBay-%D2%E0%EE-%E8-%F2-%E4-%EE%E1%EC%E5%ED-%EE%EF%FB%F2%EE%EC-%F0%E5%F8%E5%ED%E8%FF) , делиться опытом о нехороших продавцах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1197] Автор : amator Дата : 10.09.2017 23:37 3 страницы обсуждений, где купить пешки- очень познавательно ...PE вещь хорошая ввиду малых габаритов, и минимум обвязки. Но в данном случае думаю лучше бы было обойтись копеечными диодами. И это особо и не усложняет ничего. Вот пример. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1198] Автор : R3DI Дата : 11.09.2017 00:23 И это особо и не усложняет ничего Схему то да, а вот перетрассировку psb с довольно высокой плотностью и опять испытывать, проверять, перезаказывать и опять проверять....:-P и т.д. - не знаю кому как, а мне, с моим количеством свободного на это времени, довольно усложняет. Ранее пробовал применять диоды, но для их нормальной работы нужно было порядка 10-15 мА ( можт я чего и не понял и это не верно ) но многовато получается 6шт атт/пре 2 рх/тх и 2 пдф - более 100мА (если по 10мА на каждый) и неиспользуемые пдф к земле не давят. Мне ПЕшки понравились по всем параметрам. А если говорить Но в данном случае думаю лучше бы было то так может любого элемента коснуться и дисплея, процессора и кодека и синтезатора да чего угодно, под каждый случай новою плату делать?:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1199] Автор : amator Дата : 11.09.2017 00:33 Схему то да, а вот перетрассировку psb с довольно высокой плотностью и опять испытывать, проверятьНет, это большая работа. Просто показал возможный вариант. пробовал применять диоды, но для их нормальной работы нужно было порядка 10-15 мАНет, в слаботочных цепях этого не требуется. Даже 1N4148 при небольшом токе почти не вносят потерь в приемном тракте. PIN диоды тем более. Мне ПЕшки понравились по всем параметрам Мне тоже. Но это применительно к цепям коммутации на УКВ. Особо ценно что неиспользуемая шина заземляется. под каждый случай новою плату делать?Нет конечно, это нереально. Просто показал возможный вариант на будущее, и все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1200] Автор : R3DI Дата : 11.09.2017 00:53 1N4148 при небольшом токе почти не вносят потерь в приемном тракте. PIN диоды тем более. Не помню проверял 1N4148 или нет, если не забуду и найду минуты проверю что анализатор покажет, помню что ставил в коммутацию кварцевых фильтров, вот там да, работа их понравилась, действительно при небольшом токе почти не вносили потерь. А вот на АТТ и ПРЕ хотел поставить DAP236U ( те что в 817м, ну очень привлекательные параметры ), но их не нашел, а те PIN что нашел ( и не дешевыми оказались ) и им порядка 15 мА нужно было для менее 10 ом ( что то вроде того, точные цифры и название не помню, помню точно, что в итоге реле и дешевле и потребление меньше ). amator, Александр, в той схеме 1SS83 при каком токе работают ? Часть схемы какого трансивера (интересно дальше цепи посмотреть ) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1201] Автор : amator Дата : 11.09.2017 00:59 Часть схемы какого трансивера (интересно дальше цепи посмотреть ) ?Это кусок от FT-847. Схема в инете есть. Не помню где скачивал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1202] Автор : UR5ZVU Дата : 11.09.2017 09:29 почему только 121 стр отображает ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1203] Автор : UR5ZVU Дата : 11.09.2017 21:26 Подскажите есть ли какая то разница в микросхемах 74hc4053M и 74hc4053D:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1204] Автор : RD3Q Дата : 11.09.2017 22:37 UR5ZVU, а где в трансивере установлена эта микросхема? Может в другом месте задать вопрос! Интернет даст ответ - правильно написав запрос! Datasheet называется!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1205] Автор : РУСИЧ Дата : 11.09.2017 22:39 есть ли какая то разница http://www.alldatasheet.com/view.jsp?Searchword=74HC4053M&sField=3 http://www.alldatasheet.com/view.jsp?Searchword=74hc4053d -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1206] Автор : UR5ZVU Дата : 11.09.2017 22:45 UR5ZVU, а где в трансивере установлена эта микросхема? в моей версии трансивера есть такая микросхема -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1207] Автор : RD3Q Дата : 11.09.2017 22:51 в моей версии трансивера есть такая микросхема Вот и здорово! Открывайте новую тему - разновидности "Маламута" или дети, будем там обсуждать, допиливать и др.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1208] Автор : UR5ZVU Дата : 11.09.2017 23:02 Открывайте новую тему - разновидности "Маламута" уже давно все открыто:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1209] Автор : EU2TT Дата : 11.09.2017 23:41 схема на модуль (https://docviewer.yandex.ru/view/0/?*=%2Fad5o0cXg1ABQEwakVb5SO6lZG17InVybCI6InlhLWRpc2stcHVibGljOi8vUEszazg0ekg4dDV0Z0NjNEF3Zjd0MjVJVUhha0ljam5IUHNnZDJMSG5XND06L1NJNTM1MUEoU0NIKS5wZGYiLCJ0aXRsZSI6IlNJNTM1MUEoU0NIKS5wZGYiLCJ1aWQiOiIwIiwieXUiOiIzOTExNTUyMTYxNTAzNjU5NzIzIiwibm9pZnJhbWUiOmZhbHNlLCJ0cyI6MTUwNDAwOTE0MjI4OX0%3D) Si5351 Спасибо. Не увидел (может проглядел, каюсь) нигде упоминания о том, на какой плате модуль делать нужно - односторонней или двухсторонней. И на фото углядел доп. конденсатор на массу перед дросселем, которого нет в схеме. Номинал стандартный 0.1 мкФ или здесь что-то иное? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1210] Автор : R3DI Дата : 11.09.2017 23:57 Номинал стандартный 0.1 мкФ или здесь что-то иное? Да да именно он, 0,1. односторонней или двухсторонней. Не могу сказать будет ли разница... делал на 2х ( только такой в запасах ), перед травлением одну сторону заклеивал скотчем, потом пара-тройка отверстий насквозь и соединял полигоны земли( на фотках видно). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1211] Автор : R3DI Дата : 12.09.2017 15:52 Версия 3.2 добавил... - функция автоматического баланса RX_IQ (отключаемая) - возможность отображения коэф. автоматического баланса IQ ( там где NONE AGC DSP теперь и IQ ) - при активации фильтра DNR в функцию АРУ передается удвоенное значение RX_Gain ( для сохранения уровня громкости при малых значениях RX_Gain ) - меню настройки S-meter - меню CPU Setup ...- ADC Uref ( установка действительного значения напряжения ) ...- PWR Div ( установка действительного значения делителя 11R1 11R2) ...- EEP Time ( установка значения задержки после записи страницы EEPROM(для I2C) в ms (по умолчанию 0 ms )) PS Потребует перезапись еепромки - перед обновлением запишите необходимые значения настроек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1212] Автор : Genadi Zawidowski Дата : 12.09.2017 15:56 А зачем еепром ждать по таймера? У него же есть статусный регистр, который ждать перед записью надо... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1213] Автор : R3DI Дата : 12.09.2017 16:54 У него же есть статусный регистр, который ждать перед записью надо это для I2C еепромок - типа FM24C64 (поправил описание) Добавлено через 44 минут(ы): Версия 3.2 добавил... Внимание нашел ошибку! при добавлении полей структуры не проверил адреса сохранения, в итоге они накладывались. Прошу прощения , вот версия 3.21 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1214] Автор : RD3Q Дата : 13.09.2017 10:56 Какие у кого новости по сборке трансивера, может кто то нашел замену ПЕшкам или продавца проверенного? Вообще какие мысли есть по проекту, покупать у официального производителя - накладно выходит и бюджетным уже не будет! Ставим малогабаритные реле на 3,3В и ставим мощнее стабилизаторы? Что думает автор на сей счет? Жаль если тема заглохнет!:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1215] Автор : R3DI Дата : 13.09.2017 11:06 RD3Q, Игорь приветствую!Про реле не думаю что будет удобно, много места займут да цена дороже оригинальных ПЕшек, недавно для работы брал маленькие реле на 5в по цене больше 120р за штуку. Да и опять же... плата...трассировка...да и в эти габариты уже никак. Не думаю что из-за одного продавана/ларька проект менять нужно. ПЕшки у нас нескольких магазинах, не по 10р канечно, ни я тогда с али тоже не по 10р брал, в Компел звонил-перевели на тех отдел...и работы навалило, да думаю по привычке 323-3 написали, ну не бывает их не 6ти ногими, можт сегодня свяжусь с ними. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1216] Автор : RD3Q Дата : 13.09.2017 11:59 Женя с ПЕшками на Али совсем плохо, второй заказ пришел с таким же дефектом, да и по спору много видео материала отправил + требуют офиц.заключение от производителя! В России опасаешься заказывать - может из Китая поставщик, а по 3-5 штук фирмы не продают для проверки! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1217] Автор : R3DI Дата : 13.09.2017 14:17 Что-то там тип корпуса указан SOT323-3...Не стреляет, однако... Ответ с Компела "Добрый день! у нас в программе был некорректно проставлен корпус. Исправили на корпус SC-70-6. Корпус, как в документации производителя. Исправленный корпус для этой позиции у нас на сайте будет виден только завтра (после ночной перезагрузки сервера). " По поводу перехода на реле - сейчас ПЕшек 24шт, если устанавливать реле с 2мя группами контактов то нужно 12шт - даже на али цена получается в два раза дороже, чем ПЕешки по "правильной" цене брать. И опять - кто видел плату, тот поймет - там 12шт просто некуда ставить ( миниатюрные типа IM03 )( а по "хорошему" на ДПФ по 2е на каждый бы). У Компела есть подразделение для физ.лиц "дко электронщик" у них и доставка почтой есть, если найду время (для самовывоза) - куплю 10ок на пробу, цена чуть выше той, что на али брал (изначально) . PS Заказал 11шт ( мин. заказ 300р :smile: ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1218] Автор : РУСИЧ Дата : 13.09.2017 18:34 Из его доработок - только убирал 7805. Фото(еще с установленным 7805) того, что у меня. Миниатюры Евгений из доработки валкодера убрали стабилизатор 5в и все??? В место него перемычку не ставили??? можно по подробней если кто что сделал и какие манипуляции? у меня провода 1) Красный + 2)Черный- 3)Белый А 4)Зеленый В -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1219] Автор : Анатолий.Mel Дата : 13.09.2017 18:42 РУСИЧ если кто что сделал и какие манипуляции? у меня провода 1) Красный + 2)Черный- 3)Белый А 4)Зеленый В Я просто соединил перемычкой вход с выходом "кренки" внутри оптоэнкодера. Все остальное по схеме. Работает хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1220] Автор : Анатолий.Mel Дата : 15.09.2017 00:08 Евгений здравствуйте. Замечена такая проблема. При включеном эквалайзере RX пропадает звук. Не сразу а через несколько секунд (25-30). Эффект такой как будто отходит лампа 6Н14П (Нi) а потом кнопка включения эквалайзера работает как кнопка "mute". При изменении в меню настроек эквалайзера хоть на один пункт любой из полос все востанавлевается, но опять на те же 25-30 секунд. При выключенном эквалайзере все работает нормально. Может это только у меня. Прошивка v3. 21 Жаль не могу загрузить видео. Но я думаю что Вы меня поняли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1221] Автор : R3DI Дата : 15.09.2017 00:42 При включеном эквалайзере RX пропадает звук Да, тоже замечал такое, например, при близко к максимальном уровне НЧ полосы ( или несколько полос с усилением ), этот эквалайзер из стандартных библиотек. Он мне не очень нравиться, ресурсов много забирает а толку... но оставил на любителя, ну или для сравнения. Давно есть идея сделать эквалайзер в частотном домене, но что-то пока не до него. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1222] Автор : RA6ANR Дата : 15.09.2017 08:57 Есть 3 свободные платы,кому нужно-пишите в лс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1223] Автор : Анатолий.Mel Дата : 15.09.2017 11:30 Евгений ещё такой вопрос. Я пытаюсь втиснуть дисплей 2,8" в корпус G767 и в принципе все выходит но надо переворачивать изображение на дисплее. Как это сделать мне UR4QBP объяснил. Изменения я делаю в исходнике, но исходник для V2.0! Я так понимаю что в новых прошивках я изменения сделать не могу (а хотелось бы идти в ногу с прогрессом). Как быть? Или я может чего не понимаю. Извините в программировании не селен (ну разве что 1-1,5%:oops:). С ув. Анатолий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1224] Автор : RD3Q Дата : 15.09.2017 12:43 надо переворачивать изображение на дисплее. А для чего переворачивать изображение? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1225] Автор : R3DI Дата : 15.09.2017 12:43 Анатолий приветствую! Переворот изображения дисплея, помоему, и версии 2.0 не на все фукции, это остатки от макетирования. Сам тоже ожидаю прибытия 2.8 дисплея, если действительно удобнее перевернуть-тогда может в меню такую настройку сделаю. У Вас есть наброски или фото, посмотреть 2.8 в G767? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1226] Автор : Анатолий.Mel Дата : 15.09.2017 13:47 Примерно так (извините на быструю руку, ну я думаю понятно): 270824 Если изображения на дисплее так как сейчас то получится так: 270825 Валкодер углублен от передней панели на 25-30мм. кнопки в один ряд на верхней крышке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1227] Автор : R3DI Дата : 15.09.2017 13:53 Валкодер углублен от передней панели на 25-30мм. Ага, тоже так предполагал углубить, а слева резистору и енкодеру стойка корпуса не мешает ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1228] Автор : Анатолий.Mel Дата : 15.09.2017 14:11 а слева резистору и енкодеру стойка корпуса не мешает ? Как раз в притирку входит если энкодер и резистор прикрутить непосредственно на переднюю панель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1229] Автор : UR3IQO Дата : 15.09.2017 14:41 Хочу обратить внимание любителей покрутить экран. Углы обзора у некоторых TFT не слишком велики, чтобы вертеть их как придумается. Я как-то на эти грабли наступил с AT070TN92 - мне тоже было удобнее его изначально вврех ногами поставить :) Закончилось переделкой здоровой платы и передней панели... Так что если есть экран "вживую" посмотрите как ведет себя изображение когда он перевернут прежде чем делать платы/панели/корпуса и т.п. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1230] Автор : R3DI Дата : 15.09.2017 14:52 Хочу обратить внимание любителей покрутить экран. Углы обзора у некоторых TFT не слишком велики, чтобы вертеть их как придумается. Это сейчас он "перевернутый", а изначально в другом корпусе и не на этой плате как раз стоял наоборот. Может мне повезло - но у моего дисплея (2.2") только лево/право немного оттенок серого цвета меняется, а верх/низ ничего не меняет. Вот версия 3.22 с возможностью переворота отображения дисплея через меню (Setup->Display->Disp Rotate...) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1231] Автор : Анатолий.Mel Дата : 15.09.2017 15:49 Не то чтобы любитель повращать, просто вынужденная мера. Плата авторская собрана и работает (кроме ПЕ) , корпус G767, дисплей 2.8". Сколько смотрел, слушал как-то не обращал внимание. Спасибо за подсказку. Приеду домой посмотрю. Добавлено через 45 минут(ы): но у моего дисплея (2.2") только лево/право немного оттенок серого цвета меняется, а верх/низ ничего не меняет. Аналогично, только дисплей 2.8" Вот версия 3.22 с возможностью переворота отображения дисплея через меню (Setup->Display->Disp Rotate...) Евгений, большущее спасибо за нововведение, "прошил" все работает отлично. Я думаю оно будет полезно многим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1232] Автор : R3DI Дата : 15.09.2017 15:58 если найду время (для самовывоза) - куплю 10ок на пробу, цена чуть выше той, что на али брал (изначально) . Проверил новенькие PE4259 (5шт)- работает, переключает, отключаемый пин на землю, все как нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1233] Автор : RV4LX Дата : 15.09.2017 16:33 Проверил новенькие PE4259 (5шт)- работает, переключает Заказал там-же, 20 штук. Пока пишут, что товар отгружен со склада и ждёт отправки.:smile::smile: 270832 Интересно, что не берут НДС, как в других конторах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1234] Автор : Анатолий.Mel Дата : 15.09.2017 16:40 Евгений в меню (Setup->Display->Disp Info) только IQ. Так должно быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1235] Автор : R3DI Дата : 15.09.2017 17:17 Евгений в меню (Setup->Display->Disp Info) только IQ. Так должно быть? Нет не должно, не проверил :oops:, спасибо! Поправил - версия 3.23 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1236] Автор : UR5ZVU Дата : 15.09.2017 17:20 Заказал там-же, 20 штук. А где брали? Это уже оригинальные или китайские? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1237] Автор : RV4LX Дата : 15.09.2017 17:37 http://www.electronshik.ru Вроде с Российских складов... А откуда они их взяли, история умалчивает.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1238] Автор : stari4ok Дата : 15.09.2017 18:44 TU RV4LX а что с Вас за доставку запросили,если не секрет?Можно в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1239] Автор : AlexW Дата : 15.09.2017 21:43 Много раз пользовался этой конторой когда нужно было срочно, что то купить из радиодеталей, выбирал у них доставку PickPoint, через три дня уже деталюши забирал Курске, хотя мне с деревни еще 100 верст до города, на если горит... Почтой нашей дороже и главное долго... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1240] Автор : РУСИЧ Дата : 15.09.2017 22:50 R3DI, Небольшая просьба у Вас есть данные количества витков и диаметр провода LPF??? Не охота через каждый виток тыкать на L/Cметр:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1241] Автор : Анатолий.Mel Дата : 15.09.2017 23:34 РУСИЧ данные количества витков и диаметр провода LPF??? [/QUOTE] Вот калькулятор http://coil32.ru/calc/amidon-core-iron-powder.html я рассчитывал в нем и проверял L-метром все совпадает с достаточно высокой точностю. При условии применения колец Т37-6 и Т37-2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1242] Автор : РУСИЧ Дата : 15.09.2017 23:42 При условии применения колец Т37-6 и Т37-2 Огромное спасибо за калькулятор конечно!!!:пиво: Ну лучше бы по старинке вот провод, вот количество витков. Блин ка же все замудренно ((( ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1243] Автор : Анатолий.Mel Дата : 15.09.2017 23:50 Уже в кровати, завтра очень рано выезд. Если потерпите до вечера то я напишу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1244] Автор : R3DI Дата : 16.09.2017 00:12 R3DI, Небольшая просьба у Вас есть данные количества витков и диаметр провода LPF? Для расчета количества витков LPF использую - http://toroids.info/T37-2.php провод все 0.51 и 0.31 на НЧ (витков много) , от расчетного делал (-1) виток, думаю связано с тем, что расчет предполагает равномерное распределение по всему магнитопроводу, но из-за особенности монтажа в плату катушка немного сжата. А данные так и не записывал, наматывал по расчету и проверял на приборе ( фронт энд анализатора kuchura), да и было то всего для 2х комплектов :-P. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1245] Автор : RV4LX Дата : 16.09.2017 08:19 TU RV4LX а что с Вас за доставку запросили,если не секрет? 270852 При получении посылки ещё почта возьмёт своё: 5-7 % от стоимости товара. Радует одно, что Евгений проверил их, и они рабочие: Проверил новенькие PE4259 (5шт)- работает, переключает, отключаемый пин на землю, все как нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1246] Автор : RV4LX Дата : 16.09.2017 09:47 На следующей неделе жду PE4283 с Китая. Дорогущие, но паять их уже не хочется. 270857 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1247] Автор : RD3Q Дата : 16.09.2017 10:48 RV4LX, Александр что то больно тяжелые! Мои 50 штук весили 10 грамм! Может еще чего подложили!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1248] Автор : RV4LX Дата : 16.09.2017 10:54 Может еще чего подложили! Да кто их знает, китайцев... Упаковка тяжелее товара. Однажды был казус.... Двадцать микросхем Российская транспортная компания доставила в мешке, в который влезло-бы килограмм 30 картошки... На дне мешка лежала маленькая коробочка с микросхемами. Тары другой видимо не было. Я тогда долго смеялся. Забрал товар вместе с тарой.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1249] Автор : RX9UAO Дата : 16.09.2017 14:41 Блин,интересненько http://ur5yfv.com.ua/index.php/stati/sdr-konstruktsii/156-avtonomnyj-sdr-priemnik-malamut.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1250] Автор : sever2k6 Дата : 16.09.2017 15:54 пришли на замену PE4259, звонятся аналогично 1,3,5 :cry: заказал в компеле -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1251] Автор : EW2MS Mikhail Дата : 16.09.2017 16:18 Скажите компел в другие страны высылает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1252] Автор : RV4LX Дата : 16.09.2017 16:53 пришли на замену PE4259, звонятся аналогично 1,3,5 Может быть попробовать в схему включить? Это не простой транзистор, прозвонкой на 100% не проверить. Должно быть питающее и управляющее напряжения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1253] Автор : EW2MS Mikhail Дата : 16.09.2017 17:22 п.с. сайт с которого Евгений заказывал, Электронщик. Высылает в другие страны? Может кто интересовался у них. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1254] Автор : RV4LX Дата : 16.09.2017 18:37 Высылает в другие страны? Может кто интересовался у них. Зайдите на сайт. Поищите ответы на ваш вопрос. Попробуйте сделать заказ. Честное слово, не знаю. И искать лень... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1255] Автор : РУСИЧ Дата : 16.09.2017 19:12 Вот калькулятор Вы сделали то что выдал Калькулятор??? То есть количество витков??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1256] Автор : Анатолий.Mel Дата : 16.09.2017 20:17 Вы сделали то что выдал Калькулятор??? То есть количество витков??? Да. Вот: 2L1-26вит 0,35 2L2-30вит 0,35 2L3-19вит 0,35 2L4-22вит 0,35 2L5-17вит 0,35 2L6-20вит 0,35 2L7-11вит 0,51 2L8-14вит 0,51 2L9-9вит 0,51 2L10-10вит 0,51 2L1-2L4 - T37-2 2L5-2L10 - T37-6 я мотал так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1257] Автор : ua3lnm Дата : 17.09.2017 12:27 Может кто поделится ссылками где приобрести SN65 одну и другую, нахожу только оптом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1258] Автор : denska Дата : 17.09.2017 12:42 Может кто поделится ссылками где приобрести SN65 одну и другую, нахожу только оптом. в платане,а уже не поставляется пишут -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1259] Автор : RK3AQW Дата : 17.09.2017 13:05 ds90lv028 возможно попробывать,только разводка другая -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1260] Автор : ua3lnm Дата : 17.09.2017 13:10 ds90lv028 возможно попробывать,только разводка другая Плата уже едет и не хотелось бы на проводочках :rotate: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1261] Автор : RD3Q Дата : 17.09.2017 15:04 ua3lnm, https://www.chipdip.ru/search?searchtext=SN65LVDS34DR заказывал здесь! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1262] Автор : ua3lnm Дата : 17.09.2017 16:24 ua3lnm, https://www.chipdip.ru/search?searchtext=SN65LVDS34DR заказывал здесь! Да спасибо ! осталось найти sn65lvds048a -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1263] Автор : RV4LX Дата : 17.09.2017 16:40 осталось найти sn65lvds048a В Чипе-дипе предлагают. Но дорогущие: https://www.chipdip.ru/product/sn65lvds048apw-lvds-quad-line-receiver-s В Электронщике тоже есть. http://www.electronshik.ru/item/sn65lvds048apw-247955 Здесь тоже продают: http://elbase.ru/products/search/sn65lvds048a/0/1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1264] Автор : RD3Q Дата : 17.09.2017 16:57 ua3lnm, а зачем еще одну? Там нужна или эта или другая!:-P Внимательней схему и монтажку смотрите! Там есть еще двойные микросхемы! Плата универсальная, под разные корпуса микросхем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1265] Автор : ua3lnm Дата : 17.09.2017 17:46 ua3lnm, а зачем еще одну? Там нужна или эта или другая!:-P Внимательней схему и монтажку смотрите! Там есть еще двойные микросхемы! Плата универсальная, под разные корпуса микросхем. Точно ! не доглядел. TNX! . Пока собираю все детали до кучи. хорошо тогда такой вопрос, какую из этих микросхем ,там лучше применить или без разницы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1266] Автор : RD3Q Дата : 17.09.2017 17:50 ua3lnm, я применил по ссылке выше, проблем не заметил! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1267] Автор : РУСИЧ Дата : 17.09.2017 20:19 Кто подскажет что за зверь???? Че то я его в схеме не нахожу, наверное ослеп. Это BAT54C??? :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1268] Автор : R3DI Дата : 17.09.2017 20:51 Кто подскажет что за зверь? 2D8 - и в схеме и на монтажке есть, для включения последнего ФНЧ при активации байпас ДПФ. Делал это для того, что бы сравнивать работу фильтров (с ним/без),так и оставил.... а сейчас на трх, что на работе, вместо 2D8 установил еще 2а реле с транзистором для полноценного байпаса(ФНЧ) и слушаю УКВ станции когда прохода нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1269] Автор : Анатолий.Mel Дата : 17.09.2017 20:55 Это BAT54C? Именно он. Их там 3шт. 270956 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1270] Автор : РУСИЧ Дата : 17.09.2017 21:04 Именно он. Их там 3шт. Все спасибо разобрался!:пиво: Запаял уже!:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1271] Автор : Анатолий.Mel Дата : 17.09.2017 21:05 и слушаю УКВ станции Евгений здравствуйте. Прокрутил до ~108 мГц. а в ответ тишина. В чём секрет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1272] Автор : Victor72 Дата : 17.09.2017 21:17 Если DS90lv028 припаять вверх ногами, то получится sn65lvds34. И проводков не понадобится. Буду пробовать, DS остались от Тюльпана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1273] Автор : R3DI Дата : 17.09.2017 21:45 Прокрутил до ~108 мГц. а в ответ тишина. В чём секрет? Анатолий приветствую! Несколько причин. Перевожу в режим 96к, FM, PRE, (в последних версиях байпас включится автоматически) и самый широкий фильтр ( последний фильтр - в таком режиме DSP фильтр вообще отключает )( пока доп. реле байпаса не было - проводок к УВЧ - но на работе телебашню останкино в окно видно, там и руку подношу уже "петь" начинает - а в Подольске тишина ), Участок с частотами от 65,9 МГц до 74 МГц слушать можно, для 88..108 - 96к мало и мах частота для Si5351 212/2= 106 mHz. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1274] Автор : EU2TT Дата : 18.09.2017 00:17 К вопросу о выборе кварца для синтезатора. Какой кварц лучше искать, чтобы при работе цифрой на 10м и УКВ (через трансвертер), частота не плыла от нагрева/остывания платы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1275] Автор : CQNIK Дата : 18.09.2017 08:49 Здравствуйте! Хочу заказать плату для SDR TRX "Маламут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1276] Автор : ua3ycv Дата : 18.09.2017 09:04 Участок с частотами от 65,9 МГц до 74 МГц слушать можно, для 88..108 - 96к мало и мах частота для Si5351 212/2= 106 mHz.это очень замечательно-вопрос-50мгц и 70мгц как по приёму и реализованна ли на этих частотах передача?(тапками не кидать-вопрос с подключением укв трансвертеров с ПЧ-50МГЦ+_) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1277] Автор : RD3Q Дата : 18.09.2017 09:06 CQNIK, это не торговая площадка - это тех.форум! Про цены читайте тему, спрашивайте в л/сообщениях! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1278] Автор : R3DI Дата : 18.09.2017 12:38 вопрос-50мгц и 70мгц как по приёму и реализованна ли на этих частотах передача? Да там все тоже самое, а по поводу "подключением укв трансвертеров с ПЧ-50МГЦ" - наверное проще вместо байпаса ФНЧ и ДПФ на нужный диапазон поставить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1279] Автор : stari4ok Дата : 19.09.2017 17:46 271058Подскажите бестолковому,-это сколько? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1280] Автор : Анатолий.Mel Дата : 19.09.2017 17:54 Получил повторную посылку от всем известного продавца ПЕшок. 1-3-5 выводы тестером звонятся на коротко (ростроился). Запаял в плату (о чудо) работают. Так что тестер не показатель. В первой посылке были такие что ни на плате ни на макете ни проверка тестером работоспособность не выявлялась . Так что повторные я получил рабочие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1281] Автор : R3DI Дата : 19.09.2017 17:58 это сколько? не устанавливать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1282] Автор : RD3Q Дата : 19.09.2017 18:22 stari4ok, ни сколько! Т.Е. ни чего!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1283] Автор : stari4ok Дата : 20.09.2017 07:11 Понятно,ещё вопрос271083при установке этих резюков перемыкаются шины +5 и +3,3.Проясните... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1284] Автор : RD3Q Дата : 20.09.2017 08:15 stari4ok, у Вас будет стоять модуль 9851 или SI5153? Ставится резистор на то напряжение,какое необходимо для модуля, т.е. или на 5В или 3.3В! Один из резисторов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1285] Автор : stari4ok Дата : 20.09.2017 10:00 Один из резисторов.Вона как!SI5351 будет.Спасибки!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1286] Автор : RD3Q Дата : 20.09.2017 10:11 stari4ok, тогда и АД9952 не ставьте, не нужна она ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1287] Автор : UA0SM Дата : 20.09.2017 12:53 Всем добрый день ! Никто ещё не начал продавать наборы, как "SW" ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1288] Автор : RA6ANR Дата : 20.09.2017 13:00 Пришли ПЕшки, которые "тот самый" продавец выслал повторно.запаял первые 2 шт из ленты-полет нормальный))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1289] Автор : stari4ok Дата : 20.09.2017 13:19 АД9952 не ставьтеНу да,это понятно!Уж до этого додумался.:super:Я ещё не шил проц и не знаю что там в менюшках,думаю после ознакомления с функционалом будет проще и понятнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1290] Автор : UR4QBP Дата : 20.09.2017 13:36 Пришли ПЕшки, которые "тот самый" продавец выслал повторно.запаял первые 2 шт из ленты-полет нормальный))) Мне тоже пришли от "того самого (https://ru.aliexpress.com/item/PE4259/32398420759.html?spm=a2g0s.9042311.0.0.4g6WGr)", первые две запаял в УВЧ и разочаровался... По всем сигнальным выводам КЗ. Думаю стоит ли следующие проверять, так как жалко лишний раз феном плату греть. Оригинальные работают в схеме отлично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1291] Автор : RA6ANR Дата : 20.09.2017 15:05 UR4QBP, ну больше пока не проверял,в первых двух во включенном состоянии получилось около 3 ом в выключенном-100ком.переключают нормально вроде.сильно не тестировал еще -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1292] Автор : UR5ZVU Дата : 20.09.2017 22:31 кто заказывал на Али... рабочие ПЕшки, поделитель ссылкой. можно в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1293] Автор : Анатолий.Mel Дата : 20.09.2017 23:43 У меня замкнутый 4-5 Ом, разомкнутый более 2 МОм. Свободный пин закорочен на второй вывод то есть на землю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1294] Автор : RA6ANR Дата : 21.09.2017 05:45 Анатолий.Mel, я не сразу сообразил почему у меня 100кОм в закрытом состоянии.у меня на антенном гнезде висит сопротивление 100кОм.(без него ПЕшки при определенных условиях модулиравали сигнал ,был слышен низкочастотный фон.).а проверял на дпф 160м(самый верхний на плате). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1295] Автор : ut29641 Дата : 21.09.2017 07:15 рабочие ПЕшки Поддержу.Дайте ссылку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1296] Автор : R3KBL Дата : 21.09.2017 08:37 Есть информация, что вот здесь продают нормальные: https://ru.aliexpress.com/item/10PCS-PE4259-SC70-6-PE4259/32370047545.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1297] Автор : R2QM Дата : 21.09.2017 08:42 Вчера получил от этого продавца, но пока еще не проверял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1298] Автор : RD3Q Дата : 21.09.2017 09:52 UR5ZVU, ссылка выше в теме Евгений давал, уже приехали, проверено - РАБОТАЮТ!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1299] Автор : UR5ZVU Дата : 21.09.2017 10:12 UR5ZVU, ссылка выше в теме Евгений давал, уже приехали, проверено - РАБОТАЮТ! Вот эта ссылка на рабочие пешки?https://ru.aliexpress.com/item/10PCS-PE4259-SC70-6-PE4259/32370047545.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1300] Автор : RD3Q Дата : 21.09.2017 11:49 UR5ZVU, http://www.electronshik.ru/ посмотрел уже кончились!:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1301] Автор : UR3ACH Дата : 21.09.2017 13:02 рабочие ПЕшки Не надоело всем тереть про пешки??? Самих не тошнит??? Зайдешь что-нибудь новое почитать, а тут пеееешки!!!! Покупайте у проверенных продавцов. Я вообще все у нас покупаю в стране. Никогда левака не было. Да дороже. Но гемора меньше с теми же RD16 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1302] Автор : R3DI Дата : 21.09.2017 17:36 Прислали мне дисплей 2,8" - красота конечна, стал думать как его внедрить. Углублять валкодер не понравилось, глубоко нужно, чтобы муфту поставить, да и ручка потом болтаться будет наверное. Вот идея снять дисплей с этой красной pcb и тогда и валкодер и слева ручки остаются на своих местах, дисплей входит в "карман", а платку красную можно будет сверху прикрепить. Вот как то так получается. Уже печатают мне панель, как соберу - сделаю фото. 271156271157271158271159 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1303] Автор : ut29641 Дата : 21.09.2017 17:49 Доброго времени.Файла в stl нет случаем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1304] Автор : UA7KJ Дата : 21.09.2017 17:53 R3DI, Приветствую! А может уже сделать под 3.2" https://ru.aliexpress.com/item/1pcs-3-2-inch-18P-SPI-TFT-LCD-Screen-without-Touch-panel-ILI9341-Drive-IC-240/32819384322.html?spm=2114.13010708.0.0.6FNhH3 c таким расположением кнопок должен влезть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1305] Автор : R3DI Дата : 21.09.2017 17:59 Файла в stl нет случаем? OpenScad и него и stl можно сделать, пака не напечатается наверное нет смысла сейчас выкладывать, вот после проверки прикреплю. А может уже сделать под 3.2" Приветствую! Высота G767 51мм (по "перешейкам" - не пинайте за определение ) а дисплей 55 :-(, ..... хотя если их изнутри сточить можт и в аккурат войдет... и длина 77мм а у 2,8 69мм и он впритык меж валкодером и ручками -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1306] Автор : UR4QBP Дата : 21.09.2017 21:18 Смотрел кто реальную АЧХ полосовых фильтров? Сегодня доделал ДПФы подключил NWT7 и был огорчен затуханием в полосе пропускания порядка 10 дБ. Реально это много! Делал сотни раз ДПФы в своих клонах SD-1000 на "амидоне" по "РЭДовской" схеме там затухание не более 2-3 дБ. Архив АЧХ скачать можно по ссылке http://ur4qbp.ucoz.ua/SDR_TRX/BPF_NWT7.rar . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1307] Автор : UT8IFM Дата : 21.09.2017 21:33 Как выйти из этой ситуации??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1308] Автор : R3DI Дата : 21.09.2017 22:36 Фалы моделей фильтров есть на ядиске там и картинки с прибора на скорую руку (другого не было), генератор был на ад9850, смотрел границы. У меня по с переключением на байпас только 15ка немного отличается. О том что они могут быть лучше и почему именно такие писал где то в начале темы. Но 10 дБ это много, индуктивности не с али?... да нет, границы в норме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1309] Автор : n644nt Дата : 21.09.2017 23:01 271176 Смотрел кто реальную АЧХ полосовых фильтров? Сегодня доделал ДПФы подключил NWT7 и был огорчен затуханием в полосе пропускания порядка 10 дБ. Реально это много! Делал сотни раз ДПФы в своих клонах SD-1000 на "амидоне" по "РЭДовской" схеме там затухание не более 2-3 дБ. Архив АЧХ скачать можно по ссылке http://ur4qbp.ucoz.ua/SDR_TRX/BPF_NWT7.rar . Делал фильтр на 2 -4 мГц на макетке. Индуктивности ЕС24. Результат понравился. Ничего не подбирал. Перед измерением прибор откалибровал.271175 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1310] Автор : stari4ok Дата : 22.09.2017 06:52 Если у кого есть печатка в lay. для si5351,поделитесь...И где установить напряжение на дисплее?Постоянно висит 27.5v.версия 3.23 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1311] Автор : UR4QBP Дата : 22.09.2017 08:35 По ДПФам... изначально выход прибора(NWT7) я подключал к антенному гнезду, сигнал на вход прибора брал перед смесителем(разделительный конденсатор между смесителем и выходным коммутатором УВЧ естественно убран). То есть смотрел сквозную АЧХ от антенного гнезда до смесителя. При исследовании АЧХ напрямую к фильтру затухание в полосе пропускания получилось около 5 дБ на 20-ном фильтре. Печатная плата у меня не авторская, но думаю принципиальной разницы в этом нет. Индуктивности так называемые катушечные, не керамика. Покупались ТУТ (https://lcsc.com/). 271181 В общем получается что коммутаторы РЕ4259 вносят затухание примерно по 0.5 дБ каждый. Пройдя через 10 штук PE4259(я насчитал их 10) от антенного гнезда до смесителя и имеем 5 дБ затухания на коммутаторах +5 дБ на фильтре, итог - 10 дБ.. Так что все складывается, в даташите PE4259 (http://www.psemi.com/pdf/datasheets/pe4259ds.pdf) insertion loss(вносимые потери) 0,35-0,45 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1312] Автор : RD3Q Дата : 22.09.2017 08:44 stari4ok, Печатки в lay. для SIшки ни кто не делал, есть файл платки, выше Евгений давал, его так же печатаете и переводите на плату! Добавлено через 6 минут(ы): UR4QBP, От антенны до АТТ сигнал проходит через 4 РЕшки на каждом диапазоне ,по схеме Евгения! До входа смесителя получается 10 через УВЧ и АТТ! Что поделать за минимизацию приходится платить затуханием сигнала! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1313] Автор : UR4QBP Дата : 22.09.2017 08:53 Что поделать за минимизацию приходится платить затуханием сигнала! В этом просто хотелось разобраться. Я привык коммутацию делать релейную, там нет этих проблем. Но габариты ДПФ с релейной коммутацией будут раз в десть больше это точно. Так что вы правы что "за минимизацию приходится платить". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1314] Автор : RD3Q Дата : 22.09.2017 09:14 Пока не хватает 3х номиналов индуктивностей, доделаю - проведу замеры на всех диапазонах, самому интересно что получится на СМД! :smile: UR4QBP, Александр не посмотрите какое усиление УВЧ получается на ERA на НЧ и ВЧ диапазонах, всего тракта до смесителя? По даташиту РЕшки от 10МГц работают, да и ERA тоже высокочастотная! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1315] Автор : UR4QBP Дата : 22.09.2017 09:17 UR4QBP, Александр не посмотрите какое усиление УВЧ получается на ERA на НЧ и ВЧ диапазонах, всего тракта до смесителя? По даташиту РЕшки от 10МГц работают, да и ERA тоже высокочастотная! Моя "китайская" ERA-3SM дает около 20 дБ во всей полосе при токе 28 мА. Я уже писал об этом ранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1316] Автор : R3DI Дата : 22.09.2017 10:31 UR4QBP, Александр, пост 1311 это какой байпас, на тех же ПЕ ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1317] Автор : UR4QBP Дата : 22.09.2017 10:55 UR4QBP, Александр, пост 1311 это какой байпас, на тех же ПЕ ? Нет, это соединенные вход и выход прибора, без ПЕ. Специально показал АЧХ прибора чтобы убедиться в верности имерений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1318] Автор : R3DI Дата : 22.09.2017 11:34 UR4QBP, Александр, у Вас есть возможность включения байпаса на ПЕ, посмотреть исключая фильтры. ...Это хуже чем на реле, но, думаю, лучше чем на диодах делать подобную коммутацию, а габариты меньше по сравнению с любым вариантом. Не спорю что затухание будет, по даташит на наших частотах в районе 0.3 на штуку, 3дБ на десяток(стоит ли расстраиваться из-за 0.5..1го бала). Нет точного прибора проверить, а было бы очень интересно посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1319] Автор : UR4QBP Дата : 22.09.2017 11:50 Евгений, в режиме байпаса затухание от антенного гнезда до смесителя через гирлянду ПЕшек около 5 дБ. Это нормально, все соответствует даташиту. Думаю на диодах будет не лучше. Евгений, думаю не стоит заморачиваться по этому вопросу, все легко компенсируется поднятием усиления кодека. Благо в меню есть уровень усиления "RX Gain", поднял до 1500 единиц и трансивер снова "задышал" на всю грудь. ;-) Еще раз Вам огромное спасибо за отличную конструкцию и за исходники в свободном доступе! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1320] Автор : UR4QBP Дата : 22.09.2017 14:09 Не удержался и снова достал NWT7... Вот АЧХ в режиме BYPS и через фильтр 9,5-15 МГц, через все ПЕшки до смесителя в дополнение моего последнего поста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1321] Автор : R3DI Дата : 22.09.2017 14:18 Подключил дисплей 2,8". Панельку нужно будет переделать, но пока так... https://www.youtube.com/watch?v=XuUwDIgK-10&feature=youtu.be PS Резистор 11R13 закоротил, на плате дисплея установлен элемент в корпусе SOT-23, не знаю что это, но видимо резистор и не нужен. В будущем хочу ввести возможность управления яркостью, может с несколькими режимами, отключаемыми, например в активном режиме одна яркость - в неактивном яркость экрана становиться меньше, все настраиваемо ( яркости, времянки) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1322] Автор : user12 Дата : 22.09.2017 15:15 на плате дисплея установлен элемент в корпусе SOT-23, не знаю что это Это линейный стабилизатор 3,3 вольта. Если дисплей питается 3,3V то там рядом закорачиваются пайкой две контактные площадки для байпаса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1323] Автор : UA7KJ Дата : 22.09.2017 15:33 R3DI, Чтобы не отрывать дисплей от платы можно купить вот этот https://ru.aliexpress.com/item/240x320-TFT-Color-LCD-2-8-Inch-SPI-Serial-ILI9341-Panel-Screen-Display-Module-R179T-Drop/32817291868.html?spm=a2g0v.10010108.0.0.3d9cc5f7PmGHxq&traffic_analysisId=recommend_2049_9_83259_iswiall&scm=1007.12908.83259.0&pvid=2dd0e992-6dfc-4f0c-b3e1-000e4551cf53&tpp=1 . Были случаи, что отрывалась подложка светорассеивателя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1324] Автор : R3DI Дата : 22.09.2017 16:08 Это линейный стабилизатор 3,3 вольта. Понял, спасибо, и он к подсветке отношения не имеет, посмотрел на 2,8 установлен резистор на 3R9. Чтобы не отрывать дисплей от платы можно купить вот этот С платкой удобнее - она уже есть и я ее использовал, паять проводки к гибкому шлейфу - его и повредить можно. А про отрыв - нож для бумаги между платой и железным экраном дисплея - там 2х сторонний черный скотч тонкий, легко отделился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1325] Автор : user12 Дата : 22.09.2017 17:36 С платкой удобнее - она уже есть и я ее использовал, паять проводки к гибкому шлейфуТут не только на плату надо ориентироваться. Стандартные ардуиновские платы есть и будут в массовой продаже. А дисплей без платы сегодня есть а завтра нет. По закону подлости возникнет ситуация как с пешками. В конструкции для массового повторения лучше не использовать то что есть у одного или двух продавцов. С этим в SW сталкивались уже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1326] Автор : R4CDG Дата : 23.09.2017 06:52 Всем доброго времени суток! Чем отличаются SMDC0603_SMOL от SMDC0603 и от SMD 0603 ? Ткните носом, где прочитать ? Да и где купить тоже... Кроме фразы отличие SMDC0603_SMOL от SMDC0603 тольго в границах для более плотного монтажа, размер и расположение площадок не изменен из файла "SDR_BOM" на ЯДиске в интернете ничего не нашел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1327] Автор : ua3ycv Дата : 23.09.2017 08:37 Да и где купить тоже.как бы присоеденюсь к вопросу:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1328] Автор : RD3Q Дата : 23.09.2017 09:21 Я ставил SMD 0603 в чем разница не знаю и не нашел. Резисторы,конденсаторы и индуктивности заказывал в Чип и Дип без проблем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1329] Автор : stari4ok Дата : 23.09.2017 13:53 271244Кому не лень-проверьте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1330] Автор : ut29641 Дата : 23.09.2017 16:43 271304 Поправил маленько. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1331] Автор : RD3Q Дата : 23.09.2017 18:23 Кто может подсказать транзистор IRLML6402 какая маркировка на корпусе? У моих 196К , что то не правильно работает!Из даташита ничего не понял по маркировке!Может левый?:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1332] Автор : UA7KJ Дата : 23.09.2017 19:21 RD3Q, На моих EKWAB или EKWA8 последняя буква или цыфра непонятно. В схеме не проверял. Тестером вроде все правильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1333] Автор : stari4ok Дата : 23.09.2017 19:52 TU ut29641,-спасибки,увидел. Вопрос к Автору-Евгений,а у Вас 2 кнопочки бесхозными остались? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1334] Автор : RD3Q Дата : 24.09.2017 08:40 Евгений,а у Вас 2 кнопочки бесхозными остались? Не бесхозными, а зарезервированными! Там RIT и SPLIT, VFO-A и VFO-B будут! Может быть! Хотелка такая! :-P :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1335] Автор : stari4ok Дата : 24.09.2017 10:54 Вот оно! RIT и SPLIT, VFO-A и VFO-BПодтверждение таланта!Примите наше нижайшее.Если будут Vfo a-b есть ли смысл в rit?Это моё мнение,можете не обращать внимания.А вот lock я думаю не лишним будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1336] Автор : Viktor76 Дата : 24.09.2017 11:19 Здравствуйте! Нет реакции на нажатие кнопки "А" на тангенте. Остальные работают нормально. При нажатии, напряжение на 4 выводе 13U2 падает до нуля.Может кто проверить у себя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1337] Автор : UR7HBP Дата : 24.09.2017 12:53 Похоже малышу становится тесно в корпусе G767. Ни кто не подбирал кандидата на новы корпус из Kradex серии Z, или тойже Gainta ? Хотелось бы дисплей побольше и кнопки на верхнюю крышку не переносить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1338] Автор : Анатолий.Mel Дата : 24.09.2017 13:02 VIKTOR267; Нет реакции на нажатие кнопки "А" на тангенте. Остальные работают нормально. Аналогично. Замеры пока не проводил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1339] Автор : stari4ok Дата : 24.09.2017 13:14 Уже задавал вопрос,повторюсь:не работает вольтметр,-помянем порт процессора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1340] Автор : RD3Q Дата : 24.09.2017 13:16 Уже задавал вопрос,повторюсь:не работает вольтметр,-помянем порт процессора? Александр вольтметр совсем не работает или есть показания? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1341] Автор : stari4ok Дата : 24.09.2017 14:03 Есть,но наоборот,-уменьшаю напряжение по входу,-на дисплее увеличивается скачкообразно и не реагирует на изменения по входу в дальнейшем.Прошивку перегружал,-не помогло.Ещё попробую другую версию,сейчас идея пришла,но,думаю не поможет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1342] Автор : R3DI Дата : 24.09.2017 14:52 У моих 196К , что то не правильно работает! Подойдет любой Р-канал в корпусе пин-пин и что бы обеспечил ток. Евгений,а у Вас 2 кнопочки бесхозными остались? Ага, пустые. Там RIT и SPLIT, VFO-A и VFO-B будут! Может быть! Могу и в меню к 4м имеющимся добавить, просто этими режимами не пользуюсь, да просто не занаю что они должны делать :-P, как узнаю - добавлю. Нет реакции на нажатие кнопки "А" на тангенте. Остальные работают нормально. При нажатии, напряжение на 4 выводе 13U2 падает до нуля.Может кто проверить у себя? При нажатие кнопки "А" на тангенте - на 4 выводе 13U2 0В , на 13U2 3В. не работает вольтметр Проверте цепь делителя 11R1 11R2 10k/1k , а также верные установки в меню CPU Setup - ADC Uref и PWR Div( значение делителя 11R1 11R2) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1343] Автор : stari4ok Дата : 24.09.2017 15:26 цепь делителя 11R1 11R2 10k/1kДа,проверял,делит.Я ещё подумал-не много ли ок.7v при питании 3.3?Но было поздно,-уже подал питалово.На дисплее-27v.В версии 2.5 не нашёл пункта регулировки напряжения.Попробую затолкать 3.23 ещё раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1344] Автор : RD3Q Дата : 24.09.2017 15:34 stari4ok, было аналогично, оказался банальный не пропай делителя! С виду пайка хорошая, а к плате не припаялся резистор! Проверяйте внимательно, а лучше промерять всю цепь тестером! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1345] Автор : stari4ok Дата : 24.09.2017 15:48 На делителе напряжение изменяется пропорционально питающему.На дисплее при изменении от 13.8в. до 10 ничего не меняется,затем вываливается 17.5.В установках я PWR Div до сего момента не крутил.Но и сейчас не помогло,видимо что-то не так делаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1346] Автор : RD3Q Дата : 24.09.2017 15:49 R3DI, Евгений это нужные режимы!!! "RIT" предназначена для оперативной расстройки частоты приема относительно частоты передачи в пределах ±5 КГц."SPLIT" наоборот расстройки частоты передачи относительно частоты приема в пределах ±20 КГц. VFO A, VFO B - оперативное переключение частоты гетеродина.:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1347] Автор : R3DI Дата : 24.09.2017 16:09 На делителе напряжение изменяется пропорционально питающему. Уточните, в точке 11R1 11R2 при 13.8в какое напряжение ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1348] Автор : stari4ok Дата : 24.09.2017 16:15 какое напряжениеСпасибо что отозвались! 6.35v.Я не знаю точно что значат эти 2 пункта в меню,как их правильно крутить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1349] Автор : RD3Q Дата : 24.09.2017 16:30 --- -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1350] Автор : UR4QBP Дата : 24.09.2017 17:09 6.35v При таком напряжении на портах STM32 можно похоронить не только порт, но и сам контроллер! Скорее всего у Вас неверный номинал резисторов 11R1,11R2 или обрыв 11R2. Напряжение на делителе должно быть около 1.3В при 13.8В питающего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1351] Автор : stari4ok Дата : 24.09.2017 17:46 можно похоронитьЯ написал уже об этом.Меня удивили номиналы делителя 10к на + и -.Выходит очепятка,-на землю 1к? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1352] Автор : UT0UM Дата : 24.09.2017 17:54 номиналы делителя в аналогичной импортной разработке 15к и 3,9к -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1353] Автор : RD3Q Дата : 24.09.2017 17:58 stari4ok, Александр проверьте 11R9 и 11R10 там был не пропаян резистор и показания были завышенные! Пропаял и все стало в норме! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1354] Автор : R3DI Дата : 24.09.2017 18:01 Меня удивили номиналы делителя 10к на + и -.Выходит очепятка,-на землю 1к? В схеме на Ядиске от 11.07.2017 много чего поправлено, скачайте ее, видимо у Вас первая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1355] Автор : us8igt Дата : 24.09.2017 18:15 Всегда отношусь с особым вниманием к таким измерителям.Был печальный опыт,вместо 3.3 в пошло на adc 5 в и сдох не только порт,а весь проц.Недавно на макетке в порт ключа воткнул питание,все выжило.Будте внимательны!Процы нынче не дешевые.! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1356] Автор : stari4ok Дата : 24.09.2017 18:57 Александр,спасибки!Реально очепятка271395поставил 1к-заработало.И проц вытерпел,не отомстил...Ток я всёрно не понял зачем там 2 пункта регулировок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1357] Автор : tomcat Дата : 24.09.2017 20:23 Маркировка моих si5351: 271405 5351 BKOY 651 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1358] Автор : РУСИЧ Дата : 24.09.2017 21:00 Снял своего Маламута для истории!!!:smile: https://www.youtube.com/watch?v=IJzxlRBLaVg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1359] Автор : stari4ok Дата : 24.09.2017 21:38 видимо у Вас перваяНу да,так и есть,скачал последнюю версию,благодарю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1360] Автор : RD3Q Дата : 26.09.2017 22:04 R3DI, Евгений как выставить КСВ и мощность в меню? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1361] Автор : ur5yfv Дата : 26.09.2017 23:03 Снял своего Маламута для истории!!! Пока авторская плата для меня еще в пути, уже и свой вариант платы успел "набить" и опробовать. Осталось полосовики, ШПУ, ФНЧ, и ... вперед ! https://www.youtube.com/watch?v=1gL7Q5CYZnU& feature=em-comments R3DI, Евгений, а VOX-а еще нет ? Искал, искал в меню - и не нашел ничего. Не помешает Блокировку энкодера(LOCK)на какую то кнопку повесить. Можно длительное нажатие. И с этим ничего нельзя сделать, поправить ? #1146 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%D0%9C%D0%B0%D0%BB%D0%B0%D0%BC%D1%83%D1%82-quot&p=1435095&viewfull=1#post1435095) #1149 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%D0%9C%D0%B0%D0%BB%D0%B0%D0%BC%D1%83%D1%82-quot&p=1435162&viewfull=1#post1435162) #1152 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%D0%9C%D0%B0%D0%BB%D0%B0%D0%BC%D1%83%D1%82-quot&p=1435182&viewfull=1#post1435182) А то иногда с "нулевыми" частотами включается, стартует. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1362] Автор : R3DI Дата : 26.09.2017 23:50 как выставить КСВ и мощность в меню? Для настройки КСВ-метра используйте 1С1( может понадобится доп. емкость на несколько пик ) - методика стандартная, на экв. нагрузки. Для мощности пока настройку в меню не делал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1363] Автор : EU2TT Дата : 27.09.2017 00:05 Если у кого есть печатка в lay. для si5351,поделитесь... Прошу прощения за поздний ответ, не следил за темой несколько дней. Есть рисунок печатки si5351 в lay6 (https://yadi.sk/d/vHv1R3B53NFHSd). Рисовал для фоторезиста. Резисторы/конденсаторы SMD ставятся какие есть, 0805/1206, без разницы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1364] Автор : UT0UM Дата : 27.09.2017 00:09 свой вариант платы успел "набить" и опробовать. гы имхо, классический пример как не надо делать трансиверы в отличии от полность законченного и очень технологичного одноплатного трансивера R3DI, получилась очередная "основная плата" с кучей штырьков, торчащих в разные стороны и полным отстутствием понимания в каком корпус и как оно все будет скомпановано, чтобы стать трансивером -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1365] Автор : R3DI Дата : 27.09.2017 00:41 а VOX-а еще нет ? Еще нет, да и не знаю нужен ли он :-P. Блокировку LOCK да, нужно будет придумать. И с этим ничего нельзя сделать, поправить ? #1146 #1149 #1152 Даже предположений нет что это такое, память фрам... да так код написан что и без нее включаться и работать будет, только по включению будет говорить что переинитит ее и не будет сохранения... У меня такого ни разу не было и сделать что то с тем того чего нет сложновато :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1366] Автор : RD3Q Дата : 27.09.2017 06:55 R3DI, Женя какое напряжение на входе и выходе на МСР6001 должны быть, у меня значение КСВ показывает >100 на эквиваленте 50 Ом? Мощность тоже 2 Вт при любом значении PWR % ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1367] Автор : ua3ycv Дата : 27.09.2017 08:58 гы имхо, классический пример как не надо делать трансиверы в отличии от полность законченного и очень технологичного одноплатного трансивера R3DI, получилась очередная "основная плата" с кучей штырьков, торчащих в разные стороны и полным отстутствием понимания в каком корпус и как оно все будет скомпановано, чтобы стать трансиверомЛёша что опять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1368] Автор : R6BK Дата : 27.09.2017 14:42 Второй заканчиваю. Возникла проблема, какие применить емкости в ФНЧ. Синие китайские имеют большой разброс и от ВЧ токов греются, соответственно меняют свои параметры. Применять их нельзя. Если кто имеет ссылку на нормальные, ткните носом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1369] Автор : R3KBL Дата : 27.09.2017 15:24 Конденсаторы есть на eBay, ищутся по названию Silver mica. В преселекторе пленочные индуктивности тоже никуда не годны (( Нужно применять только проводные чиповые индуктивности на керамике. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1370] Автор : RD3Q Дата : 27.09.2017 15:24 R6BK, Покупал здесь и не раз!http://board.cqham.ru/index.php?details=130894 Продавец проверенный!! :super: А где индуктивности брали в полосовые, не могу найти 330 нГн, 680нГн и 820 нГн! Везде от 1000 шт! Конденсаторы в Чипе есть высоковольтные! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1371] Автор : R6BK Дата : 27.09.2017 15:58 Конденсаторы есть на eBay, ищутся по названию Silver mica. Пилите Шура, они золотые! :-P А что простой однослойной керамики уже не существует, которая стоит в забугорных трансиверах в ФНЧ? А где индуктивности брали в полосовые В Чип и Дип https://www.chipdip.ru/catalog/smd-inductances?p.481=lqm21d&p.481=lqm21f&p.481=lqm21n Конденсаторы в Чипе есть высоковольтные! Я за них и писал, они непригодные. У них диэлектрик не держит ВЧ токи, греется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1372] Автор : RD3Q Дата : 27.09.2017 16:30 Я за них и писал, они непригодные. У них диэлектрик не держит ВЧ токи, греется. Какая же выходная мощность у Вас получилась? Что установлено бинокль или кольца в тран-ре? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1373] Автор : R6BK Дата : 27.09.2017 16:45 Что установлено бинокль или кольца в тран-ре? 34 вольта на 50 ти Ом. Вот фото предыдущего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1374] Автор : R3DI Дата : 27.09.2017 17:13 какое напряжение на входе и выходе на МСР6001 должны быть, у меня значение КСВ показывает >100 на эквиваленте 50 Ом? У меня при 25% и КСВ 1.2 на плате на выходе операционников - на левом 0.1В на правом 1.0В В преселекторе пленочные индуктивности тоже никуда не годны (( Нужно применять только проводные чиповые индуктивности на керамике. Можете подробнее пояснить ? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1375] Автор : Сергей 12701 Дата : 27.09.2017 17:16 какие применить емкости в ФНЧ. В SW стоят 1206 .. мощность примерно одинаковая ( можно из двух составить..) . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1376] Автор : R6BK Дата : 27.09.2017 17:29 В SW стоят 1206 . Плата под выводные, самому выводы припаивать лень :-P Можете подробнее пояснить ? Он имел в виду вот такие https://www.chipdip.ru/product/lqw2bhnr33k , а у пленочных добротность низкая. Но для походного сойдет :-P В принципе, если сделать ФНЧ 7го порядка, то можно вообще отказаться от ДПФ. Сигнал RX все равно через ФНЧ идет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1377] Автор : R3DI Дата : 27.09.2017 17:44 у пленочных добротность низкая. Но для походного сойдет Одно дело когда от ПДФ зависит избирательность по зеркальному каналу в супергетеродином приемнике, а тут от ПДФ задача избавить РА от 2й и далее гармоники и при таком то порядка фильтра. По приему и ФНЧ справляется а вместе с ПДФ... Потому попросил прояснить В преселекторе пленочные индуктивности тоже никуда не годны (( Нужно применять только проводные чиповые индуктивности на керамике. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1378] Автор : R6BK Дата : 27.09.2017 17:52 ПДФ задача избавить РА от 2й Там ведь тоже ФНЧ можно использовать на дроссельках. Этим можно избавиться от разнообразности номиналов, ведь в каждом ФНЧ все три индуктивности однотипны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1379] Автор : EW2MS Mikhail Дата : 27.09.2017 21:20 Может кто на алике покупал разъёмы 6p6c котырые подходят, дайте ссылку. Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1380] Автор : RD3Q Дата : 28.09.2017 06:57 EW2MS Mikhail, В Минске открылся магазин Чип и Дип - цена разъема 40 рублей! Не ужели из Китая выгоднее и быстрее будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1381] Автор : EW2MS Mikhail Дата : 28.09.2017 07:11 Какой из них подходит? Нет такого как в описании Евгения. https://www.ru-chipdip.by/search?searchtext=6p6c -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1382] Автор : RD3Q Дата : 28.09.2017 08:03 Какой из них подходит? Нет такого как в описании Евгения. https://www.ru-chipdip.by/search?searchtext=6p6c TJ4-6P6C, Розетка RJ25 телефонная на плату тип 4, они есть 4 и 6 выводные, нужен 6 выводной! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1383] Автор : RW6MQ Дата : 28.09.2017 08:35 Второй заканчиваю. Возникла проблема, какие применить емкости в ФНЧ. Синие китайские имеют большой разброс и от ВЧ токов греются, соответственно меняют свои параметры. Применять их нельзя. Если кто имеет ссылку на нормальные, ткните носом. Я планирую старым проверенным способом - КСО :-P стоя как раз должны поместиться, поверх, возможно одену термоусадку - для красоты. Покупал на местном радиорынке...по 5 р на штуку;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1384] Автор : R6BK Дата : 28.09.2017 09:49 Я планирую старым проверенным способом - КСО Не уверен что влезут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1385] Автор : RD3Q Дата : 29.09.2017 08:44 Собирателям Маламута для информации - МСР6001 бывают с разными назначениями ног вход-выход! У МСР6001 и МСР6001U - разные ноги!!! Даташит http://ww1.microchip.com/downloads/en/DeviceDoc/21733h.pdf :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1386] Автор : UR7HBP Дата : 29.09.2017 09:07 Обновил минифак по сообщениям из ветки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1387] Автор : UR4QBP Дата : 29.09.2017 09:12 Собирателям Маламута для информации - МСР6001 бывают с разными назначениями ног вход-выход! У МСР6001 и МСР6001U - разные ноги!!! Даташит http://ww1.microchip.com/downloads/en/DeviceDoc/21733h.pdf :-( Уже было об этом http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1401111&viewfull=1#post1401111 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1388] Автор : ur5yfv Дата : 29.09.2017 10:31 Калибровка "на слух" Si5351 по сигналам RWM на частотах 4996, 9996, 14996. https://www.youtube.com/watch?v=n24k6ViU3XA& feature=youtu.be после проделанной калибровки можно более-менее становится "по нулям" на работающие станции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1389] Автор : R3DI Дата : 29.09.2017 13:08 Велосипед не изобретал, вставил рабочий кусок кода. https://www.youtube.com/watch?v=QPOc6yrGksY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1390] Автор : R3DI Дата : 29.09.2017 14:12 ur5yfv, в последних версиях не учитывалось ПЧ для CW в меню калибровки DDS, теперь это есть, и если калибровать в режиме CW то настраивать сигнал в середину фильтра ( узкополосного и c ZOOM8 удобно) Вот версия в которой это уже предусмотрено, тут и RTTY в тестовом режиме ( SQL переключает 170/450 shift ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1391] Автор : UT0UM Дата : 29.09.2017 14:18 вставил рабочий кусок кода еще бы вставить рабочий кусок кода с SAM ну чтобы на слух не калибровать :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1392] Автор : R3DI Дата : 29.09.2017 14:35 рабочий кусок кода с SAM Просветите пожалуйста, SAM это что за вид модуляции ( и в чем его преимущество, и как может помочь настройки (хотя бы в кратце ) )? ( предполагал что это синхронный АМ, но сомневаюсь ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1393] Автор : UT0UM Дата : 29.09.2017 14:42 хотя бы в кратце тут (https://github.com/df8oe/UHSDR/wiki/Adjustment-and-Configuration-Manual#rx-calibration-approach-from-firmware-158) Set demodulation mode to "SAM" Tune into China Radio International on the 16m or 19m band (do this at daytime). You can take any other broadcast station, but China Radio International/Radio Romania Intl are well known for being exactly on the accurate frequency. Many broadcast stations are NOT on the accurate frequency, e.g. Voice of Turkey, Voice of Iran, most (if not all) Brazilian radio stations etc. Alternatively use a well-calibrated signal generator with a frequency as high as possible (28MHz). Wait until the SAM demodulator has captured the carrier and the carrier frequency is displayed in the small frequency display and the small frequency display is stable Go into Configuration menu to "Frequency Calib." Adjust the PPM value until the small frequency display shows exactly xxxxxx.000 Hz Save configuration by long press on "MENU" or "EXIT" button (F1) вот видео (https://www.youtube.com/watch?v=tk-tkOhEQFQ) маленькие желтые цифры частоты герцы отличаются на 36-38 Гц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1394] Автор : Genadi Zawidowski Дата : 29.09.2017 16:39 Просветите пожалуйста, SAM это что за вид модуляции ( и в чем его преимущество, и как может помочь настройки (хотя бы в кратце ) )? ( предполагал что это синхронный АМ, но сомневаюсь ) В моем проекте используется кусок из повер сдр, переделанный под целочисленное вычисления синусов косинусов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1395] Автор : R3DI Дата : 29.09.2017 17:05 SAM с 0й ПЧ для калибровки наверное не лучшая идея, есть ли в нем еще какой смысл, кроме точной настройки на станцию ( для АМ это же не так критично )? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1396] Автор : Genadi Zawidowski Дата : 29.09.2017 20:21 Калибровка как одно из применений, если есть индикация. А на коротких волнах прием амплитудной модуляции ещё не запретили. Моя статистика: без Sam селективные замиания ("воронка") приводят к потери разборчивость пять...семь раз в минуту, с синхронным детектором в два раза реже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1397] Автор : R3DI Дата : 29.09.2017 23:10 Режимы [ A/B, A=B, SPL, RIT ] - не пользовался ими потому вопрос, сделать как в FT-817 ? Система VFO ..... Теперь нажатием на кнопку А (А/B) Вы можете переключаться между VFO-a и VFO-b. Такие две шкалы VFO обеспечивают на каждом радиолюбительском диапазоне возможность установить VFO-a для работы на телеграфном участке (CW) диапазона, а VFO-b для работы на SSB участке, по Вашему желанию. На каждой шкале VFO помимо частоты сохраняется установленный режим работы. Проверил в FT-817 там не только в диапазоне, но разные диапазоны можно установить на VFO-a и VFO-b. И по работе SPL Работа на разнесенных частотах .... Ниже приводится типичная ситуация при работе на 20-метровом диапазоне с DX станцией, передающей на частоте 14.025 МГц, а принимающей на 10кГц выше на этом же диапазоне. 1. Установите на шкале VFO-A частоту 14.035 МГц (частота приема DX станции). 2. Нажмите кратковременно кнопку F, затем вращением ручки SEL выведите на экран рабочую строку функции [A/B, A=B, SPL]. 3. Нажмите кратковременно кнопку А (A/B), чтобы выбрать шкалу VFO-B. 4. Установите на шкале VFO-B частоту 14.025.00 МГц (частота передачи DX станции). 5. Нажмите кратковременно кнопку С (SPL). Теперь радиостанция будет передавать в соответствие с установкой на шкале VFO-A и принимать в соответствие с установкой на шкале VFO-B. Титр « S » появится на экране. 6. Для того, чтобы услышать ответ DX станции, нажмите кнопку А (A/B). Теперь Вы настроены для приема близко к частоте 14.035.00МГц и можете выполнить подстройку точно на DX станцию. Нажмите кнопку А (A/B) еще раз, чтобы вернуться к приему на частоту DX станции. 7. Чтобы отменить режим разнесенных частот, нажмите еще раз кнопку С (SPL), титр « S » исчезнет с экрана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1398] Автор : RD3Q Дата : 29.09.2017 23:22 R3DI, Женя принцип работы VFO-a, VFO-b, SPLIT, RIT режимов одинаков во всех трансиверах, отличие в последовательности нажатия кнопок, так что как напишешь - так и будет! Разнос по диапазонам - кросбенд, не особо востребован на КВ, больше подходит для УКВ диапазонов! Можно без него вполне обойтись!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1399] Автор : UT0UM Дата : 29.09.2017 23:34 разные диапазоны можно установить на VFO-a и VFO-b. Можно без него вполне обойтись! как можно обойтись без разных диапазонов в А и В? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1400] Автор : RD3Q Дата : 29.09.2017 23:37 UT0UM, А Вы когда использовали косбенд на КВ последний раз? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1401] Автор : UT0UM Дата : 29.09.2017 23:40 сделать как в FT-817 имхо, надо делать так, как сделано в трансивере, которым Маламут прикидывается при подключении по САТ потому что, подключая трансивер к компу по САТ, мы в логгере выбирает модель трансивера и логгер, в соответствии с этой моделью, дает доп функции управления сплитом в том числе вот видите, в логгере, в зависимости от модели трансивера, меняются органы управления 271775 когда никогда но возможность устанавливать А и В на разные диапазоны - это само собой разумеющееся -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1402] Автор : RD3Q Дата : 29.09.2017 23:45 никогда но возможность устанавливать А и В на разные диапазоны - это само собой разумеющееся А для чего? Будет УКВ - вопросов нет! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1403] Автор : UT0UM Дата : 29.09.2017 23:48 А для чего? Вы что, прикалываетесь? Если я работаю на одном бенде и периодически мониторю другой бенд, то я просто ставлю в А текущий бенд, а в В, тот который мониторю и щелкаю себе А/В, вместо того, чтобы бенды переключать туда сюда -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1404] Автор : RD3Q Дата : 29.09.2017 23:54 периодически мониторю другой бенд Если только для мониторинга, тогда да, очень нужный функционал!:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1405] Автор : R3DI Дата : 30.09.2017 00:03 я просто ставлю в А текущий бенд, а в В, тот который мониторю и щелкаю себе А/В, вместо того, чтобы бенды переключать туда сюда вот тоже про это думал..... а значит не только частоту, но все "плюшки" (атт пре фильтры и тд) нужно помнить... Да и по реализации... думаю сделаю копию структуры в ФРАМ, а A/B будет переключать(подгружать) нужные страницы ( чтобы весь код не переписывать ) .... посмотрел, есть в структуре информация которой там не место и нужно ее в Setup переместить... эх опять структуры полетят :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1406] Автор : Анатолий.Mel Дата : 30.09.2017 00:58 2.8" в стандартном G767 корпусе. 271778271779271780271781 271782271783271784 Это то что у меня получилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1407] Автор : UT0UM Дата : 30.09.2017 02:24 фильтры их по любому надо помнить даже если А/В в пределах бенда, но моды то разные могут быть не слушать же ССБ через тлг фильтр можно привязать пре/атт к бенду, а ширину фильтра к моде -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1408] Автор : ur5yfv Дата : 30.09.2017 15:45 Заголовок : RTTY R3DI, Евгений, у меня на 10.100,8 тоже все нормально. https://www.youtube.com/watch?v=QPSKJcJJf-s А вот на "двацатке" RTTY не хочет. :-( https://www.youtube.com/watch?v=DVjz3wnW1yk& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1409] Автор : RA6ANR Дата : 30.09.2017 16:11 ur5yfv, попробуйте нажать кнопку sql -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1410] Автор : ur5yfv Дата : 30.09.2017 16:20 RA6ANR, СПАСИБО, так получилось. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1411] Автор : Анатолий.Mel Дата : 30.09.2017 22:41 Анатолий размеры отверстий не дадите? Игорь здравствуйте. Извините был занят и на форум не заглядывал. Вот пожалуйста:271874 у меня получилось так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1412] Автор : UA3AHI Дата : 01.10.2017 10:34 R3DI, Евгений добрый день! Если дело дошло до расстройки частоты , то лучше применить режимы RIT и XIT (+ - 5 кГц). Телеграфисты это оценят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1413] Автор : RD3Q Дата : 01.10.2017 11:59 R3DI, Евгений под RIT, SPLIT можно использовать механический энкодер на лицевой стороне трансивера. Анатолий.Mel, если не затруднит и размеры отверстий на передней панели дайте. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1414] Автор : RX9UAO Дата : 01.10.2017 13:33 R3DI , Евгений вопрос по дисплею.У меня 2.4" слабоватая яркость.Перемычку J1на дисплее поставил,но как писал RD3Q на яркость это не влияет,так и есть.Неужели сопрот 11R13 надо менять на перемычку, как у вас с дисплеем 2.8".Что-то боездно,был печальный опыт,правда с другим дисплеем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1415] Автор : Анатолий.Mel Дата : 01.10.2017 14:40 размеры отверстий на передней панели дайте Вот:271912. Обратите внимание, третья стойка валкодера опирается на плату. 271915 При желании ее можно припаять, я не стал паять, валкодер и так нормально держится. Плату дисплея пришлось немного подпилить 271916271917 Дисплей приклеен на двух сторонний скотч и прикручен винтами в плавлеными и приклеенными в переднюю панель,на фото видно. Стойки 2шт. L=25mm. 1шт. L=18mm. вопрос по дисплею Я менял 11R13 на 22 Ом. Дисплей 2,8" полет норм. А для чего замыкать J1? Просветлите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1416] Автор : R3DI Дата : 01.10.2017 14:46 Неужели сопрот 11R13 надо менять на перемычку, как у вас с дисплеем 2.8" Подберите 11R13 под нужную яркость. У меня 2.8" вот с того #1321 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1441961&viewfull=1#post1441961) как попробовал - не менялось, сейчас в отпуске а дисплей остался на работе. XIT VFOа/b уже ввел , SPLIT будет переключать VFOа/b tx/rx, RIT будет +- 0...9.99 кГц , а XIT что должен делать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1417] Автор : Анатолий.Mel Дата : 01.10.2017 15:18 Евгений здравствуйте. Подскажите а кнопка энкодера будет задействована? Я ее хочу применить для включения [M.MODE] А то 7 кнопок на верхней крышке как то много.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1418] Автор : RX9UAO Дата : 01.10.2017 16:10 Мы подаем на дисплей пит.3.3в для питания драйвера ILI9341,а на плате дисплея стоит свой стабилизатор в корпусе sot23 на 3.3в.Вот и получается на вход 3.3в на выходе всего 3.0в.Мой 2.4" запитывается либо 5-ю вольтами,либо 3.3в.Вот для этих целей и служит джампер J1(чтобы обойти стабилизатор) и запитать плату дисплея нормаль. 3.3вольтами с платы Маламута. 2.4 "240x320 SPI TFT ЖК-дисплей последовательный Порты и разъёмы модуль + КПБ адаптер Micro SD ILI9341 5 В/ 3.3 В-R179. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1419] Автор : R3DI Дата : 01.10.2017 17:06 Подскажите а кнопка энкодера будет задействована? Анатолий приветствую! Мысли были по последним введением RIT - нажатием сделать быструю активацию, чтоб меню не "нащелкивать". Анатолий, а что у Вас за муфта на валкодере, от чего она, ручка не болтается ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1420] Автор : Анатолий.Mel Дата : 01.10.2017 18:32 муфта на валкодере О, Евгений это не заслуживающая внимания конструкция, все на быстрою руку. Какая то капролоновая втулка для удлинения оси потенциометра. Но несмотря на такую дешевку получился удовлетворительный как на меня вариант(Hi):smile::ржач: По фото будет понятно 271935 271936271937271938 Добавлено через 50 минут(ы): RX9UAO, Судя по даташит 271939это 2 стабилитрона на 3в. 271940 и где то я находил инфо для данного дисплея в котором было указано напряжение 3,3-5в. То есть 3в это как раз то что ему и надо. Второй вывод этой сборки вообще соединен с 5 выходом держателя SD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1421] Автор : RX9UAO Дата : 01.10.2017 19:03 Извините,опять про дисплей.Может кому пригодится. Спецификация: Контроллер: ILI9341 Диагональ: 2,4 дюйма Напряжение питания: 3,3 ... 5 В Напряжение LED подсветки: 3,3 В Напряжение сигналов: 3,3 В Разрешение: 240x320 (RGB) Интерфейс: SPI Размер экрана: 60×42 мм Размер модуля: 77×43 мм Измерил у себя напряжение на LED-2.6v с 11R13 220ом. Будем подгонять поближе к стандарту.Хотя можно и перемычку поставить.Касается 2.2"-2.4"-2.8" Добавлено через 25 минут(ы): Анатолий.Mel, Код sot 23 V2PJ пока не смотрел полного названия изделия.При пит. 3.3в и установке перем.J1 как просит производитель- измеренное напряж. 3.28 вольта.Но ни как не 3в. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1422] Автор : Анатолий.Mel Дата : 01.10.2017 19:44 Будем подгонять поближе к стандарту.Хотя можно и перемычку поставить.Касается 2.2"-2.4"-2.8" На плате дисплея 2,8" на подсветку стоит резистор R6 3.9 Om -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1423] Автор : Анатолий.Mel Дата : 01.10.2017 20:40 Да, однако, в наше время надо иметь регулярно обновляемый Китайский справочник SMD кодов 271955 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1424] Автор : UA3AHI Дата : 01.10.2017 22:05 R3DI Евгений , XIT - отстройка частоты передатчика от частоты приема (используется если корреспондент просит отвечать выше или ниже по частоте).При работе CW часто используется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1425] Автор : RD3Q Дата : 01.10.2017 22:11 UA3AHI, А чем отличается XIT от SPLIT ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1426] Автор : R3DI Дата : 01.10.2017 23:26 А чем отличается XIT от SPLIT ? Полагаю тем, что не нужно перед таким режимом однократно нажать А=В, а сразу произвести отстройку, но SPLIT и VFOa/b дает более "гибкие" настройки ( например рх\тх с разными фильтрами и тд). Вот что добавилось, на дисплее под "RX" - B(vfo) S(split) 271981 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1427] Автор : UR3ACH Дата : 02.10.2017 09:32 Здравствуйте все участники. Расписания кнопок нет нигде? Что-то я не нашел. Например кнопка А - такие-то функции. В- еще что-то. Или это лишнее? Просто подписать А,B.C... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1428] Автор : R3DI Дата : 02.10.2017 14:15 Расписания кнопок нет нигде? Доброго времени! Функции кнопок(ABCD) зависят от выбранного меню кнопок (M.MODE) и указываются в нижней строке экрана. const MENU_SEL_CHAR SelChar[]={ { "MODE- ","MODE+","BAND- "," BAND+", SetFunck1, SelDisp1 }, { "FILT- ","FILT+"," "," ", SetFunck2, SelDisp2 }, { "PRE ","ATT ","RFOFF "," BYBS", SetFunck3, SelDisp3 }, { "ANF ","DNR "," NB "," NBL", SetFunck4, SelDisp4 }, { "AGC ","SQL ","EQ_RX "," ZOOM1", SetFunck5, SelDisp5 }, { "PWR ","TONE ","DTONE "," MIC", SetFunck6, SelDisp6 }, { "SLPE ","MONI ","EQ_TX "," ECHO", SetFunck7, SelDisp7 }, { "VFO A/B"," A=B"," SPLIT"," RIT", SetFunck8, SelDisp8 }, }; -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1429] Автор : Анатолий.Mel Дата : 03.10.2017 00:28 Евгений здравствуйте. Такой вопрос, для работы цифрой CAT. PTT через USB (по протоколу FT-817) а аудио через LINE IN и PHONE? Я правильно понял. (А то я размечтался. все через USB) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1430] Автор : R3DI Дата : 03.10.2017 00:48 Такой вопрос, для работы цифрой CAT. PTT через USB (по протоколу FT-817) а аудио через LINE IN и PHONE? Я правильно понял. (А то я размечтался. все через USB) Нет, именно через USB аудио AF, в меню ("PWR ","TONE ","DTONE "," MIC") 4й кнопкой выбрать USB ( источник ), в меню Setup - USB Mode - AF(по умолчанию). РТТ по САТ команде, не по пину. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1431] Автор : Анатолий.Mel Дата : 03.10.2017 01:09 Понял. Спасибо, буду пробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1432] Автор : R7MU Дата : 03.10.2017 11:48 R3DI, здравствуйте, сообщите пожалуйста информацию по платам, как приобрести и цену, чтобы пока не читать всю тему... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1433] Автор : RD3Q Дата : 03.10.2017 14:31 Остались лишние проверенные РЕшки. Кому нужны - в л/с. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1434] Автор : ua3ycv Дата : 03.10.2017 17:14 в принципе куплю и излишек микросхем к данному трансиверу-если кто заказывал " с запасом".с личкой какя-то проблема не могу открыть:facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1435] Автор : R3DI Дата : 03.10.2017 17:37 сообщите пожалуйста информацию по платам По приобретению плат в л/с. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1436] Автор : RX9UAO Дата : 04.10.2017 14:12 Как-то спрашивал про трансформаторы намотанные на биноклях BN-43-2402 и все скромно промолчали.Ведь мотали же на них.Интересует количество витков.Please. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1437] Автор : RA6ANR Дата : 04.10.2017 14:16 RX9UAO, я мотал вроде 3:6 и 3:3(1:4 и 1:1 трансы) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1438] Автор : RX9UAO Дата : 04.10.2017 15:56 RA6ANR,Спасибо бум пробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1439] Автор : UR5ZVU Дата : 04.10.2017 16:08 Всем привет. Пришли мне PE4259 от ЭТОГО (https://ru.aliexpress.com/item/10PCS-PE4259-SC70-6-PE4259/32370047545.html?spm=a2g0s.9042311.0.0.P9RaOQ) продавца. Может кто тоже заказывал у него? Кто паял их? На вид качественные пришли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1440] Автор : R6BK Дата : 04.10.2017 16:35 Заказал себе вот такой (https://ru.aliexpress.com/item/1pcs-150x70x200mm-industrial-case-electronics-enclosure-diy-iron-box-for-electronics-box-enclosures-electronic-metal/2011575532.html?spm=a2g0s.9042311.0.0.wJD1D2) корпус, судя по размерам влезет 3,2" дисплей и кнопкам тесно не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1441] Автор : UR7HBP Дата : 04.10.2017 18:15 Хороший корпус, железный. Там есче черненькие есть. Но доставка конская, дороже корпуса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1442] Автор : UT0UM Дата : 04.10.2017 18:41 доставка у нас в Украине есть (http://electroclub.com.ua/korpusa-korpusa-metallicheskie-c-12_113.html#3) и стоит (http://electroclub.com.ua/bahar-40004a2w200-p-519.html)как на али :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1443] Автор : US7IGN Дата : 04.10.2017 19:22 у нас в Украине есть и стоит как на али Вес: 775 гр - больше, чем все остальное радио... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1444] Автор : UA3SKC Дата : 04.10.2017 20:05 в принципе куплю и излишек микросхем к данному трансиверу-если кто заказывал " с запасом".с личкой какя-то проблема не могу открыть:facepalm: присоединяюсь к пожеланию UA3SKC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1445] Автор : ur5yfv Дата : 04.10.2017 20:10 Всем привет. Пришли мне PE4259 от ЭТОГО продавца. Может кто тоже заказывал у него? Кто паял их? Мне такие приходили и не работали. А вот с надписью на корпусе как на картинке продавца, в две строчки - работали. Мой завершенный RX Маламут. https://www.youtube.com/watch?v=yIm3QUOjKKU& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1446] Автор : Анатолий.Mel Дата : 04.10.2017 21:17 А я сегодня закрыл крышку своего TRX. 272183272184272185272186272187272188272189272190 Но некоторые вопросы остались: Для чего разъем 11J6? И более важный, это то что не идет звук через USB. PTT и CAT все Ок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1447] Автор : R6BK Дата : 04.10.2017 21:28 Вес: 775 гр В моем случае вес не важен, важна экранировка и охлаждение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1448] Автор : R3DI Дата : 04.10.2017 21:54 Для чего разъем 11J6? I2c -на всякий случай, например встроенный QRPтюнер подключить :super: И более важный, это то что не идет звук через USB. В свойствах звука- правой клавишей мыши - уст. галку "Показать отключенные устройства" ну и дальше .... 272194272195272196 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1449] Автор : Анатолий.Mel Дата : 04.10.2017 22:25 Евгений здравствуйте. Все заработало. Огромное спасибо за помощь за подсказки а также ОГРОМНОЕ СПАСИБО за великолепную конструкцию. Буду далее следить за дополнениями. Ну а сейчас в долгий путь тестирования и испытаний сие чуда. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1450] Автор : UR7HBP Дата : 04.10.2017 22:39 update SDR TRX "Маламут" miniFAQ v1.2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1451] Автор : RW6HCH Дата : 05.10.2017 08:47 Хороший корпус, железный. Там есче черненькие есть. Но доставка конская, дороже корпуса. А такой http://www.eldr.ru/catalog/importnye/ustanovochnye_izdeliya/korpusa_dlya_rea/adf_5_80_170_130/ Пишут что металл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1452] Автор : RD3Q Дата : 05.10.2017 09:14 Пора создавать отдельную ветку, типа как у SW - "ФанМаламут" и делиться там корпусами, ручками, кнопочками! Больше половины сообщений - одна вода, захочешь что то нужное найти - пол дня уйдет на чтение. Спасибо UR5HBP выборку делает!!!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1453] Автор : UN7RX Дата : 05.10.2017 11:23 Да нет проблем, создавайте отдельную ветку. Только давайте сразу четко и абсолютно точно разграничим что будет тут и что там. Иначе все расползется по обеим веткам, будет еще хуже, тогда точно ничего не найдем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1454] Автор : RX9UAO Дата : 05.10.2017 11:53 Даешь 14150! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1455] Автор : Genadi Zawidowski Дата : 05.10.2017 14:24 А такой http://www.eldr.ru/catalog/importnye..._5_80_170_130/ Пишут что металл. Тонкая жесть... к пластмассовым элементам (две рамки спереди и сзади) прикреплено саморезами. Ни пазов, ни приливов для креплаения чего-либо внутри нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1456] Автор : RD3Q Дата : 05.10.2017 14:27 UN7RX, Надо спрашивать автора Маламута, если ему не мешают сообщения без полезной информации - оставим всё как есть! Я высказал своё мнение. Ну а если люди посчитают, что нужно отделить сборку, дизайн, настройку,хотелки и т.д от технической части трансивера Маламут - создадим "ФанКлубМаламут"! Кто за ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1457] Автор : RX9UAO Дата : 05.10.2017 15:32 RD3Q,Игорь привет.Предлагал что-то подобное в посте #682(а сейчас уже#1456) и что? Да "пусто ноль".Хотя автор был только -за.Так что терпи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1458] Автор : LZ3GN Дата : 05.10.2017 16:19 Здравствуйте! Плату для трансивера где можно найти? 73! Николай / LZ3GN -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1459] Автор : RX9UAO Дата : 05.10.2017 16:48 LZ3GN, Евгению R3DI в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1460] Автор : LZ3GN Дата : 05.10.2017 17:23 Дважды писал - ответа нет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1461] Автор : R3DI Дата : 05.10.2017 17:45 Надо спрашивать автора Маламута, если ему не мешают сообщения без полезной информации - оставим всё как есть! Приветствую! Зачем же меня спрашивать, разве могу быть против ?:-P Считаю форум и темы для р/любителей, не для одного человека ( ну личной точно не считаю :-P ). Где то в теме тоже предлагал - что-то вроде только конструкторской темы где выкладывать фото вариантов исполнения, замеры, графики... делится опытом по сборке, без "воды", а эту как "общего пользования" - без "воды" не обойтись. Например, хотел сделать так - а получилось вот (фото ,таблица), или вот так намотал и вот что получил... но не больше, все остальное ссылку на пост в эту тему и поехали тут.... Но это лишь предложение - может это и не будет удобным,... "сообщения без полезной информации" мне не мешают, а там смотрите сами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1462] Автор : UR5ZVU Дата : 06.10.2017 23:58 Доброй ночи. Взял я одну PE4259 ОТСЮДА (https://ru.aliexpress.com/item/10PCS-PE4259-SC70-6-PE4259/32370047545.html?spm=a2g0s.9042311.0.0.pS1S5m) и спаял макетную плату, подал на нее ВЧ сигнал с синтезатора и управлял тоже синтезатором, все переключается. При замыкании контактов 5 и 1, 5 и 3 ноги = 3-4 Ома. В общем переключает.272306 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1463] Автор : RD3Q Дата : 07.10.2017 07:59 UR5ZVU, Что вы там намерили? Какие 3-4 Ома? Если проверяете на отдельной плате ПЕшки , то нужно: 1. Подать питание на 6 вывод +3.3В минус на 2. 2. ВЧ сигнал подать на 5 вывод. 3. ВЧ вольтметром( осцилографом, приемником) проверить прохождение сигнала на 3 выводе!ВЧ проходит 5 - 3 вывод. На 1 выводе сигнала не должно быть! 4. Подать напряжение +3.3В на 4 вывод, проверить переключение ВЧ сигнала с 3 на 1 вывод ПЕшки, при этом на 3 выводе сигнал пропадает! То есть ВЧ проходит с 5 на 1 вывод! Всё! Даташит внимательно изучите! Удачи! ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1464] Автор : UR5ZVU Дата : 07.10.2017 10:45 Что вы там намерили? Какие 3-4 Ома? Если проверяете на отдельной плате ПЕшки , то нужно: Все так и делал как Вы написали. Без управляющего напряжения по умолчанию (логический 0) замкнуты ножки 5 и 3 и когда их прозвонить, то мультиметр показал около 3-х Ом, а 1 нога в это время сидит на минусе (земле). Потом подаю на управление +3,3 и замыкаются 5 и 1 ножки, а 3 нога садится на минус. Осциллографа не имею, контролировал сигнал частотомером. Без блокирующих конденсаторов по управлению и по питанию и без ВЧ напряжения на входе 5 ноги, ПЕшка может работать непонятно как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1465] Автор : RD3Q Дата : 07.10.2017 11:03 UR5ZVU, Вот так и надо было написать! А то думал что тестером все прозванивали! :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1466] Автор : RC3ZQ Дата : 07.10.2017 11:44 UR5ZVU, Добрый день. Сколько штук Вам пришло? Продавец там их по 5шт продает. Если 5шт..все на вид одинаковые? Не паяные? В ленте одинаково уложены? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1467] Автор : UR5ZVU Дата : 07.10.2017 13:09 UR5ZVU, Добрый день. Сколько штук Вам пришло? Продавец там их по 5шт продает. Если 5шт..все на вид одинаковые? Не паяные? В ленте одинаково уложены? На вид все красиво уложено, а вот маркировка и сверху и снизу есть. сверху 259, а снизу тоже по три цифры только на всех они разные. Покупал 50 шт, пришла ленточка изогнута вдвое272346 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1468] Автор : UN7GDZ Дата : 07.10.2017 16:47 Здравствуйте народ. Тут такое дело, много страниц и слов написано в сторону переключателей PE4259. А воз и ныне там. Открываю датащит и на тебе на первой же странице "High ESD tolerance of 2 kV HBM" (для тех кто не знает это значит способны выдержать статический разряд от человеческого тела напрямую напряжением до 2 кВ) что значит если такой же разряд пройдет от тела через пинцет то он может убить переключатель. Есть много опыта пайки деталюх с такими строчками в описании и могу сказать что не зря это пишут. А это значит выравнивать заряд просто необходимо. И как правило принять для пайки всех CMOS компонентов, сначала пайка обвязки потом сами CMOS компоненты, и в браслетах (супер пупер земля не нужна но соединить жало паяльника, тело монтажника и плату в одно целое надо). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1469] Автор : UR5ZVU Дата : 07.10.2017 17:25 (супер пупер земля не нужна но соединить жало паяльника, тело монтажника и плату в одно целое надо). А если паяльник антистатический или это все ерунда? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1470] Автор : UN7GDZ Дата : 07.10.2017 17:33 ))) А тело у вас тоже антистатическое???? Тут именно вопрос в тех потенциалах которые накапливаются везде и всюду. Самый простой способ выравнять потенциалы это соединить все взаимодействующие элементы одним проводом. Один момент в снятии потенциала с элемента который собираемся паять, при вытаскивании из упаковки может зарядиться и неплохо. На работе для этого есть небольшая металлическая коробочка (заземлена) куда выбрасываются компоненты а потом от туда пинцетом берутся по мере установки. На том же али по запросу "antistatic bracelet" можно подобрать не только по цвету но и по вкусу))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1471] Автор : RC3ZQ Дата : 07.10.2017 18:41 есть небольшая металлическая коробочка (заземлена) куда выбрасываются компоненты а потом от туда пинцетом берутся по мере установки. Я чета не думаю что после такого отвода разряда такие деталюхи останутся исправными. Если антистатическая упаковка деталюхи и пинцет антистатический..достаточно деталь расположить (перенести) на PCB где на площадках уже есть паяльная паста.....феном запаять и все. Минимум контакта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1472] Автор : UR4QBP Дата : 07.10.2017 21:48 Такую ерунду несете... в открытый космос полетите паять китайские PE4259. Почему-то оригинальные РЕ4259 не боятся ни какой статики, а купленные на али вдруг почему-то горят от статики. Все очень просто. Китайцы подделывают комплектующие, все точка! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1473] Автор : R3DI Дата : 08.10.2017 15:26 :-P, страница темы про ПЕшки, вот уж точно на что нужно тему заводить отдельную "PE4259 - где купить и как паять", а то и правда - найти сообщение с продавцом нормальным - не найдешь. Сменю направление.... Вот на днях попробовал УНЧ с али на PAM8406 и мне он очень понравился (в режиме АБ). А пробовать стал вот зачем... Мс TDA7233 хорошая , но пока слушаешь на динамик, с хорошими наушниками и при малой громкости слышны собственные шумы УНЧ ("фффффф"). Стал пробовать разные мс от LM386 ... до LAкаких-то и TDA, но чтобы и ток покоя был не большой - и ничего не нашел, все на одном уровне. И было несколько PAMхххх мс и среди них PAM8406 оказалась лучшей, но именно в режиме АБ, в Д на приемник шумит, а по НЧ разницы не заметил. Для использования динамика с землей (не мост) использовал полумост мс и емкость на динамик к земле ( мощность меньше конечна, но вполне достаточно ). И еще режим MUTE у этой мс работает отлично, не щелчков не хлопков, можно будет в меню сделать настройку использовать MUTE или нет и какой лог. уровень. И "ффффффф" едва заметны - нужно вслушаться чтобы заметить ( мне :smile:) , но по сравнению с выше перечисленными разница большая. В общем так понравилась она мне, что решил ее интегрировать в плату ( не удаляя TDA7233 ), войдет на нижний слой... ну и Si5351 за одно (если войдет)... ну и опять ждать...проверять.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1474] Автор : UR7HBP Дата : 08.10.2017 16:29 Кстати есть готовые модули PAM8406, как раз для экспериментов и доработок что бы плату не курочить 272431 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1475] Автор : UR4QBP Дата : 08.10.2017 16:49 ...И было несколько PAMхххх мс и среди них PAM8406 оказалась лучшей, но именно в режиме АБ, в Д на приемник шумит, а по НЧ разницы не заметил... Не знаю как РАМ8406 в режиме АБ, но вот РАМ8403 в режиме Д, как вы и писали, ужжасные помехи. Я долго искал помеху по всему дому что же жужжит и дает "горбы" на панораме. А оказался усилитель на РАМ8403... даже не помогло ему питание от трансформаторного источника питания с линейным стабилизатором на 7805. Гадила в эфир сама м/с. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1476] Автор : UR5ZVU Дата : 08.10.2017 22:36 А оказался усилитель на РАМ8403... даже не помогло ему питание от трансформаторного источника питания с линейным стабилизатором на 7805. Гадила в эфир сама м/с. Насмотрелся видеороликов про эти усилители РАМхххх, оказывается что внутри микросхемы есть генератор ШИМ, вот он и мешает. PAM8406 оказалась лучшей, но именно в режиме АБ Как ее включить в режим АБ мне тоже интересно, что-то не нашел такой инфы. При АБ, ШИМ отключается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1477] Автор : DeXter Holland Дата : 08.10.2017 22:43 режим АБ смотрим даташит, 9 нога на землю =АБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1478] Автор : R3DI Дата : 09.10.2017 19:24 Заказал пробную версию платы 1.3 (дополнения из 1.2 ) и только сейчас заметил что в версии 1.2 не выдвинул разъемы Jack как хотел дальше из платы. Электрически полностью соответствует версии 1.1 ( убраны зеркальности разъемов, питание на генератор, полигоны для BFG591 ) но видимо когда поправлял падстек для AD9951 взял версию 1.1 у которой еще не были выдвинуты разъемы.:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1479] Автор : RA6ANR Дата : 09.10.2017 19:31 R3DI, не считаю это проблемой.у меня же работает аппарат на первой версии платы)))да и как пример-аппараты серии sw,просто сверлятся отверстия диаметром 10мм чтоб штекер нормально входил и все)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1480] Автор : EW2MS Mikhail Дата : 09.10.2017 19:33 Евгений, Добрый вечер! Нужно ещё добавить площадки под конденсаторы на разъёме энкодера на землю, для убирания дребезга. Ну это так на будущее, когда ещё будете заказывать платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1481] Автор : EW2MS Mikhail Дата : 10.10.2017 12:46 Может у кого остались лишние PE4259 нормальные? Ответ пожалуйста в л.с. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1482] Автор : denska Дата : 10.10.2017 16:19 Здраствуйте!номиналы R и C на схеме правильные:roll: или в файле экселя -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1483] Автор : RD3Q Дата : 10.10.2017 16:51 denska,По схеме ставьте! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1484] Автор : denska Дата : 10.10.2017 16:57 denska,По схеме ставьте! спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1485] Автор : R3DI Дата : 10.10.2017 17:25 denska,По схеме ставьте!- подтверждаю. Видимо фраза для али "я у него брал - там хорошие" не всегда подходит.Заказал как-то кодеки по подозрительно низкой цене (76р) на пробу 2шт - пришли-поставил- работают, ну и сразу заказал еще 4 у того же продавца. А сегодня проверил.... И не одна. У одной ЦАП с искажениями, у дрогой он вообще молчит (все выходы), у другой шумы жуткие и последняя молчит совсем (ацп и цап). А одна выглядит так (фото ниже). Видимо все таки нужно обращать внимание на стоимость и не гнаться за самой низкой ценой. 272533 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1486] Автор : RD3Q Дата : 10.10.2017 18:44 R3DI, Евгений они так строят бизнес! Ты у него заказал - он у крупного закупил по оптовой цене и тебе перепродал! Не факт, что в следующий раз товар будет из этой же партии, может найдет другого продавца с меньшей ценой! По этому я понял, что нужно покупать у крупных магазинов, которые не первый год на рынке и не будут связываться с подделками, чтоб не терять свою репутацию! С крупными продавцами, если покупаешь несколько товаров, можно договориться на скидку. И споры они ведут быстро, если что то не так - возвращают деньги. Но не будем тему засорять! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1487] Автор : stari4ok Дата : 10.10.2017 19:18 R3DI Евгений,энкодер,как я понял,дублирует валкодер,а зачем?Вот если на него меню повесить,а так я не понимаю к чему он ваще?!V 3.23.Последнюю не грузил,может там по другому. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1488] Автор : R3DI Дата : 10.10.2017 19:21 R3DI Евгений,энкодер,как я понял,дублирует валкодер Нет, он +- 1кГц и при RIT( V4.хх) расстройка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1489] Автор : stari4ok Дата : 10.10.2017 19:52 Я что-то опять проглядел! ( V4.хх)Это где? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1490] Автор : R3DI Дата : 10.10.2017 20:04 Пока еще в процессе, не выкладывал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1491] Автор : DeXter Holland Дата : 10.10.2017 20:25 поток iq планируется по юсб? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1492] Автор : R3DI Дата : 10.10.2017 20:26 DeXter Holland, он есть, но пока только прием, как время будет планирую и передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1493] Автор : tomcat Дата : 12.10.2017 23:37 Пришли PE4259 из оттуда (https://ru.aliexpress.com/item/10PCS-PE4259-SC70-6-PE4259/32370047545.html?spm=a2g0s.9042311.0.0.tCv77g) маркировка : 272695 272696 не паянные, в ячейки поставлены единообразно .... еще не паял, плата в процессе сборки... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1494] Автор : UT0UM Дата : 13.10.2017 00:17 Пришли не паял ура! :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1495] Автор : EW2MS Mikhail Дата : 13.10.2017 07:08 PE4259 кто нибудь покупал на чип и дип? Если они там оригинальные и рабочие, то 100шт на четверых можно купить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1496] Автор : R3DI Дата : 13.10.2017 13:20 Была такая кнопка "RF_OF" которая может отключить FST или DDS(Si) и все комбинации и заметил что при запрете клока на Si (FST в работе) при перестройке частоты LVDS улавливал команды I2C что сопровождалось характерными "тресками" в приемнике ( при прослушивании эфира и выхода клока (возможно) и незаметно ) и стал искать - ловил трансформатор тот что на кольце ( К10 с 6+6 вит. фото ( так, за исполнение транса "на отстань:-P" прошу не пинать, эффект есть и с нормально выполненными скруткой трансформаторами, а этот так...))) ) ). Тогда взял какой то на малюсеньком бинокле 1:1 ( 1+1 вит всего !) и попробовал заменить. LVDS перестал улавливать не нужное, но было подозрение что на НЧ работать не будет (1+1 вит всего ), ан нет - работает стабильно до 3,9 кГц ( ниже Si не выдает да и ... ). Затем взял маленький бинокль с "сетевухи" от "материнки", сделал 2+2 вит и результат тот же. ... (может и в смеситель такие поставить :crazy:) 272728 Еще нашел "багу" в коде от которой при перестройки частоты были слышны "жужалки" валкодера - оказалось что не сохранялось значение БЭНД фильтров и каждый раз функция установки частоты "дергала" с переустановкой портов фильтров (сброс/установка ) - поправил и стало все тихо. В прошивке - VFO A/B A=B SPLIT RIT. Посмотрел метод загрузки прошивки через USB с использованием DFU. Можно "заливать" и без программатора. В новой прошивке сделал программный вход в DFU решим ( требует небольшой доработки - снять/уст некоторые R и С ) и можно "закрыть корпус под винты" ( но об этом позже, если будет интерес). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1497] Автор : RD3Q Дата : 13.10.2017 13:31 R3DI, Евгений функцию блокировки можно сделать, желательно отдельно от меню? Бывает необходимость заблокировать частоту - валкодер крутится очень легко и частота сбивается! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1498] Автор : stari4ok Дата : 13.10.2017 13:33 В прошивке - VFO A/B A=B SPLIT RITА где такую взять?И что там на свободных кнопочках? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1499] Автор : RD3Q Дата : 13.10.2017 13:41 А где такую взять?И что там на свободных кнопочках? Здесь! Выше! :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1500] Автор : R3DI Дата : 13.10.2017 13:47 R3DI, Евгений функцию блокировки можно сделать, желательно отдельно от меню? (Так думаю) На энкодере нажатие в RIT будет переводить, а длительное, наверное, можно и LOCK сделать, но где это на дисплее отобразить, там все "под завязку" уже.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1501] Автор : RD3Q Дата : 13.10.2017 13:50 R3DI, можно цвет частоты изменять на какой нибудь не очень яркий или яркость уменьшать при включении! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1502] Автор : Viktor76 Дата : 13.10.2017 13:50 Шорох при перестройке действительно пропал! Я грешил на SIшку. А вот с RIT не понял, наверное нужен энкодер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1503] Автор : RD3Q Дата : 13.10.2017 13:52 VIKTOR267, RIT работает на энкодере ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1504] Автор : Viktor76 Дата : 13.10.2017 13:56 Так и догадался. Энкодера пока нет. С кнопкой "А" так и не разобрался....У кого нормально работает, можете фото тангенты без задней крышки сделать? Так что бы номиналы резисторов рассмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1505] Автор : R3DI Дата : 13.10.2017 14:16 можете фото тангенты без задней крышки сделать? У меня как-то стали нижние кнопки "дурить" - поменял диод, пробитый был (на фото справа сверху ) 272731 можно цвет частоты изменять на какой нибудь не очень яркий или яркость уменьшать при включении! Думаю при LOCK сделать мигающей надпись RX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1506] Автор : RD3Q Дата : 13.10.2017 14:19 Думаю при LOCK сделать мигающей надпись RX. Может изображение ключика куда нибудь впихнуть? Если не куда - пусть мигает! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1507] Автор : R3DI Дата : 13.10.2017 14:22 RD3Q, да вот некуда :-( , а вот поочередное отображения RX/(ключ) (мигание) наверное самое то ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1508] Автор : Viktor76 Дата : 13.10.2017 14:36 Номиналы резисторов те же... У меня с коллектора верхнего транзистора( тот что возле центральной стойки), стоит перемычка 0 ом. На фото что то вроде дросселя, а так больше разницы никакой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1509] Автор : UR4QBP Дата : 13.10.2017 15:08 можно и LOCK сделать, но где это на дисплее отобразить, там все "под завязку" уже.... Индикацию включенного LOCK можно сделать изменением цвета текущей частоты, например красным или миганием последних трех знаков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1510] Автор : UA7KJ Дата : 13.10.2017 15:53 Может вообще убрать последнюю цыфру. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1511] Автор : UR4QBP Дата : 13.10.2017 16:14 Еще неплохо было бы сделать предделитель импульсов валкодера. При использовании самого ходового 400-импульсного валкодера нереально "словить по нулям" значение частоты. Я делал например так, предделитель на 4 получаем 100 импульсов на оборот, шаг 25 Гц. В SSB самое оно, 2,5 кГц на оборот. Значение предделителя добавить сетап. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1512] Автор : R3DI Дата : 13.10.2017 17:25 Вот добавил обработку кнопки энкодера и LOCK https://www.youtube.com/watch?v=HNjKwAgm1QA& feature=youtu.be Версия 4.2. PS. А, еще с версии 4.1 и выше поправил работу эквалайзера, теперь не должен "затыкаться", но если что, напишите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1513] Автор : Viktor76 Дата : 13.10.2017 17:58 А, еще с версии 4.1 и выше поправил работу эквалайзера, теперь не должен "затыкаться" Все наладилось! Сейчас не замолкает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1514] Автор : R3DI Дата : 13.10.2017 18:52 VIKTOR267, Удержание EQ_RX попадает прямиком в меню установки значений (чтоб по меню не лазить), тоже и для ANF DNR NBL. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1515] Автор : RD3Q Дата : 13.10.2017 18:52 R3DI,Женя а кнопка RIT и LOCK на KEY8 висит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1516] Автор : R3DI Дата : 13.10.2017 18:57 кнопка RIT и LOCK на KEY8 висит? Нет, на энкодере - ENC_K разъем 11J2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1517] Автор : RD3Q Дата : 13.10.2017 19:04 R3DI, энкодер понятно куда подключен, на энкодере отдельно кнопка 2 контакта - их куда? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1518] Автор : R3DI Дата : 13.10.2017 19:07 на энкодере отдельно кнопка 2 контакта - их куда? pin 4(gnd) и 3(ENC_K) разъема 11J2 по схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1519] Автор : RA6ANR Дата : 13.10.2017 19:43 272760есть 1 лишняя плата v1.2 и пара проверенных дисплеев 2.2",может нужно кому)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1520] Автор : R3DI Дата : 14.10.2017 19:34 Одна из первых версий транивера. Изначально был из 2х плат, после чего была сделана одноплатная версия. https://www.youtube.com/watch?v=TyosQ9smsxE& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1521] Автор : r3qo Дата : 15.10.2017 19:44 EW2MS Mikhail, Подскажите какие емкости ставили на энкодер для убирания дребезга?Владимир R3QO. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1522] Автор : EW2MS Mikhail Дата : 15.10.2017 19:48 По 0,01 мкФ на землю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1523] Автор : stari4ok Дата : 15.10.2017 21:58 По 0.1 ставил,работает,думаю не критично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1524] Автор : RX9UAO Дата : 16.10.2017 15:47 Тоже по 0.1 и все отлично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1525] Автор : UN7GDZ Дата : 17.10.2017 08:38 Получил дисплей 2.2". 273017 брал тут (https://ru.aliexpress.com/item/2-2-inch-2-2-SPI-Serial-Port-240-320-Dots-ILI9341-TFT-LCD-Display-Module/32551132665.html?spm=a2g0s.9042311.0.0.SEEA1e), упаковано не совсем безопасно. Трек отслеживался нормально. Добавлено через 47 минут(ы): Это я к тому, что брать можно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1526] Автор : R3DI Дата : 17.10.2017 11:07 Не у всех запускается прошивка 4.х, до работы доберусь и скину версию, где это поправлено. Добавлено через 5 минут(ы): UN7GDZ, или это у Вас без кварца часового? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1527] Автор : RD3Q Дата : 17.10.2017 11:17 R3DI, Женя еще деление на валкодер если не трудно сделать, "блох" ловить затруднительно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1528] Автор : UN7GDZ Дата : 17.10.2017 12:13 Это вообще на STM32F4Discovery))) Тест так сказать. Версия 4.2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1529] Автор : RD3Q Дата : 17.10.2017 13:28 Посоветуйте какие кнопки применить, по надежнее и компактнее для данной конструкции? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1530] Автор : R6BK Дата : 17.10.2017 13:32 какие кнопки применить, по надежнее http://tixer.ru/catalog/buttons-switchers/clock-buttons/taktovaya_knopka_ts_028_3x3x1_5mm_belaya_smd/ http://tixer.ru/catalog/buttons-switchers/clock-buttons/taktovaya_knopka_germetichnaya_dts_61g_6x6x4_3_mm_smd/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1531] Автор : R3DI Дата : 17.10.2017 13:49 Еще неплохо было бы сделать предделитель импульсов валкодера. Женя еще деление на валкодер если не трудно сделать, "блох" ловить затруднительно! Готово. Setup->Valcoder->Val Div ( 1..16) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1532] Автор : Love777888 Дата : 17.10.2017 21:26 Добрый вечер! Как заказать плату у Вас? Будет ли версия трансивера с большим экраном например разрешение 800х400? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1533] Автор : R3DI Дата : 17.10.2017 21:54 Как заказать плату в л/с. Будет ли версия трансивера с большим экраном например разрешение 800х400 для это нужно сделать принципиально другой трансивер (просто поставить экран не получится), а такие уже есть, этот такой какой есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1534] Автор : stari4ok Дата : 17.10.2017 22:23 Если есть у кого схемка гарнитуры,поделитесь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1535] Автор : UR7HBP Дата : 17.10.2017 22:28 273102 273103 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1536] Автор : EW2MS Mikhail Дата : 17.10.2017 22:37 Пожалуйста. Вот наверное правильнее будет https://iz0ups.jimdo.com/microfoni/mh-48a6j-ft-7800/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1537] Автор : RX9UAO Дата : 18.10.2017 03:28 При доработке ориентироваться надо на схему.У китайской тангенты цвета могут не соответствовать данной вами раскладке.Например у меня красный провод-микрофон. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1538] Автор : Love777888 Дата : 18.10.2017 11:08 Здравствуйте всем! Скажите пожалуйста в сравнении с YAESU FT-450D, как этот аппарат? Есть дилемма покупать готовый или делать этот, может кто подскажет, спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1539] Автор : RD3Q Дата : 18.10.2017 11:16 как этот аппарат? Есть дилемма покупать готовый или делать этот Как земля и небо! Смотря что сравнивать! Главное чтоб руки росли из нужного места - можно сделать, нет - брать готовый! Сначала советую почитать про SDR технику! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1540] Автор : R3DI Дата : 18.10.2017 13:56 Версия pcb 1.3 https://www.youtube.com/watch?v=GBBMva0BkKg& feature=youtu.be (есть некоторое количество плат - в л/с) PS Для версий плат ниже 1.3 также можно сделать управляемую подсветку - добавить p-канальный транзистор и 2а резистора, управление взять с переходного отверстия SPI2_NSS pin96 второго, не устанавливаемого, процессора. Прошивка 4.4 (Спасибо UR4QBP за предоставленные шрифты для отображения частоты :super: ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1541] Автор : RX9UAO Дата : 19.10.2017 03:01 Регулируемая подсветка,разные шрифты-это здорово. ЧМ тоже наверное должен получить свое.Это не ​хотелка.Оно вроде всегда так было: если есть ЧМ,шумодав должен быть (в радиосвязи).(Мысля вслух) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1542] Автор : stari4ok Дата : 19.10.2017 08:00 Вопрос.При включении DNR сильно грузится DSP,-до 100% и прога виснет,предел возможностей процессора или...? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1543] Автор : Дмитрий_И Дата : 19.10.2017 09:43 RD3Q, Евгений, здравствуйте. Есть ли в наличии плата 1 шт. Напишите пожалуйста как можно конкретно получить в Москве. Адрес, куда подъехать, кого как спросить. Желат. телефон. У меня проблема отпросится с работы. Но заберу обязательно.Отложите. Очень надо. С уважением. Дмитрий. Добавлено через 40 минут(ы): Поторопился и вместо лички отправил на форум. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1544] Автор : R3DI Дата : 19.10.2017 10:02 При включении DNR сильно грузится DSP,-до 100% Напишите настройки подробнее и все что включили. DSP это точно, только вот что накрутили .... шрифты-это здорово. ЧМ тоже наверное должен получить свое Шрифты просто вставить, а шумодав думать нужно... будет время, напишу -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1545] Автор : Viktor76 Дата : 19.10.2017 13:45 Евгений, здравствуйте. При подключении антенны (пока кусок провода 20 метров), идет сильный рев. Но только на 2 и 3 LPF, 80М И 40М, на других диапазонах нормально. При включенном BYPASS-гула нет. Пока вылечил резистором 50 кОм между массой и выводом 3 РЕшки 4U2, там более удобно запаять. Это не качественные PE4259? Хотя переключают нормально.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1546] Автор : stari4ok Дата : 19.10.2017 13:58 только вот что накрутилиПо умолчанию.Пока не заморачивайтесь,может шивка криво стала.Последнюю не пробовал,на 4,2 откатился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1547] Автор : R3DI Дата : 19.10.2017 14:38 При подключении антенны (пока кусок провода 20 метров), идет сильный рев. Ага, говорил об этом в последнем видео. Впервые мне об этом подробнее рассказал RA6ANR, но понять было сложно, ведь у меня такого эфф-та не было. Тогда Иван на антенный вход установил резистор ХкОм и все прошло. И вот, спустя 3 года (от моих RХ), и 2х недель подряд дождей ( антенна тоже кусок на дереве ) у меня появился тот же эффект , 50 Гц. Замер осцил. 10М/16пик 100Vp-p! на антенне, а стоит коснуться рукой разъема - падало до 1..0,5V. Полагаю что происходила паразитная модуляция PE4259, потому как опять же с Иваном выяснили ( на опыте с ERA ), они (PE4259) "не любят" напряжения на вх/вых выше напряжения коммутации/питания. ( На плате 1.3 есть место под резистор). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1548] Автор : Анатолий.Mel Дата : 19.10.2017 15:09 Евгений здравствуйте. А какую переходную ёмкость применяете с кодака? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1549] Автор : UU5JPP Дата : 19.10.2017 15:17 Всем Добрый день! Начал набивать плату, с сразу наткнулся, с непонятным обозначением резисторов, подскажите какие там должны быть наминалы. Скриншоты схем прикрепил к сообщению, заранее спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1550] Автор : Кожаный человек Дата : 19.10.2017 15:34 UU5JPP, R9 10ком, R6 100ком. Получим усиление каскада около 10. Если мало- уменьшайте R9. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1551] Автор : Viktor76 Дата : 19.10.2017 15:57 ( На плате 1.3 есть место под резистор). Не разглядел..А куда именно впаян резистор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1552] Автор : R3DI Дата : 19.10.2017 16:19 на плате он скорее для ERA,у которых на выходе DC больше 3,3В - прямо на входе тр смесителя, но для таких антенн, думаю лучше прямо на разъем установить резистор Начал набивать плату, с сразу наткнулся, с непонятным обозначением резисторов, У меня 9R6 - 0 => 9U1B - повторитель => 9R9 9C9 не установлены. ( А там смотрите сами какой нужен Ку для Вашего микрофона ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1553] Автор : Viktor76 Дата : 19.10.2017 16:35 прямо на входе тр смесителя Я пробовал и на входе транса смесителя ставить, но эффекта нет. Только помогло с "другой" стороны LPF, на входе. Еще прогонял АЧХ фильтров, так вот именно эти два(80м и 40м) имеют само большее затухание, до 10 дБ. Большой разброс у дросселей и емкостей, нужно подгонять. Может это как то влияет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1554] Автор : R3DI Дата : 19.10.2017 16:51 Большой разброс у дросселей и емкостей, нужно подгонять. Может это как то влияет? Не думаю. А вот АЧХ фильтров да, проверять не плохо бы, у меня для дросселей получалось (-1)виток от расчетных , а синие китайские конденсаторы.... ну о них уже писал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1555] Автор : R3DI Дата : 19.10.2017 18:21 если есть ЧМ,шумодав должен быть (в радиосвязи).(Мысля вслух) :-P ... что то это напоминает... про USB AF кажется, ну да ладно :-P Вот проверьте, ЧМ не слушаю, нет никого (у меня) и антенн нет, нормально проверить не могу. ( Как и обычно, удержание "SQL" - быстрый переход в меню установки уровня ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1556] Автор : Love777888 Дата : 19.10.2017 22:02 А скажите пожалуйста чем программировать STM32F407VGT6? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1557] Автор : UT0UM Дата : 19.10.2017 22:28 А скажите пожалуйста чем программировать STM32F407VGT6? СТ Линком (https://ru.aliexpress.com/item/Hot-Sale-1PCS-ST-LINK-Stlink-ST-Link-V2-Mini-STM8-STM32-Simulator-Download-Programmer-Programming/32343514985.html?spm=a2g0s.9042311.0.0.TeHmo8) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1558] Автор : Love777888 Дата : 19.10.2017 23:11 СТ Линком (https://ru.aliexpress.com/item/Hot-Sale-1PCS-ST-LINK-Stlink-ST-Link-V2-Mini-STM8-STM32-Simulator-Download-Programmer-Programming/32343514985.html?spm=a2g0s.9042311.0.0.TeHmo8) Скажите автор для прошивки ст Линком предусмотрен разъем на вашей плате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1559] Автор : UT0UM Дата : 19.10.2017 23:49 для прошивки ст Линком 11J1 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1396814&viewfull=1#post1396814) и называется SWD схема на 1 стр pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1560] Автор : R3DI Дата : 20.10.2017 00:11 чем программировать STM32F407VGT6? Как вариант можно и через USB DFU. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1561] Автор : rz3qs Дата : 20.10.2017 00:39 Вопрос к тем, кто запустил передачу, можно глянуть такую картинку (http://www.cqham.ru/forum/showthread.php?33633-QRP-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0-M0NKA-mcHF&p=1452815&viewfull=1#post1452815). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1562] Автор : R3DI Дата : 20.10.2017 01:11 можно глянуть такую картинку. А что это показывает, что проверяют ( качество синтеза однотонового сигнала) ? Линейность РА обычно проверяют 2х тоновым #458 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1407411&viewfull=1#post1407411) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1563] Автор : rz3qs Дата : 20.10.2017 01:23 А что это показывает Вот (http://virial.net/ru/produkciya/oborudovanie-dlya-radiolyubitelej/18-sdr-2000ua.html) прочитайте про SDR2000UA, баланс смесителя TX. Точно так сделано и во Flex5000. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1564] Автор : RM5Y Дата : 20.10.2017 01:46 А скажите пожалуйста чем программировать STM32F407VGT6? ВОТ (https://ru.aliexpress.com/item/Hot-Sale-1PCS-LINK-Stlink-Link-V2-Mini-STM8-STM32-Simulator-Download-Programmer-Programming-With-Cover/1956977845.html?spm=a2g0s.9042311.0.0.4JD01X) вчера оплатил, сегодня уже отправлен!:super: 0603 SMD-резисторы (https://ru.aliexpress.com/item/Free-shipping-0603-SMD-Resistor-Kit-1-10ohm-to-910Kohm-80-values-25pcs-2000pcs-Chip-Resistor/32694000352.html?spm=a2g0s.9042311.0.0.4JD01X) 1% 0805 SMD-резисторы (https://ru.aliexpress.com/item/2000pcs-Free-shipping-0805-SMD-Resistor-Kit-Assorted-Kit-1ohm-1M-ohm-5-80valuesX-25pcs-2000pcs/32297723532.html?spm=a2g0s.9042311.0.0.4JD01X) 5% резисторы из всех предложений что удалось просмотреть- максимально закрывают спецификацию по сопротивлениям и мощностям. М.б. для одной конструкции и многовато (дороговато) - но дешевле чем у местных перекупов. Кооперация в помощь! PS паяльная станция (https://ru.aliexpress.com/item/750W-8586-2-In-1-digital-ESD-Hot-Air-Gun-Soldering-Station-Welding-Solder-Iron-For/32819040926.html?spm=a2g0s.9042311.0.0.sKC6AV) давно хотел но не было повода... сравнимо со всеми остальными моделями того же ценового сегмента, паяльник управляется не просто диммером а имеет обратную связь от термодатчика (м.б. местами и не сильно нужно, но кому как). Впечатляет сравнительный обзор отличий и дополнительные мини приспособы, жала для паяльника, насадки для фена, пинцеты, доп.спираль для фена и прочее. Не жирно, но и не кисло. :) Обзоров на Ютубе не нашел (только старшие модели). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1565] Автор : Love777888 Дата : 20.10.2017 09:04 Скажите автор будет ли поддержка 3.3 дюйма экрана? В одном видео просто слышал что вы хотели туда прикрутить 3.3 дюйма. Еще вопрос мне может показалось,что в самом маленьком экране водопад как то интенсивней работает в отличии от 2.8 дюйма? Кто нибудь дайте ссылку под 2.2 и 2.8 дюйма дисплеи. Подозрение что на 2.2 у меня уже есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1566] Автор : Viktor76 Дата : 20.10.2017 09:12 Я брал этот.https://ru.aliexpress.com/item/2-8-inch-TFT-LCD-Module-without-Touch-Panel-ILI9341-Drive-IC-240-RGB-320-SPI/32764300681.html?spm=a2g0s.9042311.0.0.eNJtOX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1567] Автор : R3DI Дата : 20.10.2017 11:05 самом маленьком экране водопад как то интенсивней работает в отличии от 2.8 дюйма Разрешение экранов одинаковое и скорость от размера не зависит, скорее всего были выставлены разные настройки скорости прикрутить 3.3 дюйма 3.2 наверное и там сразу поправился - в корпус не влезет. Но а там сами смотрите какой себе поставить. Главное чтобы интерфейс-контроллер-разрешение совпадало, а там хоть 5" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1568] Автор : Love777888 Дата : 20.10.2017 14:23 Разрешение экранов одинаковое и скорость от размера не зависит, скорее всего были выставлены разные настройки скорости 3.2 наверное и там сразу поправился - в корпус не влезет. Но а там сами смотрите какой себе поставить. Главное чтобы интерфейс-контроллер-разрешение совпадало, а там хоть 5" То есть прошивка будет поддерживать дисплей с большей диагональю, главное что бы разрешение и контроллер на дисплее совпадал как в меньшем по размеру. Правильно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1569] Автор : R3DI Дата : 20.10.2017 14:30 прошивка будет поддерживать дисплей с большей диагональю Прошивка ничего не знает о диагонали, она "привязана" к - интерфейс-контроллер-разрешение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1570] Автор : Love777888 Дата : 20.10.2017 15:52 Еще вопрос вы уж извините, но лучше спросить чем ошибиться, типоразмеры резисторов и конденсаторов какие в трансивере? Про усилитель понятно, про остальное пожалуйста ответ дайте. И еще может кто нормального китайца порекомендует на али, а то пришли кондерчики тоже smd, одно касание паяльником и все контакты отлетают, бред какой-то, тот же б/у кондерчик берешь с платы и ничего паяешь его несколько раз. Не хотелось бы на грабли наступить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1571] Автор : denska Дата : 20.10.2017 18:14 Типоразмер есть в файле sdr_bom.xls на Яндекс диске в начале ссылка!но все равно проверять по плате (я так делаю) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1572] Автор : UN7GDZ Дата : 20.10.2017 19:04 Процесс запущен!!! Теперь есть чем заниматься когда свободен)))) 273325 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1573] Автор : UR3ACH Дата : 20.10.2017 22:47 Здравствуйте все участники форума. При использовании тангенты какие функции доступны? Может уже где была информация, но я не нашел. Где кнопка PTT находится? Не понятно управление RX-TX. Где выход TX для управления другими узлами трансивера. У меня не авторская плата так что извините за вопрос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1574] Автор : R6BK Дата : 20.10.2017 22:50 У меня не авторская плата Может автору Вашей платы и задать эти вопросы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1575] Автор : UR3ACH Дата : 20.10.2017 23:04 Может автору Вашей платы и задать эти вопросы? Да дело не в плате. С ней то все понятно. Написал просто чтобы не было такого.типа лох, в плате не разобрался. ( При условии что она авторская.) Я не совсем пойму что такое PTT_A, PTT_B, PTT_T на разьеме 13J1. P.S. Вообще было бы хорошо чтобы были расписаны все функции трансивера. Например AGC- это АРУ. И так далее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1576] Автор : UT0UM Дата : 21.10.2017 03:28 AGC- это АРУ ну это же 1 класс ;-) Применяю si5351 кстати, как Вы прокомментируете вот это The Si5351A has a phase offset feature, which is not really very clearly described in the SiLabs documentation. However, QRP Labs has perfected the technique to put two of the Si5351A outputs into precise 90-degree quadrature, which is maintained without tuning glitches as the frequency is altered. It’s a nice development because it eliminates one more circuit block (the 74AC74 divide-by-4 circuit), again reducing complexity and cost. To the best of my knowledge this the first time the Si5351A has been implemented in a product directly driving a QSD with two outputs in quadrature (no divide-by-4 circuit). взято тут (https://qrp-labs.com/images/qcx/assembly_A4.pdf)на стр 107 п.с. и еще там на стр 106 написано почему у сишки клок 27, а не 25 The Si5351A datasheet dictates the use of a 25 or 27MHz crystal. QRP Labs has always used the 27MHz crystal in our designs because it allowed us to obtain precise 1.46Hz tone spacing for WSPR transmissions all the way up to the 2m amateur band (145MHz). Those calculations don’t work out with the 25MHz crystal. This requirement doesn’t apply to this CW transceiver design but economics of scale means there are advantages to sticking with the same component values, all other things being equal! может и тут оно пригодится в будущем для WSPR? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1577] Автор : R3DI Дата : 21.10.2017 09:02 ну это же 1 класс Так и не смог ответить, встал вопрос - ATT - ОСЛ или ОСЛА или ОСЛБ ? :smile: кстати, как Вы прокомментируете вот это The Si5351A has a phase offset feature, which is not really very clearly described in the SiLabs documentation.... Вот это очень даже интересно...И были мысли - а нет ли там такого варианта но... Попалась ( тогда еще) мне статейка, где один радиолюбитель пробовал использовать режим со сдвигом фазы и написал он там, что не очень то у него все получилось (с точностью фаз) ( или не везде, уже не помню ) , полагаю что это связанно с "which is not really very clearly described in the SiLabs documentation"... А потому пошел по быстрому, проверенному варианту. В общем все это от недостатка времени на поиск и изучения информации :-(. Как будет время - обязательно займусь этим вопросом.... почему у сишки клок 27, а не 25 Да и не знаю для чего ( или что это ) - "1.46Hz tone spacing for WSPR ", вот и 25 (с материнок с дохлых )) ), можно и 27 - в меню выставляется. В общем за ПДФку спасибо!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1578] Автор : RA6ANR Дата : 21.10.2017 09:24 RA3PKJ вроде где то писал о попытке использовать 2 канала si5351 со сдвигом фаз...не получилось вроде ничего путного.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1579] Автор : UR3ACH Дата : 21.10.2017 09:46 ну это же 1 класс Так и не смог ответить, встал вопрос - ATT - ОСЛ или ОСЛА или ОСЛБ ? Дааа... Тут я конечно отжег :smile: На этот вопрос кто-нибудь может ответить? Что такое PTT_A, PTT_B, PTT_T на разьеме 13J1. З.Ы. Вообще наверно надо сначала мне собрать трансивер, а то у меня какие-то "виртуальные вопросы":crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1580] Автор : UA7KJ Дата : 21.10.2017 09:49 режим со сдвигом фазы Вот японец делал такое http://ja2gqp.blogspot.com/2017/05/ http://tjlab.ikora.tv -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1581] Автор : R3DI Дата : 21.10.2017 10:08 то такое PTT_A, PTT_B, PTT_T на разьеме 13J1. PTT_T - TX кнопка на землю PTT_A, PTT_B - линии входа на ЦАП с матрицы кнопок #1535 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1452132&viewfull=1#post1452132) #1536 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1452135&viewfull=1#post1452135) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1582] Автор : UT0UM Дата : 21.10.2017 11:45 для чего ( или что это ) - "1.46Hz tone spacing for WSPR " тыц (https://en.wikipedia.org/wiki/WSPR_(amateur_radio_software)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1583] Автор : R3DI Дата : 21.10.2017 12:25 UT0UM, TNX, почитал , теперь ясно зачем 1.4648 Гц. В тот проект не вникал , полагаю Si-шкой и делают тот вид модуляции в нем ( но могу и ошибаться ). Тут то наверное на уровне DSP можно сделать ( хотя опять же - не разбирался )... но что то не привлекают меня цифровые виды, может это и временно... все как то в SSB и причем чем слабее станция, в шумах, да еле разберешь - тем интереснее ( ну каждому свое) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1584] Автор : Eugene163 Дата : 21.10.2017 18:53 Пора создавать отдельную ветку, типа как у SW - "ФанМаламут" и делиться там корпусами, ручками, кнопочками! Симпатичный металлический корпус стали недавно применять создатели SW-2016. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1585] Автор : Love777888 Дата : 21.10.2017 21:20 Скажите Евгений, вы трансивер в АМ и ФМ проверяли, прочел кучу страниц, может где пролистал, хотелось бы знать, что все как надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1586] Автор : UR7HBP Дата : 21.10.2017 23:50 Симпатичный металлический корпус стали недавно применять создатели SW-2016. Так кто ж вам запрещает использовать, например такой BDA40004-A2-W200 150 x 70 x 200 мм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1587] Автор : UR5ZVU Дата : 22.10.2017 00:14 Всем привет. Вот решил сравнить ЖКИ 2,8 и 3,2 дюйма, но не могу найти на 3,2 дюйма обозначения ног: MISO SCK MOSI D/C Можно ли этот 3,2 дюйма 240x320 SPI TFT ЖКИ ILI9341 (https://ru.aliexpress.com/item/Free-Shipping-1pcs-lot-3-2-TFT-LCD-Module-Display-Touch-Screen-Panel-PCB-Adapter-Blue/2036287115.html?spm=a2g0v.search0104.3.161.AleOck&ws_ab_test=searchweb0_0,searchweb201602_3_10152_10065_10151_10068_10344_10345_10342_10343_10340_10341_10540_10307_10060_10155_10154_10056_10055_10054_10539_10538_10537_10059_10536_10534_10533_100031_10099_10103_10102_5590020_10052_5640020_10053_10107_10050_10142_10051_10170_10084_10083_5370020_10080_10082_10081_10110_10111_10112_10113_10114_10312_10313_10314_10078_10079_10211_10128_10073_10129_10125,searchweb201603_30,ppcSwitch_5&btsid=47ec70eb-e1d4-4d8a-ad86-a465299821ce&algo_expid=34d5da51-1c1e-4233-8d50-9748ba3c88e3-22&algo_pvid=34d5da51-1c1e-4233-8d50-9748ba3c88e3) подключить к Маламуту? 273413 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1588] Автор : UT0UM Дата : 22.10.2017 00:39 Можно ли буквально пару стр назад Прошивка ничего не знает о диагонали, она "привязана" к - интерфейс-контроллер-разрешение сравниваете тип контроллера и разрешение, если совпадает, то вперед! п.с. то что Вы там зазумили (ХРТ2046) - это контроллер тачскрина -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1589] Автор : Genadi Zawidowski Дата : 22.10.2017 00:45 Можно ли этот 3,2 дюйма 240x320 SPI TFT ЖКИ ILI9341 подключить к Маламуту? нет, нужен SPI а тут паралельный интерфейс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1590] Автор : UT0UM Дата : 22.10.2017 01:08 нужен SPI если почитать название товара на англ, то становится понятно, что SPI относится к тачскрину а дисплей таки да, не SPI вот 3,2 9341 SPI (https://arduino-ua.com/prod1768-tft-lcd-sensornii-displei-3-2-na-ili9341-dlya-rasbberry-pi) поляки его вроде к mcHF прикрутили (http://sp-hm.pl/thread-1984-post-35306.html#pid35306) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1591] Автор : R3DI Дата : 22.10.2017 01:26 вы трансивер в АМ и ФМ проверяли, прочел кучу страниц, может где пролистал, хотелось бы знать, что все как надо. Love777888, не совсем понято что означает "все как надо", в любом случаи - из заводских приборов у меня есть только мультиметр и осциллограф, потому любые мои проверки вряд ли подходят под это определение. Я думаю, если у Вас стоит выбор между "Маламутом" и FT450 - возьмите лучше последний, я думаю там точно будет "все как надо" (не сарказм). Все таки это заводской промышленный аппарат, компании, которая работает на рынке много лет и имеет много опыта. "Маламут" - радиолюбительская конструкция, хобби и развлечение ( да и где-то раньше писал о причинах его разработки). Моя работа не связанна с трансиверами (с RF - вообще никак не связана), у меня нет необходимых приборов и опыта. "Маламут" - результат самообучения и желания что-то сделать, ну и чего скромничать, результат нужды... Стал бы себе ломать голову - если б мог позволить поставить на стол что-то подобное с панорамкой :smile:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1592] Автор : UR5ZVU Дата : 22.10.2017 01:39 Сообщение от UR5ZVU Можно ли этот 3,2 дюйма 240x320 SPI TFT ЖКИ ILI9341 подключить к Маламуту? нет, нужен SPI а тут паралельный интерфейс. А ЭТОТ (https://ru.aliexpress.com/item/Free-Shipping-2014-New-Arrival-1Pcs-3-2-Inch-LCD-Touch-Screen-Display-Monitor-Module-For/1975039117.html?spm=a2g0v.10010108.1000014.1.6dd68710kCyHPF&traffic_analysisId=recommend_3035_null_null_null&scm=1007.13338.80878.000000000000000&pvid=60ad0d32-6264-4d2a-8c65-268a0ddb0f68&tpp=1) подойдет? Вроди бы один в один с ЭТИМ (https://arduino-ua.com/prod1768-tft-lcd-sensornii-displei-3-2-na-ili9341-dlya-rasbberry-pi). п.с. то что Вы там зазумили (ХРТ2046) - это контроллер тачскрина Это я понял. Просто китайцы пишут что SPI, а оно не "СПИ", вводят в заблуждение они... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1593] Автор : UT0UM Дата : 22.10.2017 01:41 китайцы пишут "Touch SPI" и это правда :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1594] Автор : UR5ZVU Дата : 22.10.2017 01:48 китайцы пишут "Touch SPI" и это правда эээээ нееее, у каждого продавца SPI по разному, я только что смотрел. вот например:240x320 2.8" SPI TFT LCD Touch Panel Serial Port Module with PCB ILI9341 5V/3.3Vпросто набор слов. а как быть радиолюбителю если он его впервые видит и то у китайцев на али.... Так ЖКИ одинаковые или нет, можно их к МАЛАМУТУ??? пост #1592 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1453593&viewfull=1#post1453593) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1595] Автор : UT0UM Дата : 22.10.2017 02:18 Можно ли этот 3,2 дюйма (https://ru.aliexpress.com/item/Free-Shipping-1pcs-lot-3-2-TFT-LCD-Module-Display-Touch-Screen-Panel-PCB-Adapter-Blue/2036287115.html?spm=a2g0v.search0104.3.161.AleOck&ws_ab_test=searchweb0_0,searchweb201602_3_10152_10065_10151_10068_10344_10345_10342_10343_10340_10341_10540_10307_10060_10155_10154_10056_10055_10054_10539_10538_10537_10059_10536_10534_10533_100031_10099_10103_10102_5590020_10052_5640020_10053_10107_10050_10142_10051_10170_10084_10083_5370020_10080_10082_10081_10110_10111_10112_10113_10114_10312_10313_10314_10078_10079_10211_10128_10073_10129_10125,searchweb201603_30,ppcSwitch_5&btsid=47ec70eb-e1d4-4d8a-ad86-a465299821ce&algo_expid=34d5da51-1c1e-4233-8d50-9748ba3c88e3-22&algo_pvid=34d5da51-1c1e-4233-8d50-9748ba3c88e3) я бы не парился 2,8 или 3,2 или 5 все равно ниче толком не видно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1596] Автор : UR5ZVU Дата : 22.10.2017 02:19 Пока сам не проверишь, никто и не ответит толком.:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1597] Автор : Genadi Zawidowski Дата : 22.10.2017 03:35 На первый вопрос толком: нельзя. Второй (который для RPI) не понять, гадписей на шине не видать. Знатоки малинки могут сказать, что там за интерфейс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1598] Автор : UA7KJ Дата : 22.10.2017 08:13 Пока сам не проверишь, никто и не ответит толком Вот этот https://ru.aliexpress.com/item/1pcs-3-2-inch-18P-SPI-TFT-LCD-Screen-without-Touch-panel-ILI9341-Drive-IC-240/32819384322.html?spm=2114.13010708.0.0.89XlQB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1599] Автор : Love777888 Дата : 22.10.2017 09:24 Love777888, не совсем понято что ознфЙчает "все как надо", в любом случаи - из заводских приборов у меня есть только мультиметр и осциллограф, потому любые мои проверки вряд ли подходят под это определение. Я думаю, если у Вас стоит выбор между "Маламутом" и FT450 - возьмите лучше последний, я думаю там точно будет "все как надо" (не сарказм). Все таки это заводской промышленный аппарат, компании, которая работает на рынке много лет и имеет много опыта. "Маламут" - радиолюбительская конструкция, хобби и развлечение ( да и где-то раньше писал о причинах его разработки). Моя работа не связанна с трансиверами (с RF - вообще никак не связана), у меня нет необходимых приборов и опыта. "Маламут" - результат самообучения и желания что-то сделать, ну и чего скромничать, результат нужды... Стал бы себе ломать голову - если б мог позволить поставить на стол что-то подобное с панорамкой :smile:. Ну вы не обижайтесь пожалуйста, просто хотел услышать проводили ли вы qso хотя бы в этих режимах, я тут у себя на родине людей агитирую за ваши платки, ну вот просто не хотелось бы потом выглядеть сами знаете кем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1600] Автор : EU1SW Дата : 22.10.2017 09:43 Так а зачем вы агитируете людей в такой ситуации? ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1601] Автор : Love777888 Дата : 22.10.2017 11:01 Так а зачем вы агитируете людей в такой ситуации? ) Дык людям интересно, проект простой повторять можно, вот они в том числе и я интересуюсь. Просто у меня нет такого опыта в сдр(да вобще его нету, но паять умею) как у Евгения, что б конкретно по делу сказать: "Парни надо брать". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1602] Автор : R3DI Дата : 22.10.2017 15:32 Ну вы не обижайтесь пожалуйста, Да на что тут обижаться то !? :-P не хотелось бы потом выглядеть сами знаете кем. Вот тут да - говорить нужно только за то что сами пробовали, один скажет "супер!", другой "отстой!" -и кто из них прав? - да оба - каждому свое. проводили ли вы qso хотя бы в этих режимах только на контрольный приемник - но когда себя и здесь и там слышишь.... Может уже кто пробовал и подскажет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1603] Автор : Дмитрий_И Дата : 22.10.2017 16:09 Память 24LC256 будет работать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1604] Автор : R3DI Дата : 22.10.2017 19:11 Дмитрий_И, должна, возможно буде выставить/подобрать CPU Setup->EEP Time на запись (в коде нет проверки готовности, для FMки как-то не было необходимости) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1605] Автор : RX9UAO Дата : 23.10.2017 09:56 Вопрос к тем,кто собрал приемную часть:пожалуйста посмотрите на выход (выв.24 8U2).Интересует AC- DC. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1606] Автор : R3DI Дата : 23.10.2017 12:10 RX9UAO, еще проверьте прохождение циф. сигнала через резистор 8R10 - цифровые данные для ЦАПа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1607] Автор : UU5JPP Дата : 23.10.2017 12:12 Доброе время суток! Подскажите где ошибка конденсатор 7С2 по схеме нарисован плюсом к 1 выводу микросхемы 7U1A, а вот на фото у автора этот конденсатор минусом подключен к 1 выводу, как правильно нужно его при паять ? 273542273543 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1608] Автор : R3DI Дата : 23.10.2017 12:45 UU5JPP, собирайте по монтажным картам, там и в схемах правильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1609] Автор : UU5JPP Дата : 23.10.2017 12:55 Евгений, так правильно как на схеме или как у Вас на фото? если по монтажной то там не выделено где плюс, а где минус 273545 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1610] Автор : R6BK Дата : 23.10.2017 13:08 где плюс, а где минус Обозначил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1611] Автор : UU5JPP Дата : 23.10.2017 13:10 Спасибо! Вопрос просто был в том что я не понял либо опечатка в схеме или автор не правильно на печатную плату разместил, хотя может версия печатной платы другая и конденсатор правильно стоит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1612] Автор : Love777888 Дата : 23.10.2017 13:47 R3DI личку почистите, а то не отправляет письмо система. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1613] Автор : R3DI Дата : 23.10.2017 15:34 Для плат версии 1.3 схема с дополнениями 1.3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1614] Автор : Love777888 Дата : 23.10.2017 21:06 SN65LVDS34D и SN65LVDS048A в схеме вторая включена в работу, первая зачем там? Можно ставить вместо второй? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1615] Автор : R3DI Дата : 23.10.2017 21:10 Love777888, да, там и с УНЧ так и с DDS, на выбор, у меня 34я, если будете заказывать 48ю - внимательнее с корпусом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1616] Автор : avbelnn Дата : 23.10.2017 21:10 Как вариант можно и через USB DFU. Вывел кнопочку.....273592273593 https://shilov.pp.ua/mirrors/vg.ucoz.ru/publ/programmirovanie_mikrokontrollerov_stm32/stm32_programmirovanie_cherez_interfejs_usb/9-1-0-22.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1617] Автор : R3DI Дата : 23.10.2017 21:26 Вывел кнопочку..... Необходимо чтобы и В1 при включении в нуле был. А так в версии 4.3(софта) есть прграмно хардверный вход по кнопке M.Sel ( с дорабодкой до версии схемы по DFU до 1.3 -емкость, резистор заменить и ”замыку” поставить) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1618] Автор : avbelnn Дата : 23.10.2017 21:38 Необходимо чтобы и В1 при включении в нуле был. Он и будет в нуле(если в микрофон не кричать):-P,а вообще не плохо бы (для пользователя) описание ревизий прошивок(если бы не сказали,то и не знал бы про M.Sel).Потребует много времени,но может кто возьмётся? Замерил фильтра,на 10м подвинул верхний срез -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1619] Автор : R6GA Дата : 23.10.2017 22:30 Нашёл только такие https://ru.aliexpress.com/af/SN65LVDT34D.html?SearchText=SN65LVDT34D<ype=wholesale&d=y&tc=main&blanktest=0&initiative_id=SB_20171023104648&origin=n&catId=0&isViewCP=y с буквой s нету, по даташит вроде одинаковые, можно их применять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1620] Автор : ua3ycv Дата : 23.10.2017 22:32 парни кто распишет алгоритм записи прошивки в процессор-ну как бы для "начинающих"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1621] Автор : РУСИЧ Дата : 23.10.2017 22:34 TO R6GA https://ru.aliexpress.com/item/in-stock-can-pay-SC2595S-SG6846LSZ-SN65ALS176D-SN65LVDS34D-10pcs-lot/32805776266.html?spm=a2g0s.9042311.0.0.B3PLLw Вот посмотрите! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1622] Автор : UR7HBP Дата : 23.10.2017 22:38 ua3ycv (http://www.cqham.ru/forum/member.php?33740-ua3ycv) Каким вариантом собираетесь шить? Через SWD c помощью st-link v2 или с помощью преобразователя USB-to-TTL программа FlashLoader. А можно с помощью DFU/DfuSe чуть выше была ссылка. Вам три варианта на выбор. По мне так проще всего с помощью программатора st-link v2 - копеешная деталь, программа STM32 ST-LINK Utility с сайта STM. И ни каких кнопок/джамперов не надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1623] Автор : RA6ANR Дата : 23.10.2017 22:51 UR7HBP, первый раз можно прошить по swd,aпотом все же dfu лучше.автор достаточно активно занимается улучшением ПО аппарата и каждый раз снимать крышку для прошивки не очень удобно))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1624] Автор : ua3ycv Дата : 23.10.2017 22:57 UR7HBP,самое страшное когда есть "выбор"!но самое лучшее привязка к единому. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1625] Автор : Love777888 Дата : 24.10.2017 09:26 Вот эти SI подходят? Отзывы хорошие у них. https://ru.aliexpress.com/item/Free-shipping-5pcs-lot-si5351-si-5351-MSOP10/32756217541.html?spm=a2g0s.13010208.99999999.410.EYgQ1N Вопрос какие реле в трансивере применяются? Танталы конденсаторы на емкость 0.1 мкф? Какой типо-размер? 0603? 273607 Что собой представляет трансформатор 10TR2? Где брали его магнитопровод? На материнках? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1626] Автор : RD3Q Дата : 24.10.2017 09:41 Love777888, Всё это где, что и почем есть в этой теме! Ну прочитайте в конце концов всё один раз с самого начала!!! Даже не знаете, что такое танталовый конденсатор! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1627] Автор : Love777888 Дата : 24.10.2017 10:00 Так в том то и дело закладки пофигачил нечаянно. Но судя по размеру наверное таких маленьких размеров танталов нету. Просто больше всего в трансивере шунтирующих конденсаторов на 0.1 мкф. Вот и задаю вопросы. А вы прям рассердились с тремя!!! Нормально все, это мой первый опыт в сборке таких вещей. Время будет опять перечитаю страницы. А если у вас есть закладки то лучше скиньте ссылку. Спасибо. Вопрос насчет танталов отпал все найдено. Спасибо за внимание. Особенно RD3Q. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1628] Автор : R3DI Дата : 24.10.2017 11:27 Love777888, Вы тут особо близко к сердцу не принимайте все ;-).. 10тр2, буквально неделю назад заменил у себя на трансфлютор 1+1вит(без средней точки). Но это не означает что он должен быть точно таким же. Кто-то на кольцах делает кто-то от флекса ставит (не только про 10тр2). В BPF элементы 0805, остальное 0603 в основном. Посмотрите ВОМ фаил, он старый, номиналы какие-то поменялись, но корпуса остались те же. Планирую нормальный ВОМ для 1.3 сделать, вроде уже все стабильно становится, но опять -как найду время. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1629] Автор : UR7HBP Дата : 24.10.2017 11:33 Update mini-FAQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1630] Автор : R3DI Дата : 24.10.2017 16:06 режим со сдвигом фазы Вот японец делал такое http://ja2gqp.blogspot.com/2017/05/ http://tjlab.ikora.tv Попробовал сегодня этот код.. Наличие резисторов 10R13/17 позволили сделать это быстро, перекинув 2 провода с Сишки по ~3см на их место. По началу даже немного удивило, проверка на 7/28 МГц дала одинаковые (на вскидку по S метру) результаты с "обычным вариантом" (компенсация отключена). Думал, что хотя бы наличие проводков IQ с Сишки изменят картину, ан нет, даже зажимая их пальцем по очереди практически (0,5..1бал) не меняли картину по подавлению зеркального канала. Но, с кодом особо не разбирался ( с "магическими" адресами и значениями регистров) и есть неудобства - мин. частоты 3 МГц, мах. ~60 МГц и что самое главное при перестройки частоты идут "щелчки/рокот" из-за обновления тех самых регистров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1631] Автор : UU5JPP Дата : 24.10.2017 17:00 Подскажите в чем принципиальная разница в выборе SI-ки или AD-шки в качестве, кроме цены. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1632] Автор : R6BK Дата : 24.10.2017 17:12 Вариант в корпусе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1633] Автор : bars Дата : 24.10.2017 21:27 https://www.youtube.com/watch?v=XuUwDIgK-10 Просмотрел видео с Ютуба. У вас ограничение манёвра,это корпус. Неужели нельзя взять немного по шире корпус на 2-4 Сантиметра? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1634] Автор : ua3lnm Дата : 25.10.2017 00:56 Ну вот,запустил приемную часть . Подключил тангенту и как уже где то писали на форуме не работает кнопка (А) может кто подскажет в чём причина. При нажатии кнопки на выходе операционника 0 вольт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1635] Автор : Viktor76 Дата : 25.10.2017 01:28 Вы уже третий с этой проблемой. Я у себя вроде все перепроверил, но кнопка(А) не работает...Хотя на выходах м\с 13u1 и 13u2 - 0 и +3 вольта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1636] Автор : ua3lnm Дата : 25.10.2017 02:16 Да подтверждаю режимы в норме ,а кнопка ну ни как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1637] Автор : RX9UAO Дата : 25.10.2017 11:14 Где почитать про драйвер USB(Маламут)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1638] Автор : sever2k6 Дата : 25.10.2017 12:23 Вариант в корпусе А это какая диагональ у экрана? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1639] Автор : RA6ANR Дата : 25.10.2017 13:23 sever2k6, 2.8" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1640] Автор : R3DI Дата : 25.10.2017 17:45 ...вопрос по тангенте MH-48,не работают некоторые кнопки,предполагаю из за разброса номиналов резисторов в цепи.Не могли бы Вы выложить табличку со значениями в вольтах для кнопок на входах ADC3(PA3) и ADC4(PB1) ... В вольтах нет, а в ацп значениях вот #define ptt_avg 120 ptt_a = 287; ptt_b = 1537; if( ( ((ptt_a-ptt_avg) < PTT_SW1)&&(PTT_SW1 < (ptt_a+ptt_avg)) ) && ( ((ptt_b-ptt_avg) < PTT_SW2)&&(PTT_SW2 < (ptt_b+ptt_avg)) )) ptt_sw = PTT_UP; ptt_a = 287; ptt_b = 2500; if( ( ((ptt_a-ptt_avg) < PTT_SW1)&&(PTT_SW1 < (ptt_a+ptt_avg)) ) && ( ((ptt_b-ptt_avg) < PTT_SW2)&&(PTT_SW2 < (ptt_b+ptt_avg)) )) ptt_sw = PTT_DWN; //------------------------------------------------------- ptt_a = 3828; ptt_b = 140; if( ( ((ptt_a-ptt_avg) < PTT_SW1)&&(PTT_SW1 < (ptt_a+ptt_avg)) ) && ( ((ptt_b-ptt_avg) < PTT_SW2)&&(PTT_SW2 < (ptt_b+ptt_avg)) )){ ptt_sw = PTT_A; ... ptt_a = 3828; ptt_b = 1450; if( ( ((ptt_a-ptt_avg) < PTT_SW1)&&(PTT_SW1 < (ptt_a+ptt_avg)) ) && ( ((ptt_b-ptt_avg) < PTT_SW2)&&(PTT_SW2 < (ptt_b+ptt_avg)) )){ ptt_sw = PTT_B; .. } ptt_a = 3828; ptt_b = 2460; if( ( ((ptt_a-ptt_avg) < PTT_SW1)&&(PTT_SW1 < (ptt_a+ptt_avg)) ) && ( ((ptt_b-ptt_avg) < PTT_SW2)&&(PTT_SW2 < (ptt_b+ptt_avg)) )){ ptt_sw = PTT_C; .. } ptt_a = 3828; ptt_b = 3230; if( ( ((ptt_a-ptt_avg) < PTT_SW1)&&(PTT_SW1 < (ptt_a+ptt_avg)) ) && ( ((ptt_b-ptt_avg) < PTT_SW2)&&(PTT_SW2 < (ptt_b+ptt_avg)) )){ ptt_sw = PTT_D; код и adc.c - значения для кнопок не менялись , только добавилось что значение Uref из меню выставить можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1641] Автор : avbelnn Дата : 25.10.2017 19:55 ptt_b = 140; Т.е. нижний порог для кнопки "А" не от нуля,очередной сюрприз китайского производителя,установили перемычку вместо диода шотки 273694273695(падение~0.2 В) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1642] Автор : Viktor76 Дата : 25.10.2017 21:15 Кнопка заработала! В место перемычки запаял сопротивление 240 Ом ,первое что под руку попало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1643] Автор : Анатолий.Mel Дата : 25.10.2017 21:19 Виктор а остальные работают? А то у меня UP перестала работать. Но я в паял диод. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1644] Автор : Viktor76 Дата : 25.10.2017 22:06 Да, все кнопки работают! А то у меня UP перестала работать. Добавлено через 34 минут(ы): Вот схема моей тангенты. Диода нет.273705 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1645] Автор : UR4QBP Дата : 25.10.2017 22:10 ... 10тр2, буквально неделю назад заменил у себя на трансфлютор 1+1вит(без средней точки). Но это не означает что он должен быть точно таким же... В схеме счетчика Джонсона для которого нужен сдвиг 180 градусов можно избавиться от трансформатора 10Тр2. С этим отлично справляется SN65LVDS34 в таком включении. Я применил DS90LV028A как более доступный CMOS ресивер. Таким образом имеем сдвиг 90 градусов на выходе счетчика с частотой F/2. Проверено - работает! Схему прилагаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1646] Автор : РУСИЧ Дата : 25.10.2017 22:12 Продолжение!!!:oops: https://www.youtube.com/watch?v=DH6du7eUtgg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1647] Автор : ua3lnm Дата : 25.10.2017 22:16 У меня такая же тангента ,установил резистор на 220 ом и кнопочка ожила !!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1648] Автор : UU5JPP Дата : 25.10.2017 22:28 Подскажите какое количество витков нужно мотать в ДПФ, если смотреть из pdf файла что выше скинули, то индуктивность на порядок больше получается чем подписано в принципиальной схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1649] Автор : UR7HBP Дата : 25.10.2017 23:11 Делайте по авторской документации из первого сообщения. Кольца у всех разные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1650] Автор : ua3lnm Дата : 25.10.2017 23:23 Что я делаю не так ? при подаче питания на трансивер,высвечивается вот так (фото прилагаю) и только после нажатия 1-вой кнопки он стартует. В программировании не силён. что надо поправить?273710 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1651] Автор : stari4ok Дата : 25.10.2017 23:24 справляется SN65LVDS34 в таком включенииПлату перепиливать?Или... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1652] Автор : R3DI Дата : 26.10.2017 00:18 ua3lnm, какая микросхема памяти установлена? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1653] Автор : ua3lnm Дата : 26.10.2017 00:20 24c64 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1654] Автор : R3DI Дата : 26.10.2017 00:47 24c64 Не помню ( посмотрю только завтра ), оставил ли в коде постраничную запись для таких мс, но ее (24c64) не желательно использовать, - она 5ти вольтовая, c ограниченным циклом перезаписи и менее скоростная. Нужна мс FM24CL64. Попробуйте установить EepTime 10 ms - но это временное решение (если поможет) и все же необходимо установить FM24CL64. Добавлено через 5 минут(ы): Плату перепиливать?Или... Зачем? Для такого включения (#1645 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1454882&viewfull=1#post1454882)) достаточно соответствующие перемычки на Тр установить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1655] Автор : stari4ok Дата : 26.10.2017 08:00 перемычки на Тр установитьРаспиновка разная или очепятка?273717273718 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1656] Автор : RV3DLX Дата : 26.10.2017 08:30 Распиновка разная или очепятка? А в Datasheet заглянуть не судьба?:-P:-P Разная, конечно! Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1657] Автор : UR4QBP Дата : 26.10.2017 13:38 Распиновка разная или очепятка?273717273718 Поправил схему включения. Так что резать ничего не придется. Спасибо что обратили внимание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1658] Автор : Love777888 Дата : 26.10.2017 14:12 Скажите пожалуйста MCP6001T подойдет вместо MCP6001UT? Компаную заказ на али. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1659] Автор : RD3Q Дата : 26.10.2017 14:21 Love777888, Нет, нужна MCP6001UT! Даташит http://www.microchip.com/wwwproducts/en/MCP6001 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1660] Автор : denska Дата : 26.10.2017 14:21 Скажите пожалуйста MCP6001T подойдет вместо MCP6001UT? Компаную заказ на али. Где то на форуме уже было !смотрите дашит , бывают с разными назначениями ног вход -выход -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1661] Автор : UR7HBP Дата : 26.10.2017 14:24 Где то на форуме уже было !смотрите дашит http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1401111&viewfull=1#post1401111 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1662] Автор : Viktor76 Дата : 26.10.2017 15:00 MCP6001T подойдет вместо MCP6001UT?Так же смотрите диоды ВАТ54С. Мне прислали c буквой S.https://www.diodes.com/assets/Datasheets/ds11005.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1663] Автор : RK3AQW Дата : 26.10.2017 15:52 Love777888, Нет, нужна MCP6001UT! Даташит http://www.microchip.com/wwwproducts/en/MCP6001 MCP6001UT на али нет((:-( точнее 50 шт только и то раньше не было,когда заказывал,заказал MCP6001T-I/OT,кто в Москве заказывать будет,готов поучавствовать 50 шт многовато будет) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1664] Автор : RD3Q Дата : 26.10.2017 15:56 MCP6001UT на али нет((:-( Есть в Чип и Дип!https://www.chipdip.ru/search?searchtext=MCP6001UT-I%2FOT%2C+%D0%9E%D0%BF%D0%B5%D1%80%D0%B0%D1%86%D0%B8%D0%BE%D0%BD%D0%BD%D1%8B%D0%B9+%D1%83%D1%81%D0%B8%D0%BB%D0%B8%D1%82%D0%B5%D0%BB%D1%8C%2C+%D0%9E%D0%B4%D0%B8%D0%BD%D0%BE%D1%87%D0%BD%D1%8B%D0%B9%2C+1+%D0%A3%D1%81%D0%B8%D0%BB%D0%B8%D1%82%D0%B5%D0%BB%D1%8C%2C+1+%D0%9C%D0%93%D1%86%2C+0.6+%D0%92%2F%D0%BC%D0%BA%D1%81 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1665] Автор : Love777888 Дата : 26.10.2017 16:22 MCP6001UT на али нет((:-( точнее 50 шт только и то раньше не было,когда заказывал,заказал MCP6001T-I/OT,кто в Москве заказывать будет,готов поучавствовать 50 шт многовато будет) Вот это засада с буквой т полно добра с UT только 50шт. Колхозить просто не хочется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1666] Автор : EW2MS Mikhail Дата : 26.10.2017 16:28 Так напишите китайцу, может он Вам сможет меньшее количество продать. Когда я покупал продавали 100шт. Купил 10 шт. за 5 трампов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1667] Автор : R6BK Дата : 26.10.2017 16:34 за 5 трампов :-P это новые валюто-динары или юани? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1668] Автор : Love777888 Дата : 26.10.2017 17:13 FST3253 какой корпус QSOP16 или soic? Если есть ссылка на микры с али, скиньте плиз, чтоб лишних разговоров не вести. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1669] Автор : R3DI Дата : 26.10.2017 17:29 Забрал сегодня с почты LM321, брал на пробу замены MCP6001UT, они пин-ту-пин:smile: , но не рэйл-ту-рейл:-(. Вот установил к "тангенте" и посмотрел - дроп ~1.2В (ну как и ожидалось). Потом поднял у них ноги питания и подключил к 5В - и все заработало как нужно. (Если вдруг вопрос - а почему сразу не их - ответ - что на работе есть, из того и делалось). Но как вариант - можно и их "приколхозить"... 273749 Так же для этого опыта добавил в прошивку возможность отображения значений АЦП кнопок тангенты в "Disp Info". 273750 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1670] Автор : Love777888 Дата : 26.10.2017 17:39 Евгений FST3253 у вас какой корпус? А то в Exel файле напротив корпуса SOG.65M/16/WG8.20/L6.35. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1671] Автор : R3DI Дата : 26.10.2017 17:47 FST3253 у вас какой корпус? TSSOP заказывал. SOG.65M/16/WG8.20/L6.35 - шаг/кол.конт/ширина/длина(внеш. границы) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1672] Автор : ua3lnm Дата : 26.10.2017 19:38 Не помню ( посмотрю только завтра ), оставил ли в коде постраничную запись для таких мс, но ее (24c64) не желательно использовать, - она 5ти вольтовая, c ограниченным циклом перезаписи и менее скоростная. Нужна мс FM24CL64. Попробуйте установить EepTime 10 ms - но это временное решение (если поможет) и все же необходимо установить FM24CL64 Попробовал установить 10ms остаётся одна строчка. Когда установил 5ms то стал включаться нормально. Видимо надо ставить 24cl64. Спасибо Евгений ! найду микросхему отпишусь. какая-то она редкая ,ни везде в продаже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1673] Автор : R3DI Дата : 26.10.2017 19:51 ua3lnm, и именно FM... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1674] Автор : Genadi Zawidowski Дата : 26.10.2017 21:02 Кто-нибудь может выложить фото украинского клона маламута в варианта е только приемник? Хочется взглянуть на плату... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1675] Автор : UT4UCM Дата : 26.10.2017 21:13 Кто-нибудь может выложить фото украинского клона маламута Ув. Геннадий, а где вообще есть какая-то информация по этому "клону"? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1676] Автор : Integral Дата : 26.10.2017 21:36 Кто-нибудь может выложить фото украинского клона маламута в варианта е только приемник? Это наверно вариант (http://ur4qbp.ucoz.ua/forum/5-230-1) Александра UR4QBP. Там и фото (http://ur4qbp.ucoz.ua/SDR_TRX/SDR_TRX_FOTO.rar) есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1677] Автор : UT8IFM Дата : 26.10.2017 21:37 UT4UCM, Обратитесь к UR4QBP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1678] Автор : UR4QBP Дата : 26.10.2017 21:39 Это наверно вариант (http://ur4qbp.ucoz.ua/forum/5-230-1) Александра UR4QBP. Там и фото (http://ur4qbp.ucoz.ua/SDR_TRX/SDR_TRX_FOTO.rar) есть. Нет, это не я приемник делал. Это к Василию UR5YFV http://ur5yfv.com.ua/index.php/stati/sdr-konstruktsii/156-avtonomnyj-sdr-priemnik-malamut.html. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1679] Автор : Love777888 Дата : 26.10.2017 21:43 По теме 6001ut, вел переписку с китайцем, он готов продать 10шт, за 5$, если это актуально, то пишите ему он согласен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1680] Автор : ua3lnm Дата : 26.10.2017 22:09 По теме 6001ut, вел переписку с китайцем, он готов продать 10шт, за 5$, если это актуально, то пишите ему он согласен. Посмотрите в Электронщике. Я брал там по 15р и ещё конденсаторы танталовые. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1681] Автор : RK3AQW Дата : 26.10.2017 22:16 Попробовал установить 10ms остаётся одна строчка. Когда установил 5ms то стал включаться нормально. Видимо надо ставить 24cl64. Спасибо Евгений ! найду микросхему отпишусь. какая-то она редкая ,ни везде в продаже. https://ru.aliexpress.com/item/FM24CL64B-GTR-FM24CL64BG-FM24CL64-64-Kbit-8-K-times-8/32822241108.html?spm=a2g0s.13010208.99999999.325.v4w9g7 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1682] Автор : ur5yfv Дата : 26.10.2017 22:34 Кто-нибудь может выложить фото украинского клона маламута в варианта е только приемник? Хочется взглянуть на плату... Геннадий, теперь уже в корпусе. Корпус N11AW - 1,5$. "Передняя панель" распечатана и за ламинирована. Другое на гуглдиске (https://drive.google.com/file/d/0B2F3dfN081F9czJMT0VmWTBuWmM/view?usp=sharing). 273771273772 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1683] Автор : Genadi Zawidowski Дата : 26.10.2017 22:52 Понятно, что за кроха. Хотя мне тут прислали на вариант с фильтрами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1684] Автор : Анатолий.Mel Дата : 27.10.2017 14:34 Евгений здравствуйте. У меня с прошивкой 4.6 почему-то не отображается водопад. S-метр при этом работает, сигнал идёт. Может это только у меня. Пришлось откатиться на 4.5. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1685] Автор : R3DI Дата : 27.10.2017 17:10 У меня с прошивкой 4.6 почему-то не отображается водопад :-P Сам вчера дома удивлялся долго - на работе был а дома нет, сегодня код посмотрел и вспомнил почему.. В меню SEL (кнопок) выберите FIL- FIL+ Lхх Hхх - нажмите кнопку D ( HXX ) - это вкл/выкл расчет спектра, делал для теста и замера ее прожорливости DSP... ну и сам забыл. ( а это бит был задействован для выбора режима rtty(пока отдельного поля небыло) - вот у кого где остался так и ... ). Все же на скорую руку, в версии 4.7 уберу эту штуку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1686] Автор : R6GA Дата : 27.10.2017 20:25 Заказал здесь https://www.ebay.com/itm/10pcs-New-Plates-MCP6001UT-I-OT-MCP6001-SOT23-5-AF4H/253104959731?ssPageName=STRK%3AMEBIDX%3AIT&_trksid=p2057872.m2749.l2649 ,посмотрим что приедет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1687] Автор : РУСИЧ Дата : 27.10.2017 20:36 А почему на Али не захотели??? https://ru.aliexpress.com/item/10pcs-lot-MCP6001UT-I-OT-SOT-23-5/32787364479.html?spm=2114.13010708.0.0.m90TG1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1688] Автор : R6GA Дата : 27.10.2017 21:23 Почему то я их там ненашёл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1689] Автор : us8igt Дата : 27.10.2017 21:38 В режиме передачи не снимается питание с преампа на era3.У всех так ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1690] Автор : RD3Q Дата : 27.10.2017 21:53 В режиме передачи не снимается питание с преампа на era3.У всех так ? У меня не так! http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1434821&viewfull=1#post1434821 читайте! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1691] Автор : ur5yfv Дата : 28.10.2017 14:30 Люблю, когда выходные и соревнования ! Можно про тестировать работу на ВЧ. :super: PRE не включал. Нужно еще подобрать режим и проделать описанные рекомендации. https://www.youtube.com/watch?v=R7xs5pYcVKI https://www.youtube.com/watch?v=sDcClPh7Vu4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1692] Автор : ua3ycv Дата : 28.10.2017 14:55 Нужно еще подобрать режим и проделать описанные рекомендациивсё может быть-но шикарно!на 28 мы слушали эту-же станцию только на m0nka -отличие в том-что на Маламуте эфир-живой-или как бы сказать-тактильный что ли-и вот за это хочется иметь его на столе! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1693] Автор : us8igt Дата : 28.10.2017 22:11 Я имел в виду в режиме передачи при включенном preamp процессор оставляет питание на era-3.По правильному,наверно,снимать напряжение с преампа при передаче. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1694] Автор : UR4QBP Дата : 29.10.2017 10:30 ...Для версий плат ниже 1.3 также можно сделать управляемую подсветку - добавить p-канальный транзистор и 2а резистора, управление взять с переходного отверстия SPI2_NSS pin96 второго, не устанавливаемого, процессора... Сделал управление подсветкой. Все работает отлично, но! Из-за регулировки яркости ШИМ-ом появилась помеха в виде "забора", более видна и слышна на ВЧ диапазонах. Итог: разобрал и установил на место токоограничивающий резистор подсветки дисплея. Еще неплохо бы в код добавить обработчик подавления дребезга кнопок. У вас в коде опрос кнопок по таймеру 3 с периодом 1мс. Можно добавить простенький обработчик. KeyCount++; if( KeyCount>20 ){//Timer for PressKey 20ms for( int i=0; i 2048 ? ) на нем ( может где и написано в даташит :oops:) и кодек ТХ находился в павердаун до первой инициализации DMA с MCLK (режим ТХ ) после которого было +30 мА, ну и в RX конечна так и оставался. В виду некоторых особенностей алгоритма кода в Маламуте пришлось программно симулировать клоки MCLK после установки бита пониженного энергопотребления кодека ( DMA кодека ТХ в режиме RX остановлен ), процедура занимает ~525 uS .... -30 мА. Еще попробовал применить синхронное АМ детектирование, очень понравилась работа детектора от DttSP, от "других товарищей" не понравился ( но оставил) - после децимации ограничение 6 кГц да и DSP загружает сильно. Ну и конечна удобная возможность подстроить частоту опорника по SAM детектору. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1764] Автор : stari4ok Дата : 09.11.2017 18:47 Попробовал гарнитуру сегодня.Не отрабатывает кнопка "А",напряжения на выходах 6001 меняются.Остальные работают.Где копать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1765] Автор : ua3lnm Дата : 09.11.2017 18:58 Читать внимательнее форум , а поточнее 164 стр и далее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1766] Автор : RD3Q Дата : 10.11.2017 06:40 stari4ok, Александр я поставил 1кОм на место перемычки и все заработало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1767] Автор : R3DI Дата : 10.11.2017 14:33 Поступили платы v1.31 ( 1.3 + вынесены разъемы джек и усб ), по вопросам в л/с. (Если кто не успеет,- не переживайте, будут заказаны еще) 274644 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1768] Автор : stari4ok Дата : 10.11.2017 16:09 Траурная ситуёвина,однако,загнулся проц.,почти коротыш по питалову,что-то ок. 5-7ом по всем шинам.Помянем,конечно-же,но хотелось бы знать с чего бы это.Подключено было дисплей и гарнитура,боле ничо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1769] Автор : R3DI Дата : 10.11.2017 16:40 ...хотелось бы знать с чего бы это По работе много с STMками приходится дело иметь, такое у них если GPIO который на выход настроен коротнуть ( то ли при '1'- на землю, то ли при '0'- на '+', не помню ), у тангенты все входы... может и статика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1770] Автор : stari4ok Дата : 10.11.2017 17:11 GPIO который на выход настроенВ нашем случае это где? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1771] Автор : UR7HBP Дата : 10.11.2017 17:28 Интерфейс ввода/вывода общего назначения (англ. general-purpose input/output, GPIO), наши порты ввода-вывода на STM32 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1772] Автор : R3DI Дата : 10.11.2017 17:29 В нашем случае это где? Это почти все, кроме кнопок, ацп и вх. линий интерфейсов( они на вход), но это не показатель... если это статика - то и через них все могло произойти -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1773] Автор : stari4ok Дата : 10.11.2017 18:33 Ясно.Ну статика вряд ли,ничего не подключал,кроме того что озвучил,значит-судьба... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1774] Автор : Love777888 Дата : 10.11.2017 21:28 Евгений, что планируете прикрутить маламуту в плане функций в будущем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1775] Автор : RD3Q Дата : 11.11.2017 08:39 Love777888, а что еще нужно прикрутить к " этой маленькой коробочке"? С Вашей точки зрения? Трансивер задумывался как бюджетный походный вариант. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1776] Автор : stari4ok Дата : 11.11.2017 08:52 а что еще нужно прикрутитьCW не лишне -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1777] Автор : RD3Q Дата : 11.11.2017 09:00 CW не лишне А что с CW не так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1778] Автор : stari4ok Дата : 11.11.2017 09:49 А оно присутствует?Был разговор что это на перспективу.Или я чо проспал? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1779] Автор : RD3Q Дата : 11.11.2017 09:52 А оно присутствует?Был разговор что это на перспективу.Или я чо проспал? Как всё запущено.....:cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1780] Автор : Гарий Дата : 11.11.2017 12:49 Ещё было бы хорошо управление внешним или внутренним тюнером прикрутить! Гари -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1781] Автор : UR7HBP Дата : 11.11.2017 13:11 Я так думаю в планах есть: Для чего разъем 11J6? I2c -на всякий случай, например встроенный QRPтюнер подключить Обновил miniFAQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1782] Автор : R3DI Дата : 11.11.2017 14:15 А оно присутствует?Был разговор что это на перспективу Трансивер работает в режимах AM/FM/SSB/CW(имеет встроенный электронный ключ)Обновлено автором 24.09.17 Присутствует и первый пост поправлен. Добавлено через 19 минут(ы): Love777888, а что еще нужно прикрутить к " этой маленькой коробочке"? С Вашей точки зрения? Присоединяюсь к вопросу, основное вроде все есть, может нужно что то "допилить" ( но тут не полное понимание процесса (работы программ со стороны РС), например USB IQ TX, потому как не пользовался таким модом ) а так он сполна имеет изначально задуманные функции (SSB rtx ))) ). Цифр.моды - с ними лучше справится уже любой смартфон (в поле ), есть программы rx tx, а встраивать - для такого энтузиазма нужно иметь очень большое желание и довольно много времени ( а с этим туго). В общем это не означает что больше ничего не будет... кто знает что в голову придет )))). Сейчас вот меня CW декодер заинтересовал..... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1783] Автор : Sergey_Lapin Дата : 11.11.2017 15:05 R3DI Сейчас вот меня CW декодер заинтересовал..... Хорошая мысль. Было бы здорова реализовать его. И спасибо Вам за труды Ваши! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1784] Автор : Viktor76 Дата : 11.11.2017 15:37 Евгений, реально ли добавить фильтр SSB дляTX? Не зависимый от RX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1785] Автор : RW6MQ Дата : 11.11.2017 15:54 Всем доброго времени суток! При сборке-запуске Маламута возникла проблема с запуском DDS на AD9951 (54). Версия платы 1.2, версия прошивки 4.5.
КГ- 39мгц (пробовал 16-так же без результатно). ADешка выдает частоты только на диапазоне 18-14мгц, причём частоты 2-6мгц, со срывом по краям. Проверил и прозвонил каждую ножку ADешки-всё согласно схеме. Менял генераторы - 25, 39, 48 мгц, частоты приходят в DDS-вижу уровень и форму осцилографом.
Пробовал старые прошивки v2.0 и V2.5 - без результатно. На выходе DDS удаётся получить "левые" частоты только на диапазоне 14-21мгц, при множителе=1. Частота на выходе от 4-9мгц и срыв, если ухожу за пределы. В общем беда... не знаю где копать - ибо всё уже перекопано и проверено...с платкой на SI5351 - всё запускается и работает.
STM менял, AD - менял, в другом синтезаторе работает как надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1786] Автор : R6BK Дата : 11.11.2017 16:28 возникла проблема На самой первой версии плат, проблем с DDS не возникло. На этих, не испытывал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1787] Автор : R3DI Дата : 11.11.2017 16:36 проблема с запуском DDS на AD9951 (54) Приветствую, а где замер производите? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1788] Автор : RW6MQ Дата : 11.11.2017 16:39 На 10TR1, перед ФНЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1789] Автор : R3DI Дата : 11.11.2017 16:54 почему спросил - наличие 10R10 10R14 смущает.... хотя у меня 034я установлена, для 048й не помню нужны ли. хотя на На 10TR1, перед ФНЧ там все равно. В версиях плат для DDS только земляное отверстие корректировалось, основная разводка не изменялась. Включил в версии прошивки 4.7 - все генерит везде как нужно(но у меня 9951) ( да и для DDS там тоже не менялось в коде).В 54й регистров больше, может их инициализация нужна.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1790] Автор : RW6MQ Дата : 11.11.2017 17:06 В корректности разводки сомнений нет - всё прозвонил, пин-ту-пин - всё чётко, ad9951 ставил изначально, затем на 9954 поменял - ведёт себя аналогично. А версию 4.7 еще не выкладывали? На форуме не нашёл... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1791] Автор : ialexs Дата : 11.11.2017 17:42 У меня V1.2 АД 9954 все работает по частотам правильно генератор 40 мулт 8 , генератор 16 мулт 20 , короче 320 Мгц. Проверял на обоих генераторах. Что не совсем устраивает, это много пораженных точек ,в причине пока не разобрался. Хотелось бы увидеть на сишке перестройку по диапазону без антенны на 80ке или 40ке для сравнения. V4.3 Добавлено через 36 минут(ы): Забыл вложение прикрепить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1792] Автор : Love777888 Дата : 11.11.2017 23:34 Добрый вечер или ночи, как кому. Евгений скажите пожалуйста, а где можно почитать о меню настроек трансивера и что эти настройки означают, я понимаю есть опытные радиолюбители которые интуитивно понимают каждый пункт меню, но я например… это вообще мой первый сдр трансивер, и хотелось бы понимать, что "крутить" и что от этого "крутить" ожидать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1793] Автор : R3DI Дата : 11.11.2017 23:56 а где можно почитать о меню настроек трансивера пока нигде, ... нужно буде хотя бы по "пару слов" о строках меню описание сделать наверное.... так же и по программирование процессора. (а пока этого нет - спрашивайте тут - подскажу ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1794] Автор : RX9UAO Дата : 12.11.2017 07:31 ialexs,Это у вас так выглядит шумовая дорожка без антенны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1795] Автор : ialexs Дата : 12.11.2017 08:46 Это 40ная AGW 3 метра от уровня земли. Без антенны вот так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1796] Автор : ua3ycv Дата : 12.11.2017 08:53 (а пока этого нет - спрашивайте тут - подскажу )Евгений по мере "освоения" трансивера-вопросы будут одни и те же!так как осилить 180 страниц уже становится тяжко.или сделать "видио-фак" и привинтить на титульный лист. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1797] Автор : RX9UAO Дата : 12.11.2017 10:22 ialexs,А что за палочки-слева справа? У меня таких вроде нет.274775274776274777Применяю SI5351.В меню почти ни чего не делал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1798] Автор : ialexs Дата : 12.11.2017 14:40 Думаю ,что связано с AD 99... , когда меняю генератор на 16 Мгц они тоже есть, но на другой частоте, при подключение щупа осциллографа к одному выходу ддс амплитуда увеличивается , а если ко второму уменьшается, ддс менял с 54 на 51 ,все одинаково. Частота этой спуры 7111 Мгц при частоте генератора 40 Мгц ,при изменении частоты настройки эти *палки* разбегаются относительно частоты настройки. Добавлено через 30 минут(ы): ддс 9951 комп sn65lvds9637 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1799] Автор : R3DI Дата : 12.11.2017 16:39 RX9UAO, Корпус класс!!!:super: Планируете переднюю панель как ? ( для "поделок" в канц.товарах брал прозрачную пленку для принтеров, печатал негатив (зависит как нарисовано) и на тонкий белый 2х сторонний скотч клеил, получалось не плохо). ialexs, а палки постоянно на одном месте и не зависят от частоты настройки ? при изменении частоты настройки эти *палки* разбегаются относительно частоты настройки. не внимательно прочитал, но какие то довольно большие они..... по моему опыту мне показалось что с Si5351 их количество меньше и уровень их тоже меньше Добавлено через 47 минут(ы): У меня V1.2 АД 9954 ialexs, но на фото в #1798 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1460343&viewfull=1#post1460343) у Вас 51я, 54ю пробовали во всем диапазоне частот ? Сегодня ко мне обратился еще один человек с той же проблемой что и у RX9UAO (http://www.cqham.ru/forum/member.php?4736-RX9UAO) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1800] Автор : RX9UAO Дата : 12.11.2017 16:54 R3DI Евгений пока пилю фальшпанель,она тоже из тонкой дюральки.Как оформить,пока неопределился.Нужно переделать заднию стенку,нашел подходящий радиатор(дохлый Booster 747).И еще. Извините,"очередная хотелка"-можно ввести функцию "LOCK" для валкодера,а то уж больно он нежненький,если в авто,274799274800 да на ходу-частоту не удержать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1801] Автор : ialexs Дата : 12.11.2017 16:59 Да, на всех диапазонах 54 работала без нареканий. Единственно когда забывал включать делитель на щупе при подключении к выходу ддс она подвисала , хотя 51 ведет себя так же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1802] Автор : r6yr Дата : 12.11.2017 17:16 В общем беда... не знаю где копать - ибо всё уже перекопано и проверено...с платкой на SI5351 - всё запускается и работает.
STM менял, AD - менял, в другом синтезаторе работает как надо. Аналогичная проблема. AD9954. Генератор 25Мгц. У меня V1.2 АД 9954 все работает по частотам правильно Можете пояснить по установке AD9954, может есть специфичные моменты? У меня например не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1803] Автор : RD3Q Дата : 12.11.2017 17:32 "очередная хотелка"-можно ввести функцию "LOCK" для валкодера,а то уж больно он нежненький,! В последних прошивках уже есть!Посмотрите выше Евгений выкладывал! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1804] Автор : ialexs Дата : 12.11.2017 18:10 Первое, как я понял, частота ддс у автора выбрана 320 Мгц , поэтому генератор нужно подбирать чтобы множитель был целым числом , в меню только целые числа, частота умноженная на множитель даст число 320. Второе, уровень ддс ниже сишки, поэтому мой компаратор работал только с подтяжкой ,взятой с делителя. ДДС покупал у дилера ,давно правда, может и это как то влияет На данный момент сдул ддс поставил сишку , подтяжку компаратора пришлось убрать, частота стала стабильной. Уровень палок упал ,фактически на с-метре 0 не дотягивают до единицы. Буду делать плату для сишки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1805] Автор : R3DI Дата : 12.11.2017 23:53 как я понял, частота ддс у автора выбрана 320 Мгц Нету там такой привязки ( это у меня такой генератор был на 16 мГц, вот в меню такие и начальные установки ), частота задается через меню MCLK x MUL .... init SdrStr.SdrSetup.koeff_DDS = (float)(SdrStr.SdrSetup.DDS_mck[SdrStr.SdrSetup.DDS_type]*SdrStr.SdrSetup.DDS_mul[SdrStr.SdrSetup.DDS_type]) / 4294967295.0; ..... void ad995x_freq( unsigned long freq ){ unsigned long temp=(unsigned long)(( double)freq/SdrStr.SdrSetup.koeff_DDS); AD995x_write( ad9951_FTW0, (unsigned char*)&temp, 4 ); } -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1806] Автор : R3DI Дата : 13.11.2017 00:54 r6yr,RX9UAO, а с множителем 1 как ведет себя ддс 54я? Только проверьте не по диапазонам трансивера, а по диапазону ддс 0..0.5 (мГц генератора), частота на вых. ддс должна быть в 2 раза больше той что на дисплее.( например для генератора 25мГц вых ддс 0..12мГц, на дисплее 0..6мГц) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1807] Автор : ialexs Дата : 13.11.2017 09:08 Я к сожалению не силен в программировании , глянул в меню для ддс 995.. 16 Мгц множитель 20 , по аналогии для 40 поставил 8 , на выходе ддс получил частоту в 2 раза выше, после деления на смесителе частота приема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1808] Автор : R3DI Дата : 13.11.2017 09:54 r6yr,RX9UAO, а с множителем 1 как ведет себя ддс 54я? Упс, извиняюсь , не к RX9UAO, а к RW6MQ ​вопрос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1809] Автор : RW6MQ Дата : 13.11.2017 11:08 r6yr,RX9UAO, а с множителем 1 как ведет себя ддс 54я? Только проверьте не по диапазонам трансивера, а по диапазону ддс 0..0.5 (мГц генератора), частота на вых. ддс должна быть в 2 раза больше той что на дисплее.( например для генератора 25мГц вых ддс 0..12мГц, на дисплее 0..6мГц) С множителем = 1 DDS хоть как-то запускается, с показаниями на дисплее и выходной частотой логики никакой нет. К примеру показания на дисплее 14 мгц, а на выехе ДДС - 4мгц, причём ддс даёт частоты только от 2-9 мгц, меньше или больше срыв, показания на дисплее от 11-18мгц, множитель =1, другие значения множителя ДДС вообще не работает. Сейчас подкинул платку на сишке - завелось сразу и трансивер заработал полностью и как надо. Возможно моя ДДС 9951 и 9954 имеют какие-то производственные особенности что-ли :crazy: , в другой конструкции они заработали.... тут перепроверил всё, что в голову пришло, поэтому уже на форум написал - мыслей нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1810] Автор : R3DI Дата : 13.11.2017 14:44 AD - менял, в другом синтезаторе работает как надо. :???: нашел у себя какоето ... что то..., ну там 54я оказалась, и там она работала, а в Маламут поставил и вообще тишина, посмотрел исходники - еще два регистра там обновляю, чуток "причешу" и скину прошивку Добавлено через 44 минут(ы): 4.7 - Поправил для работы AD9954 - Павердаун кодека ТХ в РХ режиме - Упр ТХ реле в майн- после установки SPLIT режима - В меню возможность отклю WSinc на спектр - AGC oFF - полное усиление (RX GAIN) - AGC ограничитель в меню - SAM2(PLL)демодулятор - Chebyshev Lowpass на SAM1 - SAM1 демодулятор - добавил САТ команду FT817_EEPROM_READ - подсветка дисплея выбор режима 122/105к - режим очистки FRAM - ON+(C+D)key 274853 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1811] Автор : R3DI Дата : 13.11.2017 15:41 Немного описания меню. Таки поля как "MIC Gain" и тому подобное не стал разъяснять, если чего добавить - говорите . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1812] Автор : RW6MQ Дата : 13.11.2017 17:27 Спешу сообщить, что AD9954 с новой прошивкой заработала :super: Все частоты как надо. СПАСИБО! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1813] Автор : Sergey_Lapin Дата : 13.11.2017 19:29 R3DI, А на платы V1.31 есть монтажка (фаил)? Я так понимаю там Si5351 уже на плате присутствует. Да может еще что изменилось. Хотя по схеме можно было бы просмотреть что куда паять, при сборке. Но с монтажкой, как то подручнее. Если она есть конечно. За ранее благодарен за ответ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1814] Автор : RK3AQW Дата : 13.11.2017 20:40 Брали - работают нормально, на счет выступов не разглядывал, впаял выставил ток покоя - 10 Вт отдают! Можно и больше! Вчера раскачал до 60-65 Ватт:crazy:)пару,транзисторы просто не убиваемые,но палки сыпят, далее причесал все более менее,при 40 Ваттах получилось подавление порядка 22 дБ на 2-й гармошке,ну в общем до 21 МГц порядка 40ватт на 28 -около 25 ватт получилось,при этом после 14 мГц палки 2-й гармошки даже не видно(хотя может показометр такой),драйвер на BRF93a+OPA2674,питание 27 вольт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1815] Автор : R3DI Дата : 13.11.2017 22:14 А на платы V1.31 есть монтажка (фаил)? еще нет, все никак не доберусь ( все на своих местах с ранними версиями, только добавления ). Вчера раскачал до 60-65 Ватт... ...все более менее,при 40 Ваттах получилось подавление порядка Интересно, а ИМД не замеряли, как с линейностью на проверке с двух-тоновым ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1816] Автор : ialexs Дата : 13.11.2017 22:41 Имеется самодельный сдр 1000 ,сан сдр2, ну и конечно маламут .При питании 15 вольт честных 16 ватт RD15+ bfg135 по осциллографу и 19 по показометру, 30дб 2 гармоника, 40 несущая до 60 боковая после программной коррекции на эквивалент 50 ом. Несущая и вторая боковая по панораме сдр2 . Форсировать нужды нет , есть помощник Гу 91. Автору спасибо за достойное радио. На рассмотрение автора при включенном тональнике есть возможность переключать диапазоны, после ухода с любительских диапазонов BFG135 приказали долго жить. Мое мнение нужно подкорректировать программу, чтобы тональник можно включить только после перехода на другой любительский диапазон. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1817] Автор : RD3Q Дата : 13.11.2017 23:12 драйвер на BRF93a+OPA2674,питание 27 вольт Где в авторской схеме эти элементы? Это всё из другой песни! Ни чего удивительного!:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1818] Автор : UR7HBP Дата : 13.11.2017 23:31 Это всё из другой песни! Проблема в том что при передаче с двухтональнком можно переключить диапазон, и на реальной антенне высокий ксв может отправить выходные транзисторы к праотцам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1819] Автор : R6BK Дата : 13.11.2017 23:49 можно переключить диапазон В режиме TX сделать запрет на изменение режимов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1820] Автор : UR4QBP Дата : 14.11.2017 00:15 В режиме TX сделать запрет на изменение режимов. И еще "по-уму" в режиме передачи должна блокироваться частота, то есть чтобы нельзя было в режиме ТХ крутить частоту валкодером. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1821] Автор : R3DI Дата : 14.11.2017 00:31 Сообщение от R6BK В режиме TX сделать запрет на изменение режимов. И еще "по-уму" в режиме передачи должна блокироваться частота, то есть чтобы нельзя было в режиме ТХ крутить частоту валкодером. Да сразу прошивку закрыть на передачу, открывать по уникальному Id после проверки свидетельства СЕРТ на сайте с привязкой к процессору :crazy: а то мало ли кто .... Здесь точно можно опубликовывать подобные конструкции ? ( из пром. у меня только FT817 - видимо там не все "по-уму") :-PНе ну в самом деле.... Какую нить "затыкалку" при КСВ> 5 куда не шло ( но что сработает раньше - программное накопление ацп и отработка занижения уровня или выход волшебного дыма с бфг135го), но с остальным мне кажется перебор... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1822] Автор : UR4QBP Дата : 14.11.2017 00:50 из пром. у меня только FT817 - видимо там не все "по-уму" Видимо да. У меня вообще не было ни одного промышленного трансивера, но это не значит что надо на них равняться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1823] Автор : R3DI Дата : 14.11.2017 01:25 но это не значит что надо на них равняться. да где ж равнение ? Наоборот - свобода действий. Как писал ранее, у меня этот трансивер для экспериментов, мне и диапазонами "пощелкать" в тх нужно и валкодером покрутить и моды ( смотреть работу в границах и тд .... ) ... вот в 4.7 была проблема в сплит при ТХ если режимы А и В CWdetect и SAM - код зависал, понятно что вряд ли такое будет в жизни, но сам факт зависания - проблема была в единой области память для режимов и их инициализации....(он и в рх могло проявится , но когда... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1824] Автор : UR4QBP Дата : 14.11.2017 08:03 Как писал ранее, у меня этот трансивер для экспериментов Я помню об этом, но... Мое мнение нужно подкорректировать программу, чтобы тональник можно включить только после перехода на другой любительский диапазон. Проблема в том что при передаче с двухтональнком можно переключить диапазон, и на реальной антенне высокий ксв может отправить выходные транзисторы к праотцам. В режиме TX сделать запрет на изменение режимов. Ну и я вставил свои "5 копеек", извините если обидел Вас своими постами. Отличная конструкция получилась у Вас! 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1825] Автор : R3DI Дата : 14.11.2017 09:33 :smile: Нет, не обидели, на что ? Дело не в тональнике, и ССБ переключить можно , да все что угодно можно, во всех модах ото всюду и во все. Могу кнопки отключить в передаче - но начнется - а вот "эту" функцию нужно оставить ой и вот эту... Пусть будет пока все как есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1826] Автор : R6BK Дата : 14.11.2017 11:42 Могу кнопки отключить в передаче Тогда типа ALC сделать, при увеличении КСВ >3 занижать Р вых. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1827] Автор : RD3Q Дата : 14.11.2017 11:47 R6BK, у Вас при выходной мощности 40 Вт микрофон не затыкается? Наблюдаю такую неисправность при мощности 20Вт микрофон в ССБ работает рывками, тональник проходит нормально, тангента МН48(Китай). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1828] Автор : R6BK Дата : 14.11.2017 12:20 У меня такой мощности не было, максимум 24 ватта. И больше не пытался из соображения что ничего хорошего это не несет при одноплатной конструкции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1829] Автор : Viktor76 Дата : 14.11.2017 12:46 Наблюдаю такую неисправность при мощности 20Вт На прошивках 4.5 и ранних- иногда идут "затыки" микрофона, на полной мощности. А на 4.7 пропадает передача, при тональнике все нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1830] Автор : RD3Q Дата : 14.11.2017 12:57 Аналогичная ситуация и еще пропадет управление с тангенты при включении тональника, передача тональника снимается только кнопкой передачи! :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1831] Автор : R3DI Дата : 14.11.2017 14:08 На прошивках 4.5 и ранних- иногда идут "затыки" микрофона, на полной мощности. "затыки" - это как, подробнее пожалуйста. А на 4.7 пропадает передача, при тональнике все нормально. В 4,7 настройки проверяли ? Лучше сделать чистку памяти (режим очистки FRAM - ON+(C+D)key), структуры менялись https://www.youtube.com/watch?v=V8m6bs-uNaI&feature=youtu.b e -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1832] Автор : Viktor76 Дата : 14.11.2017 14:39 В 4,7 настройки проверяли ? Настройки проверял! А вот память не чистил. Попробую-отпишусь . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1833] Автор : R3DI Дата : 14.11.2017 14:40 Изменений по TX не было, но то что структуры в FRAM менялись - могло привести к такому ( например TX - Mix Out имеет не то значение, а есть и другие параметры ) Еще установите блокировочные конденсаторы(на землю) на на кнопки, включая РТТ ( в версиях плат 1,3 они есть - лечит "иногда идут "затыки" микрофона, на полной мощности" -если я о том думаю, и "затык - наводка" входа РТТ от наводок), дома на хорошую антенну такого нет, а на работе (посмотрел и вспомнил) - на провод на дереве за окном день на день не приходится (даже с тюнером, кажный день почти крутить) и установлен 0,1 на землю. Удобно установить с нижнего слоя платы, между контактом разъема и полигоном земли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1834] Автор : Viktor76 Дата : 14.11.2017 15:23 Нужно делать чистку памяти! Все заработало! Я если честно то и не знал , или пропустил эту информацию. Загрузка CPU упала с 52% до 31%, правда с водопадами и т.д. -не игрался. И ток теперь при RX -стабильный , около 340 мА. А "затыки" стали проявляться в виде пропадания TX , хотя на дисплее светит ТХ , но ток падает до 340мА и клацает антенное реле. Это наводки ВЧ. Буду паять блокировочные конденсаторы. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1835] Автор : R3DI Дата : 14.11.2017 16:09 для новой версии. как обычно - на скорую руку, если чего - поправлю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1836] Автор : RK3AQW Дата : 14.11.2017 16:43 Интересно, а ИМД не замеряли, как с линейностью на проверке с двух-тоновым ?[/QUOTE] Нет пока не замерял, но на вид синус был очень красивый. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1837] Автор : Viktor76 Дата : 14.11.2017 17:07 С загрузкой CPU- погорячился, включил EQ_RX и загрузка снова 52%.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1838] Автор : Sergey_Lapin Дата : 14.11.2017 17:46 для новой версии. как обычно - на скорую руку, если чего - поправлю. Евгений! Еще раз огромное спасибо за Ваши труды и время! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1839] Автор : Stranger Дата : 14.11.2017 22:51 Приветствую! но начнется - а вот "эту" функцию нужно оставить ой и вот эту... Знаете, Евгений, смотрел я сегодня по ТВ одну передачу, там проводили ассоциацию с автобусом весной - половина пассажиров вопит "откройте форточки - дышать нечем", вторая - "закройте, сквозняк - холодно". А гостья - иностранка какая-то, говорит - я не знаю, как у вас, а у нас в автобусах главный - водитель, как он сказал - так и будет. :ржач: Так что - как Вы сделаете - так и будет. А кому жарко или сквозняк - исходник пока открыт, сделай сам, помоги проекту. Кстати, проект всё-таки весьма и весьма интересный! :) Спасибо за такую разработку! :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1840] Автор : UA0BHC Дата : 15.11.2017 03:35 1. На принципиальной схеме 10 нога SI5351 сигнал LO куда идёт? 2. Всё-таки отдельная ветка по деталям нужна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1841] Автор : ut29641 Дата : 15.11.2017 05:14 LO куда идёт? По схеме v1.1 выходит на 10С38. v1.3 так же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1842] Автор : UW5EGA Дата : 15.11.2017 11:12 Есть под рукой программаторы TL866, RT809F, USBDM. Какой-то из них подойдет для прошивки чипа или нужно покупать индивидуальный? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1843] Автор : RD3Q Дата : 15.11.2017 11:20 или нужно покупать индивидуальный? Такой https://ru.aliexpress.com/item/ST-Link-V2-stlink-mini-STM8STM32-STLINK-simulator-download-programming-With-Cover/1956977845.html?spm=2114.13010608.0.0.om8WKw или https://ru.aliexpress.com/item/Hot-Sale-1PCS-ST-LINK-Stlink-ST-Link-V2-Mini-STM8-STM32-Simulator-Download-Programmer-Programming/32343514985.html?spm=2114.13010608.0.0.4bAq9n -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1844] Автор : R3DI Дата : 15.11.2017 11:36 Можно и через USB STMки , файл с описанием будет позже ( но и в интернете есть инф. как программировать через usb dfu, это не особенность трансивера, а возможности STM), в теме о этом методе было ранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1845] Автор : Love777888 Дата : 15.11.2017 21:05 Евгений, добрый вечер! Трансформатор 10tr2, вы как то упоминали, что можно взять с платы Ethernet само колечко, не подкажите они в явном виде или в черном прямоугольном 1см^2см корпусе, залит черным компаундом возле сетевого разъёма находится? Еще какие отечественные колечки можно использовать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1846] Автор : Love777888 Дата : 15.11.2017 22:15 Еще вопрос емкость в ДПФ RX 0-2 MHz "0", это что за она? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1847] Автор : DeXter Holland Дата : 15.11.2017 22:37 "0", это что - перемычка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1848] Автор : RD3Q Дата : 15.11.2017 22:38 Трансформатор 10tr2, вы как то упоминали, что можно взять с платы Ethernet само колечко, не подкажите они в явном виде или в черном прямоугольном 1см^2см корпусе, залит черным компаундом возле сетевого разъёма находится? Еще какие отечественные колечки можно использовать? Нет, они в корпусе самого разъёма находятся,в металлическом! Про отечественные не скажу, но высокочастотные! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1849] Автор : sever2k6 Дата : 15.11.2017 23:55 Нет, они в корпусе самого разъёма находятся,в металлическом! Это на материнках. На сетевухах трансформаторы на этих кольцах действительно в черном прямоугольном корпусе. Но да, с материнки их легче извлечь - в этом металлическом разъёме они слегка лаком покрыты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1850] Автор : Love777888 Дата : 16.11.2017 00:02 Всем спасибо, уже держу в руках колечки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1851] Автор : R3DI Дата : 16.11.2017 20:53 ...уже держу в руках колечки Кольца не применял, трансфлюкторы выпаивал и чистить не нужно, на некоторых материках именно они. В теме было фото ранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1852] Автор : UV5EUT Дата : 16.11.2017 21:48 на схемах сеть TXIMc -----> TXIP TXIPc -----> TXIM --------------------------------------- Меняются местами.Так и должно быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1853] Автор : R3DI Дата : 16.11.2017 22:37 Так и должно быть? Нет, но все сделано именно так :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1854] Автор : SP8NTY Дата : 16.11.2017 22:47 Приветствую, автор не отвечает на емайлы. Мой вопрос где можно заказать плиту ПЦБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1855] Автор : Radiotester Дата : 16.11.2017 22:50 R3DI, А если не с матиринки то какие бинокли предпочтительны например Амидновские? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1856] Автор : R3DI Дата : 16.11.2017 23:34 автор не отвечает на емайлы. Писем приходит много, всем не успеваю ответить сразу оперативно, да и чистить ящик приходится, последнее от Вас вижу вчерашнее только. А если не с матиринки то какие бинокли предпочтительны например Амидновские? Не могу ответить, не знаю, да и не заморачивался этим особо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1857] Автор : RD3Q Дата : 17.11.2017 08:10 R3DI, Евгений если не затруднит разъяснить методику прошивки через DFU, думаю многим будет проще менять прошивки, не вскрывая корпус!;-):super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1858] Автор : RA6ANR Дата : 17.11.2017 08:25 Radiotester, bn43-2402 отлично работают)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1859] Автор : R3DI Дата : 17.11.2017 14:30 если не затруднит разъяснить методику прошивки через DFU, думаю многим будет проще менять прошивки, не вскрывая корпус Вот -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1860] Автор : DeXter Holland Дата : 17.11.2017 17:29 Вот почему то не открывается по нормальному -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1861] Автор : UR7HBP Дата : 17.11.2017 17:44 Все открывается. Попробуйте ПДФник -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1862] Автор : DeXter Holland Дата : 17.11.2017 17:55 спасибо, а то мой 2003 ворд иероглифы писал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1863] Автор : trition007 Дата : 17.11.2017 18:20 Решил обобщить информацию с форума и выложить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1864] Автор : UR7HBP Дата : 17.11.2017 19:10 malamut-miniFAQ нужно было выкладывать последнюю версию 1.4. Остальные предыдущие не нужны, то же самое только меньше информации -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1865] Автор : Анатолий.Mel Дата : 18.11.2017 00:21 Сегодня решил послушать FM, местные станции тянет до 91300МГц Скажу честно, не ожидал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1866] Автор : tomcat Дата : 18.11.2017 09:29 Нашел кино : RW6MQ Эдуард https://www.youtube.com/watch?v=CZcN3vM8SCY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1867] Автор : chet Дата : 18.11.2017 11:30 Добрый день.Ссылку можно на тангенту и разъем для неё. RN0QAСпасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1868] Автор : Sergey_Lapin Дата : 18.11.2017 12:04 Добрый день.Ссылку можно на тангенту и разъем для неё. RN0QAСпасибо. https://ru.aliexpress.com/item/YAESU-MH-48-MH-48A6J-DTMF-Speaker-Microphone-for-FT-8800R-FT-8900R-FT-7900R-FT/32796678231.html?spm=a2g0s.8937460.0.0.sY8x5I -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1869] Автор : chet Дата : 18.11.2017 12:12 Sergey_Lapin, Ок.Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1870] Автор : SVd2004 Дата : 18.11.2017 12:21 Может заинтересует... Новый процессор STM32H743VIT6. https://www.mouser.ee/ProductDetail/STMicroelectronics/STM32H743VIT6/?qs=%2fha2pyFadugQD9o1JYU0xSU7csSygRcnNIM9KHIdNEvZyDN4%252b0Awug%3d%3d 400MHz MCUs, 2MB Flash, 1MB RAM. Пины 19-49 сдвинуты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1871] Автор : ua3mse Дата : 18.11.2017 14:35 до 91300МГц ========= чуш собачья или 1 апреля... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1872] Автор : UR7HBP Дата : 18.11.2017 14:59 Все кроме вас поняли что очепятка, пропущена запятая. Иногда лучше промолчать чем хамить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1873] Автор : long Дата : 18.11.2017 19:23 Юра,который ua3mse.Чушь- слово женского рода,и поэтому пишется с мягким знаком! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1874] Автор : Анатолий.Mel Дата : 18.11.2017 19:42 до 91300МГц ========= чуш собачья или 1 апреля... Для того и фото. Частота 91МГц(мегагерц) 300кГц(килогерц) 000Гц(герц). (для особо одарённых) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1875] Автор : R3DI Дата : 18.11.2017 21:11 Анатолий.Mel, а почему до 91, дальше станций нет или гетеродин не тянет? У меня Siшка свои 212 отрабатывает , до 106 мГц прием, но на fm полоса станций широкая, а на укв прием хороший, слушать можно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1876] Автор : Анатолий.Mel Дата : 18.11.2017 22:31 Анатолий.Mel, а почему до 91, дальше станций нет или гетеродин не тянет? У меня Siшка свои 212 отрабатывает , до 106 мГц прием, но на fm полоса станций широкая, а на укв прием хороший, слушать можно ) По всей видимости такие Siшки. У меня их 2 варианта один работает до 91,500,000. Другой до 71,600,000. Китай есть Китай... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1877] Автор : UA0BHC Дата : 19.11.2017 04:17 вопрос возник - если на SI5351 собирать, то 9851 и AD9952 не нужны, а так же отпадает необходимость в генераторе на 16 МГц, так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1878] Автор : RD3Q Дата : 19.11.2017 06:54 UA0BHC, Да так , не мешало бы прочитать не спеша всю тему или в 1 посте этой автора темы "Имеется возможность установить на выбор микросхему AD995x или готовый модуль с али AD9850/AD9851, однако самым лучшим вариантом оказалось установить Si5351 , выбор используемой микросхемы предусмотрен в меню ( для версий pcb < 1.3 через переходную платку в колодку для установки модуля AD9851, для версий 1.3 и выше Si5351 устанавливается на основной плате)(Резисторы 10R11 10R12 отвечают за подаваемое напряжение питания - установить только один из них!) ." -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1879] Автор : RD3Q Дата : 19.11.2017 08:49 UA0BHC, ставите только СИшку, на переходную плату- для ранних печаток, или на плату для последнего варианта. Генератор не нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1880] Автор : Love777888 Дата : 19.11.2017 16:49 Всем добрый вечер! Вопрос в схеме где стоит SN65LVDS048A имеется область обведенная пунктиром "OPTION" там находятся 10R10, 10C26, 10R14, я так понял когда применяешь SI5351 их необходимо установить, я правильно понял? 10R10 вроде как по-любому нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1881] Автор : UA0BHC Дата : 19.11.2017 17:26 поиском по теме нашёл: "У меня установлена 10U7 (SN65LVDS34D), 10R10 10R14 10C26 - не установлены, 10R12 10R18 0-ки. Поправлю схему, спасибо. (блок из FLEX 1500)" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1882] Автор : РУСИЧ Дата : 19.11.2017 21:01 Вопрос в схеме где стоит SN65LVDS048A имеется область обведенная Делайте как на 1500! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1883] Автор : UA7KJ Дата : 20.11.2017 10:02 275277 Сделал по схеме Александра UR4QBP резистор R1 установлен. Без него не работало. Установлена SN65LVDS34. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1884] Автор : RV3DLX Дата : 20.11.2017 10:31 Без него не работало. Это естественно, если эта микросхема с индексом LVDS. Если микросхема LVDST, то этот резистор стоит внутри микросхемы. Юрий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1885] Автор : Love777888 Дата : 20.11.2017 10:34 275277 Сделал по схеме Александра UR4QBP резистор R1 установлен. Без него не работало. Установлена SN65LVDS34. Скажите пожалуйста а фильтр по линии LO до 10TR2, у вас тоже не стоит? Если применяешь SI5351 нужно его запаивать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1886] Автор : UA7KJ Дата : 20.11.2017 10:46 Love777888, Ничего не стоит. С SI5351 через конденсатор на SN65LVDS34 и все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1887] Автор : RW6MQ Дата : 20.11.2017 12:01 Доброго дня! Поделюсь вариантом платы под SI5351 (сразу говорю ничем ни лучше, ни чем не хуже, просто как вариант :) ): -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1888] Автор : RK3AQW Дата : 20.11.2017 12:06 По всей видимости такие Siшки. У меня их 2 варианта один работает до 91,500,000. Другой до 71,600,000. Китай есть Китай... я тут мучаю AD8012, пристраиваю в драйвер УМ на RD16,никак не мог понять почему нет усиления после 10МГц))померил АЧХ AD-шки,она как топор падает после 10 МГц:ржач: про искажения промолчу,походу левак приехал,поставил обратно OPA2674,все стало ,как надо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1889] Автор : R3DI Дата : 20.11.2017 14:09 По всей видимости такие Siшки. У меня их 2 варианта один работает до 91,500,000. Другой до 71,600,000. Сегодня обнаружил что на работе стал трх принимать до 73 мГц, а был до 106.... Но было 2а изменения - транс 1+1вит и ФНЧ когда запускал AD9954 - не стал разбираться кто из них кто, нет все же стало интересно, это было из-за ФНЧ, с трансформатором 1+1вит до 106 мГц но и в итоге поставил как в схеме UR4QBP - просто через емкость.( Si5351, разные партии, Китай ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1890] Автор : RK3AQW Дата : 20.11.2017 14:12 Сегодня обнаружил что на работе стал трх принимать до 73 мГц, а был до 106.... Но было 2а изменения - транс 1+1вит и ФНЧ когда запускал AD9954 - не стал разбираться кто из них кто, нет все же стало интересно, это было из-за ФНЧ, с трансформатором 1+1вит до 106 мГц но и в итоге поставил как в схеме UR4QBP - просто через емкость.( Si5351 ) Евгений,к ФНЧ нужно с вниманием подходить,так же наступил на эти грабли с AD9954):ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1891] Автор : RD3Q Дата : 20.11.2017 14:14 R3DI, Женя изначально прием был до 106 мГц на первых прошивках, сейчас не принимает! Схемотехнику не изменял! :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1892] Автор : R3DI Дата : 20.11.2017 14:33 R3DI, Женя изначально прием был до 106 мГц на первых прошивках, сейчас не принимает! Схемотехнику не изменял В смысле генерации нет на смеситель или в FM декодирования нет ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1893] Автор : RD3Q Дата : 20.11.2017 14:34 Приема в FM нет, генерацию не смотрел! Ни чего в этом узле не изменял! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1894] Автор : R3DI Дата : 20.11.2017 14:38 Если речь идет от FM на 96k - то нужно сделать Setup->Display->Spect Filtr- >YES , сегодня заметил что при FM/96k с отключенным "Spect Filtr" не отключается FFT,а нужно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1895] Автор : RD3Q Дата : 20.11.2017 15:42 Да нет и 48к не принимает ни АМ, ни FM - FM2! Что то в прошивках изменилось! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1896] Автор : R3DI Дата : 20.11.2017 16:00 Да нет и 48к не принимает ни АМ, ни FM - FM2! Что то в прошивках изменилось! Игорь, по подробнее плс, разве можно из этого какие то выводы сделать и подсказать что либо ? Чистку памяти делали? Фото экрана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1897] Автор : UR5ZVU Дата : 21.11.2017 10:15 На FM пока никого не услышал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1898] Автор : trition007 Дата : 21.11.2017 15:19 Народ подскажите, какой тип корпуса для смд резиторов надо брать 0603 или 0805? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1899] Автор : Love777888 Дата : 21.11.2017 15:37 Продолжаю собирать трансивер, наткнулся в обвязке RX FST3251 на 6R7(none) если он отсутствует нужна ли цепочка 6R8, 6C8, 6C9? Еще вопрос резистор 6R25 каким номиналом поставить? Заранее спасибо! Добавлено через 17 минут(ы): Народ подскажите, какой тип корпуса для смд резиторов надо брать 0603 или 0805? https://yadi.sk/d/QuyGdEwM3H4HcB Файл SDR_BOM.xls -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1900] Автор : R3DI Дата : 21.11.2017 18:23 Продолжаю собирать трансивер, наткнулся в обвязке RX FST3251 на 6R7(none) если он отсутствует нужна ли цепочка 6R8, 6C8, 6C9? Еще вопрос резистор 6R25 каким номиналом поставить? 6R8, 6C8, 6C9 нужна, 6R25 1..10к -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1901] Автор : SVd2004 Дата : 21.11.2017 18:44 6R8, 6C8, 6C9 нужна А точно нужна? В Флекс 1500, 3000 есть, а в Флекс 5000 убрали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1902] Автор : RW3ACQ Дата : 22.11.2017 00:41 R3DI, Евгений, приветствую! Спасибо Вам за очень интересный проект! Посмотрел SDR_BOM.xls - не увидел информации про энкодер (валкодер?) . Хотя по фоткам я уже понял, какая модель) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1903] Автор : Love777888 Дата : 22.11.2017 09:07 Доброе утро! Кварц в обвязке SI5351, какой лучше ставить, на 25 или 27 Мгц? Есть оба. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1904] Автор : RD3Q Дата : 22.11.2017 09:22 Создана новая тема http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0&p=1464192#post1464192 ! Лучше туда за советами!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1905] Автор : Stranger Дата : 22.11.2017 09:43 А точно нужна? В Флекс 1500, 3000 есть, а в Флекс 5000 убрали. Если Вас это утешит, 5000 - более ранняя модель, нежели 3000 и, тем более, 1500. Так что не "в 5000 убрали", а в "3000 и 1500 добавили". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1906] Автор : SVd2004 Дата : 22.11.2017 10:45 5000 - более ранняя модель, нежели 3000 и, тем более, 1500. По хронологии Вы правы. А по качеству и цене всё наоборот. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1907] Автор : RK3AQW Дата : 22.11.2017 18:59 пришли сишки с маркировкой SI5351BLTB,походу папандос, запрограммированные судя по даташиту :roll:Заказывал с буквой А,интересно будут работать??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1908] Автор : РУСИЧ Дата : 22.11.2017 23:17 Огромная благодарность автору!!! Трансивер Супер!!!:super: https://www.youtube.com/watch?v=8rKALekW3A8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1909] Автор : stari4ok Дата : 25.11.2017 21:13 А что индицирует светодиод около процессора?У меня он горит в режиме TX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1910] Автор : R3DI Дата : 25.11.2017 21:42 А что индицирует светодиод около процессора? Ничего особенного, он для отладки функций кода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1911] Автор : VitaliyDF Дата : 26.11.2017 17:15 Здравствуйте, у меня в режиме передачи АМ и FM на экране отображается только нижняя боковая полоса. Это програмный глюк, или проблема где-то в железе? Видео по ссылке: https://yadi.sk/i/Pf4fQgX73Q4E2L -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1912] Автор : R3DI Дата : 26.11.2017 17:28 в режиме передачи АМ и FM на экране отображается только нижняя боковая полоса. Это програмный глюк, или проблема где-то в железе? это программно ( только отображение), передача идет как нужно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1913] Автор : VitaliyDF Дата : 26.11.2017 17:31 Так должно быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1914] Автор : R3DI Дата : 26.11.2017 17:36 ну пока да, в том смысле что это не "глюк", функция спектра отрабатывает (в передачи) после ФОС по микрофону - это и есть готовый IQ SSB НЧ сигнал, далее при ам и фм поступает по необходимости на программные модуляторы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1915] Автор : VitaliyDF Дата : 26.11.2017 18:13 Спасибо успокоили) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1916] Автор : UA0SM Дата : 26.11.2017 21:35 Никто не начал комплектовать и продавать наборы ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1917] Автор : RD3Q Дата : 27.11.2017 09:09 UA0SM, ни кто! Скомплектовать набор не просто! В одном месте все не закажешь, заказывать приходится в разных местах! Тем более все надо проверять на работоспособность! Врятли кто согласиться комплектовать! :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1918] Автор : UA0SM Дата : 27.11.2017 11:09 UA0SM, ни кто! Скомплектовать набор не просто! В одном месте все не закажешь, заказывать приходится в разных местах! Тем более все надо проверять на работоспособность! ВряДли кто согласиться комплектовать! Жаль ... а такой неплохой потенциал и карьера у этого аппарата были ... :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1919] Автор : R7KGA Дата : 27.11.2017 11:13 Рядом тема, "Детали для Маламута" Здесь сконцентрировано большинство позиций - #102 (http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0&p=1465749&viewfull=1#post1465749) Вообще 90 процентов комплектации можно из Чип и Дип заказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1920] Автор : R3DI Дата : 27.11.2017 12:53 UA0SM, смотрел сколько стоят наборы "Мастер КИТ" - порой в 10 раз дороже чем чем его содержимое ( но теперь не удивляюсь). В Маламуте около 240 позиций и 700 деталей. Мне вот проще, например, индуктивность 0805 приложить к плате и коснуться 2 раза паяльником, нежели упаковать в пакетик и подписать ее - да и все-равно требует проверки ( даже с ЧипДипа приходили - написано 1uH а там 3,3). Тем более это касается мс, те же кодеки(и не только) - если из пакетика в 5 шт одна работает - не факт что работают и остальные ( тоже проверенно, я про али, ну или брать по цене 10* за штуку,и то где гарантия? и на кого она в этом случае ложиться ? ), а если уже установил - то зачем выпаивать, для упаковки в пакетик? ( к тому же после выпайки может и перестать работать). По моим прикидкам делать КИТ долго и дорого - проверка трх просто ВКЛ - а вот КИТ может закончиться долгой перепиской что того или того не доложили, это лишнее , а это не работает и все верните. Но это моё мнение в моем случае, может у кого и иначе обстоят дела конечна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1921] Автор : RW3ACQ Дата : 28.11.2017 01:05 Евгений, приветствую! Абсолютно соглашусь с Вами по поводу не очень большой целесообразности набора. Наверное, лучше продавать готовый настроенный трансивер в рабочем состоянии (как вариант). В принципе на перспективу было бы неплохо сделать FAQ c картиками по сборке и настройке узлов,как ,к примеру, Softrock от KB9YIG. Возникало бы с одной стороны меньше вопросов. С другой стороны я понимаю, что на все это нужно время. Напишите, пожалуйста, планируется ли новая версия платы или пока v 1.3 поставлена на поток и оправдывает себя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1922] Автор : Stranger Дата : 28.11.2017 13:21 Мне вот проще, например, индуктивность 0805 приложить к плате и коснуться 2 раза паяльником, нежели упаковать в пакетик и подписать ее - да и все-равно требует проверки... Но это моё мнение в моем случае, может у кого и иначе обстоят дела конечна. Евгений, целиком и полностью поддерживаю Ваше мнение! Люди просто не понимают, что собрать комплектуху - это тоже труд, причем - это львиная доля всех трудозатрат. Я сам продаю деталюшки и мне иногда задают вопросы "А почему Вы наборы не продаете? Это же пользовалось бы спросом". Отвечаю примерно Вашими словами :) А о качестве - вот как раз о тех же кодеках, упомянутый в теме о деталях "отзыв оптимистичный" - это моё. Как раз, как Вы и написали - пришлось каждый паять в плату, проверять. И кто скомпенсирует время, потраченное на это, плату, угробленную перепаиванием 4 десятков микросхем и т.д. В общем, как говорил один мой друг - да нафиг нужен такой бизнес?! Абсолютно соглашусь с Вами по поводу не очень большой целесообразности набора. Наверное, лучше продавать готовый настроенный трансивер в рабочем состоянии (как вариант). Это Вы очень-очень мягко сказали :) Рентабельность набора получится в разы ниже, чем готового изделия. Как говорил один весьма известный радиолюбитель, можешь сделать сам - делай, не можешь - покупай готовое и не морочь голову ни себе, ни людям! В принципе на перспективу было бы неплохо сделать FAQ c картиками по сборке и настройке узлов,как ,к примеру, Softrock от KB9YIG. Возникало бы с одной стороны меньше вопросов. С другой стороны я понимаю, что на все это нужно время. Ну да, и время немалое. Опять же - проще самому собрать и настроить аппарат, чем заниматься писаниной. А поскольку такие вещи, как FAQ никто (практически) не читает - это будут бессмысленные трудозатраты. Форум и дальше будет пестреть одними и теми же вопросами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1923] Автор : R3DI Дата : 28.11.2017 14:13 В принципе на перспективу было бы неплохо сделать FAQ c картиками по сборке и настройке узлов,как ,к примеру, Softrock от KB9YIG. текстовый FAQ есть тут в теме, а про картинки сборки - ну не знаю, особо показывать и не чего, как резистор запаять или ШПТ сделать, тут уже каждый для себя выбирает по какому пути идти. По настройке - выставить мс и частоту кварца гетеродина, для показометра напряжения питания ADCref и делитель тот что запаяли. Настройка РФ усилителя, скорее отельная тема - и есть люди имеющие больший опыт в этом вопросе. планируется ли новая версия платы или пока v 1.3 поставлена на поток и оправдывает себя? пока не планируется, да и добавлять то нечего, разве что отдельный разъем для кнопок BAND, но пока не до этого. В версиях плат(и схем) глобальных изменений не было, и разницы между ними особой нет, на 1.3 интегрировал СИшку ( чтоб не через переходную платку) и УНЧ PAMxxxx ( но это так... на любителя ))) ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1924] Автор : UT0UM Дата : 29.11.2017 03:32 Жаль ... а такой неплохой потенциал и карьера у этого аппарата были ... :-( готовый не подойдет? (https://www.ebay.com/itm/Russian-project-2017-stanalone-HF-SDR-15W-Malmute/282752140537?hash=item41d55744f9:g:syoAAOSwk1haFA6x) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1925] Автор : amator Дата : 29.11.2017 04:12 Врятли кто согласиться комплектовать!]Это очень трудоемкое дело. И целесообразно при нормальном количестве заказов. Минимум 20% от суммы нанять кого то можно. В принципе со своими SW я так и делаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1926] Автор : Radiotester Дата : 29.11.2017 04:40 Комплектовать даже к примеру наборы для УНЧ на tda2003 уже "гемор". Другое дело заказывать сборку у Китайцев, но это тоже не ради 10шт в месяц. Маламут тогда станет " золотым". И не те уже 595 бакинских будет стоить что на ибее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1927] Автор : ua3ycv Дата : 29.11.2017 08:10 Парни вы о чём?Автор сам решит что делать с проектом-но у меня смутное ощущение что наши друзья с поднебесной уже положили глаз и на этот проект-и уже готовят очередное "чудо". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1928] Автор : Sergey_Lapin Дата : 29.11.2017 08:32 у меня смутное ощущение что наши друзья с поднебесной уже положили глаз и на этот проект-и уже готовят очередное "чудо". Это они махом. Если уже не скатали с сайта. Документацию на него. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1929] Автор : Love777888 Дата : 29.11.2017 12:18 А почему бы автору, не защитить свое ноу хау, хотя может и поздно, правда каким способом, пока не ясно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1930] Автор : UR5ZVU Дата : 29.11.2017 12:26 Готовый набор комплектующих....... Что его там комплектовать, было бы желание, я уже почти пол года собираю детали на трансивер. То жду 2 месяца с Али..., то брак попадается или номинал ошибочно положили не тот, всякое бывает... В наше время достать детали не составляет труда если есть интернет и деньги. Это в 70-80 гг. наверное был дефицит. А сейчас только было бы желание и терпение дождаться посилку с деталями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1931] Автор : R3DI Дата : 29.11.2017 12:45 Готовый набор комплектующих....... Что его там комплектовать, было бы желание, я уже почти пол года собираю детали на трансивер. UR5ZVU, Деталь стоит Х и доставка(или оплата проезда в магазин) Y, а если магазин не в "соседнем подъезде" и сгонять туда обратно полдня вашего выходного дня займет ( ну или проезд и стояние в очередях на почте) - бесплатно ? И так по полному списку (не забываем, не УНЧ с мастерКИТа в 25 деталек, тут более 700 ) ? Предложение в ЛС пожалуйста, интересует пара комплектов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1932] Автор : Sergey_Lapin Дата : 29.11.2017 13:30 Сообщение от UR5ZVU http://www.cqham.ru/forum/images/buttons/viewpost-right.png (http://www.cqham.ru/forum/showthread.php?p=1466457#post1466457) Готовый набор комплектующих....... Что его там комплектовать, было бы желание, я уже почти пол года собираю детали на трансивер. Не соглашусь с Вами. Если Вы к примеру наборы комплектуете. А теперь представте..... что эти притензии Вам полетят в личку, по телефону, и по другим возможным каналом связи. Что то не так , это не положили. То не годное. Тут помялось. Тут себе то собираешь детали, как Вы уже заметили там ждешь, тут ждешь, когда приедут. Нервов то же сколько надо. Да и не к чему эти обсуждения в этой ветке. Правильно... автор сам решит, как и что с проектом делать. Комплектовать или нет он уже свою точку зрения Высказывал Выше. Давайте лучше не засорять этим ветку. А если что то есть, то по существу. Пусть автор (Евгений) нормально работает и далее создает подобное, спокойно! С новыми идеями может. За что ему огромная благодарность ! Извините кому может грубым покажется мои высказывания. Ни кого не хотел и не хочу обидеть этим. Всем наоборот удачного построения " МАЛАМУТА", качественных деталей и скорых посылок! ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1933] Автор : RW3ACQ Дата : 29.11.2017 14:01 R3DI, уважаемый Евгений, приветствую! Если есть возможность, пожалуйста, обновите файлы на я-диске на предмет топологии п/платы версии 1.3 (SDR_TOP, SDR_BOT). Вопрос по CAT-системе: у меня трансивер работает по протоколу FT-897 через самодельный блютуз-CAT интерфейс. Есть ли возможность взять с платы Маламута сигналы RX\TX трёх или пяти вольтовой логики для подобного рода интерфейса? И еще по поводу VOX и сторонних гарнитур. Возможно ли использование мультимедийной гарнитуры (вместо штатной)с трансивером? Полагаю, что нужен некий переходник, как, например я сделал для своего FT-897 со штекера 3.5 мм на розетку RJ-45, плюс обвязка соответствующая. Вы не могли бы помочь в схеме переходника и номиналов, ну и VOX, конечно был бы желателен ничуть не менее чем RIT и SPLIT. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1934] Автор : R3DI Дата : 29.11.2017 14:18 обновите файлы на я-диске на предмет топологии п/платы версии 1.3 Готово, проверьте доступ , папка v1.3. ( а так в первом сообщении темы есть файлы) Есть ли возможность взять с платы Маламута сигналы RX\TX трёх или пяти вольтовой логики для подобного рода интерфейса? Тама такого нет, виртуальный КОМпорт на USB/ Возможно ли использование мультимедийной гарнитуры (вместо штатной)с трансивером? .... Вы не могли бы помочь в схеме переходника и номиналов Разъем 13J1, пин 1 - РТТ на землю, 2-мик вход. (в схеме подтяжка 10к к +8В, для динамического нужно уст. разделительный конденсатор (не пробовал) ), п3 - земля. (РТТ_А РТТ_В - для кнопок матрицы сопротивлений( сомневаюсь что и она есть вмультимедийной гарнитуре )) (все по схеме). - или не так понял вопрос? ну и VOX, конечно был бы желателен ничуть не менее чем RIT и SPLIT. про VOX можно подробнее почему он нужен не менее чем RIT и SPLIT (на КВ). - а то делать то - не зная для чего ))), да и не обещаю что без "шамаства " обойдется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1935] Автор : RW3ACQ Дата : 29.11.2017 14:42 R3DI, Евгений, спасибо! Насчёт VOX: многим удобен он при работе с гарнитурой, руки свободны для аппаратного журнала в частности. Но если требуются дополнительные усилия (большое шаманство), которые будут перекрывать какие-то более насущные моменты, то эту затею оставьте на Ваше усмотрение. В ЛС я Вам отправлю схему переходника для FT-897 с электретного микрофона на RJ-45 (куда штатно подключается динамическая тангента MH-31). Если не трудно, гляньте, пожалуйста, поправьте, что посчитаете нужным в номиналах. Управление функционалом трансивера с гарнитуры мне не нужно, поэтому матрицы кнопок не буду использовать (коль скоро они дублируют кнопки на панели трансивера, если я правильно понял) По поводу виртуального ком-порта примерно понятно, буду думать и потом спрошу Вашего совета. И еще вопрос: режим KEY в транисивере имеет опцию подключения "клоподава" (прямого ключа) или только в режиме точки-справа\тире-слева? Благодарю заранее за ответы! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1936] Автор : R3DI Дата : 29.11.2017 14:57 Если не трудно, гляньте, пожалуйста, поправьте, что посчитаете нужным в номиналах. Что то нет от Вас письма, да и у меня же нет Вашего микрофона и FT-897, вряд ли подскажу кроме того что РТТ - просто кнопка на "землю", а п3 вход микрофона. (Пришло, у Вас там питание и фильтр - все индивидуально, оставьте как есть, а там видно будет чего не хватает Вам подскажут (по сигналу НЧ или ВЧ)) режим KEY в транисивере имеет опцию подключения "клоподава" (прямого ключа) или только в режиме точки-справа\тире-слева? не пойму , что за режим KEY? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1937] Автор : RW3ACQ Дата : 29.11.2017 15:03 Евгений, отправил ЛС в 14:55) "Режим KEY" - как формируется телеграфная манипуляция, можно ли подключить прямой ключ или только манипуляторы типа "пила, бенчер и т.п.", однорычажные с положениями вправо\влево. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1938] Автор : R3DI Дата : 29.11.2017 15:09 можно ли подключить прямой ключ или только манипуляторы типа "пила, бенчер и т.п.", однорычажные с положениями вправо\влево что то я отстал от этих понятий - "пила, бенчер и т.п." :crazy:.... В общем в режиме CW и меню CW_Type-man - "стучать" и через 13J1 или через любой контакт 13J2, при CW_Type-auto - точка тире через 13J2 (~auto - инверсия). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1939] Автор : RW3ACQ Дата : 29.11.2017 15:27 Евгений, благодарю за все ответы здесь и в ЛС! Еще один нюанс: как трансивер относится к Вашей 10 м веревке в плане наводок на плату и все узлы. Чем ее согласуете, что можете посоветовать для "любителей веревок" в совокупности с Маламутом на личном опыте? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1940] Автор : UR5ZVU Дата : 29.11.2017 15:34 UR5ZVU, Деталь стоит Х и доставка(или оплата проезда в магазин) Y, а если магазин не в "соседнем подъезде" и сгонять туда обратно полдня вашего выходного дня займет ( ну или проезд и стояние в очередях на почте) - бесплатно ? И так по полному списку (не забываем, не УНЧ с мастерКИТа в 25 деталек, тут более 700 ) ? Предложение в ЛС пожалуйста, интересует пара комплектов. Может быть меня не правильно поняли. Я писал что почти пол года собираю детали. Что это действительно не просто. Как Вы говорите уйму времени нужно потратить что-бы скомплектовать весь набор. Я заказывал комплектующие более чем из 7 интернет магазинов, да и добрые люди помогали. Не говоря уже за Али... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1941] Автор : R3DI Дата : 29.11.2017 16:47 Может быть меня не правильно поняли. Я писал что почти пол года собираю детали. Скорее всего ... Что его там комплектовать, было бы желание,... - будто только его не хватает, а дело не в ценах и сроках я уже почти пол года собираю детали на трансивер. -признаюсь, подумал что "уже почти пол года" именно комплекты в массы собираете, виноват :пиво:. А вообще вот что подумал.... Вот УНЧ "мастер кит tda2030" - "загуглите", .... обалдеть. Но мы же в этом случае не просто УНЧ берем, а в его составе еще и избавление от бегатни со списком и карандашем и тд и тп ... а и сесть тихим зимним вечерком, открыть "заветную" коробочку (и может :пиво:,а может и :пиво::пиво::пиво::пиво: :-P) в которой все есть сразу и наслаждаться процессом сборки, наслаждаясь моментом, предвкушая заветное "шшшшш" по включению :-P. Сам не так давно собирал какой-то простенький конструктор, и не для того что-бы уст-во это было (оно совсем не нужно мне было), а просто так , хотелось вспомнить, как однажды мне принесли "Юность 105" - но тогда я это оценил совсем по другому.... Ну это я так ..., но вот к чему: Если для желающих приобрести КИТ Маламута верхние строки про УНЧ( и посты) дали некоторое понятие, то ,может, готов сделать пробный вариант, и с корпусом и панелями напечатанными и дисплеем, в общем со всем. Даже, наверное, CPU и кодеки установлю на плату (без обвязки но прошить можно будет), полной проверки кодека это не даст, но то что на выходе будут синусы, а не черти что - посмотреть можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1942] Автор : R3DI Дата : 29.11.2017 18:26 как трансивер относится к Вашей 10 м веревке в плане наводок на плату и все узлы. Чем ее согласуете, что можете посоветовать для "любителей веревок" в совокупности с Маламутом на личном опыте? Установлены блокировочные емкости(на моей 1.0 версии их не было ) на все кнопки ( без них бывали ложные срабатывания с такой "антенной"). Согласую - да это одно название:-P, с трх провод RG58 на нем фер.трубка( еще были - но куда-то закатились )) ) Г-"типа"тюнер, и в конце транс 1:1 (но эт недавно поставил - 80ка ожила(на прием), а то один треск был). "Тихий" вариант на работе у окна:smile: - посоветовать - не нужно так делать, а делать все как нужно ( ну или стремится к этому хотя-бы ). И не просто веревка, провод вдоль стены вниз еще - противовес, резонанс 7.400 где-то, нужно перебросить.... но QSO проводить не мешает :smile:, тут (и не только ) конечна найдутся и скажут что это "извращение" - но по мне так "извращение" - это занимаясь любимым делом, сидеть "тихо" и бояться что вас так назовет какой-то 1 из 50 qso дядька , да только потому, что вы у него на S десяток +ов не зажгли (при это на R 5 баллов даст)...да какой 1/50, за 634 qso ( веревка с работы, отдельный журнал) всего раза 3 такое слышал. 275881275882 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1943] Автор : RW3ACQ Дата : 29.11.2017 19:35 R3DI, Евгений, благодарю за развернутый ответ на вопрос про антенну и за фотографии! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1944] Автор : US7IGN Дата : 29.11.2017 20:39 и в конце транс 1:1 это с импульсного БП что-ли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1945] Автор : R3DI Дата : 29.11.2017 20:43 US7IGN, ага оно, наковырял пяток. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1946] Автор : ua3ycv Дата : 30.11.2017 08:58 то ,может, готов сделать пробный вариант, и с корпусом и панелями напечатанными и дисплеем, в общем со всем. Даже, наверное, CPU и кодеки установлю на плату (без обвязки но прошить можно будет), полной проверки кодека это не даст, но то что на выходе будут синусы, а не черти что - посмотреть можно.ОЙ! может это и не надо-в штучном варианте Вы потеряете время-да и с заработком не всё ясно будет-я про то-что от Вас ждут "программного тюнинга" доработак и т.д!тяжко это "сидеть на двух стульях" без помощи единомыслителей-а я так понимаю с помошниками не очень:-(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1947] Автор : R3DI Дата : 30.11.2017 09:55 может это и не надо-в штучном варианте Вы потеряете время-да А я и не шучу, серьезно уже продумываю что и в каком порядке. и с заработком не всё ясно будет-я про то-что от Вас ждут "программного тюнинга" доработак и т.д а вот с "тюнинга" точно ничего не прилетает, с КИТот куда все яснее. И на счет "программного тюнинга" - нигде не писал что готовлю трх в народы для массового потребления и сделать "лучше как там" да с меньшим корпусом и потреблением и тд , в начале темы уже было, для чего и почему... получилось вот так... делюсь чем могу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1948] Автор : Eduard_Flint Дата : 30.11.2017 10:43 Здравствуйте, ув. Евгений! Огромное Вам спасибо за проект! SDR TRX "Маламут" удался вам на славу! Респект! У меня есть маленький вопрос. Не сочтите за мои "хотелки", но есть ли возможность(время/желание) портировать проект на борд STM32F746G-DISCO. Доска по стоимости вполне бюджетная, плюс, в ней уже есть кодек, да, и, дисплей с тачем значительно больше. Плюс, еще всяких полезных "рюшечек". Это я так, просто интересуюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1949] Автор : R3DI Дата : 30.11.2017 11:07 Ed_V, приветствую! Спасибо за оценку Маламута:пиво:. Мне не совсем понятно слово ”портировать” в этом контексте. Если это подразумивает создание новой схемы, топологии ПП, исходных кодов по 7ку то вполне, но у меня такой возможности нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1950] Автор : Eduard_Flint Дата : 30.11.2017 14:50 Извиняюсь, немного не так выразился. Да, я имел ввиду изменение кода под 7-ку. Схема кардинальных изменений не потребует, топология ПП, конечно, потребует изменений, так как можно будет использовать кодек установленный на самой доске. Сейчас буду штудировать даташит кодека WM8994E установленного в DISCO и в чём его отличие от CS4272 применяемом в "Маламуте". Почему я вообще обратил внимание на доску STM32F746G-DISCO, потому что она базируется на более производительном контроллере, плюс, большой тач дисплей, на борту уже имеет кодек, плюс, GPIO в формате Arduino-UNO для подключения всяко разно переферии и т.д. Просто мелькнула шальная мысль, что если бы за основу взять DISCO, то с её покупкой у многих отпали бы мытарства с "кривыми" чипами купленных кодеков, покупкой дисплея, контроллера, их впайкой и т.д. то есть, часть "Маламут"-а уже в "одном флаконе" с доской DISCO. P.S. Не обращайте внимания на мои "каракули", это просто мысли вслух :smile: На счёт неимения возможности, как я Вас понимаю! Всегда находятся какие нибудь обстоятельства мешающие творчеству и это печально. Эххх, жизнь.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1951] Автор : R3DI Дата : 30.11.2017 15:44 Извиняюсь, немного не так выразился. :-P Не стоит - не за что, Вы правильно выразились и я правильно понял. И сам когда-то брал STM32F746G-DISCO именно для этого ( да и сча где-то глубоко в мыслях эти планы ), потом собрал на нем анализатор Кучуры, АА понравился , но жаба задушила, и АА сделал по подобию на 103м и дисплеем 320*480 и со своими хотелками, а STM32F746G-DISCO так и ждет своего часа. Но вот в чем дело... Схема кардинальных изменений не потребует но дальше Вы сами описываете насколько велика разница, по сути от "Маламута" останутся только LPF BPF и PA - но вряд ли их можно назвать "Маламутскими". Все же "Маламутом" считаю совокупность всего что представлено в этой теме, а не отдельных его узлов, а сборка на STM32F746G-DISCO это совершенно/полностью другой проект, у меня на это уйдут годы, и смысла в нем не вижу - мне нужен был именно такой трх и он полностью оправдал мои ожидания(не только заиметь но и сделать - хобби) и желания от его работы ( по всем параметрам и производительности в том числе). А по поводу экрана - много пишут - но есть отличные проекты ВизЭйр, Тюльпан и подобные, люди годы на них потратили и ушли в этом направлении далеко вперед. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1952] Автор : Genadi Zawidowski Дата : 30.11.2017 18:06 Если кому надо обслужить кодек wm8994e, протестирован кусок которы воспроизводит на динамики. В самодельные вещи ставит бга с шагом 0.5 явно не придётся, но для Дискавери он нужен. Коночи, исходники в проекте "саинтезатор с хорошим сервисом", он же аист/scorch. Для. теста делался проект, который приикилываеися USB гарнитурой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1953] Автор : SP5TAA Дата : 30.11.2017 18:24 R3DI,Евгений,Я хочу поблагодарить вас от имени венгерского друга за печатную плату Маламута, которая успешно дошла до него. В то же время он спрашивает о резисторах 0603 и 0603 SMOL, существуют ли разные типы или есть некоторая неточность в понимании? Еще раз, от имени венгерского друга огромное спасибо. Best regards. Witek SP5TAA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1954] Автор : R3DI Дата : 30.11.2017 18:54 SP5TAA, и Вам спасибо! 0603 и 0603 SMOL это все 0603 (SMOL -это для моих причуд :-P ), по ссылке на Ядиске из первого сообщения есть папка 1.3, советую ознакомится. Добавлено через 11 минут(ы): VOX - без небольшого шаманства не обошлось, но дорожки резать не нужно, что-то удалить - заменить - добавить. Фото(как сделал на платке) схема и прошивка. 275930275931 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1955] Автор : RW3ACQ Дата : 30.11.2017 19:23 R3DI, Евгений, приветствую! А новые платы уже идут с индексом 1.31, в чём отличие от 1.3? И еще, помните, я Вас спрашивал про сигналы RX\TX для подключения интерфейса по COM-порту. Вы ответили, что формируется виртуальный COM-порт через USB. Есть ли в принципе у меня (своими силами) возможность превратить данный виртуальный порт в физический и поиметь заветные сигналы RXD\TXD для работы со своими затеями? И отдельное спасибо за внедрение VOX! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1956] Автор : Eduard_Flint Дата : 30.11.2017 20:05 Здравствуйте, Генадий! исходники в проекте "саинтезатор с хорошим сервисом", он же аист/scorch. Можно ссылку, глянуть на проект в так сказать ознакомительных целях. собрал на нем анализатор Кучуры, я тоже, вот, на столе лежит, глядя на него и мелькнула шальная мысль скрестить "Маламут"-а с STM32F746G-DISCO :) Признаю, идея не из лучших, "Маламут" самодостаточный аппарат и всем мне нравиться, буду собирать его долгими зимними вечерами. Ещё раз, Спасибо Вам большое за разработку столь чудесного проекта! Ну, а всё мной вышесказанное, всего лишь, шальные мысли :) Творческих успехов Вам! Я уверен, что радиолюбители увидят ещё много интересных проектов за Вашим авторством! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1957] Автор : R3DI Дата : 30.11.2017 20:09 RW3ACQ, на 1.31 немного выдвинуты аудиоразьемы из платы. Про рхтх, если напишите программу для компьютера (или для другого уст-ва) чтения с виртуального и переброс на аппаратный КОМпорт компьютера(уст-ва)- других решений не вижу. А зачем? Там в усб и звук и САТ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1958] Автор : Genadi Zawidowski Дата : 30.11.2017 20:56 Можно ссылку, глянуть на проект в так сказать ознакомительных целях. http://www.cqham.ru/forum/showthread.php?36525-QRP-SDR-трансивер-Аист-(Storch)&p=1467040&viewfull=1#post1467040 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1959] Автор : R2AIA Дата : 01.12.2017 10:19 Приветствую маламутостроителей! Также благодарность и огромное спасибо конструктору этого замечательного трансивера, Евгению R3DI. Вот и моя сборка Маламута подходит к завершающему этапу. Но… После прошивки платой st-link Discovery трансивер ожил и стал работать, но после выключения и включения питания не запускается. Запуск происходит только после «пинка» от платы st-link Discovery (причем плата находится в режиме Discovery). Версия прошивки 4,7 Ссылка на видео: https://yadi.sk/i/rLAtW-E53QDMTR Подскажите, где копать. Или я что-то делаю не так? С уважением, Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1960] Автор : R3DI Дата : 01.12.2017 12:55 R2AIA, Приветствую! Хотел было написать на проверку цепей RST и B0, но потом заметил что и при повторном запуске происходит инициализация ФРАМ -а это не нормально - возможно непропай где или кз на пинах, и все равно проверьте цепи и уровни RST-1, B0-0. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1961] Автор : R2AIA Дата : 01.12.2017 13:33 R3DI, Спасибо. Есть хотя-бы направление, куда двигаться! В выходные буду ковырять. Как я понимаю непропай или сопли нужно искать в первую очередь на STM и памяти? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1962] Автор : Stranger Дата : 01.12.2017 13:47 Есть ли в принципе ... возможность превратить данный виртуальный порт в физический и поиметь заветные сигналы RXD\TXD...? Спасение утопающих - ... продолжение общеизвестно. для компьютера[/B] (или для другого уст-ва) чтения с виртуального и переброс на аппаратный КОМпорт компьютера(уст-ва)- других решений не вижу. А зачем? Там в усб и звук и САТ. Ларчик просто открывается: USB - это исключительно для компьютера, а к CAT подключают не только компьютеры, бывают устройства, которые подключаются к трансиверу по CAT, через аппаратный RS232. И как туда USB тулить? :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1963] Автор : R3DI Дата : 01.12.2017 14:47 USB - это исключительно для компьютера, а к CAT подключают не только компьютеры, бывают устройства, которые подключаются к трансиверу по CAT, через аппаратный RS232. И как туда USB тулить? Как куда, вот же написал - Про рхтх, если напишите программу для компьютера (или для другого уст-ва) чтения с виртуального и переброс на аппаратный КОМпорт компьютера(уст-ва)- других решений не вижу. А зачем? Там в усб и звук и САТ. Тут РС или МК(поднять усб хост) и есть тот самый переходник - дорогой, но переходник, и вопрос был о возможности, а цена этой возможности это другой вопрос, которого не было. РС(МК) с программой USB<->COM + 0-модемный кабель и вперёд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1964] Автор : RW3ACQ Дата : 02.12.2017 01:04 R3DI и коллеги, подскажите, будут ли какие-либо сложности в том, чтобы подцепить Маламут на перспективу к усилителю Ameritron\ RM-Italy\ что-нибудь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1965] Автор : UA3RAK Дата : 02.12.2017 10:34 Кто цеплял данный TRX к Win 10 x64 (у меня PRO). Cat не хочет работать, родные inf (с этого сайта) на XP и Win 7 все ставиться и работает нормально, порт живет и ведет обмен с TRX. При инсталяции на десятку (заранее отключил проверку сертификатов) драйвера с ветки форума (SDR Malamut Virtual Port) выдают ошибку. Драйвер "Мелкософта" поднимается нормально, но любое общение через поднятый порт с любым софтом , даже HiperTerminal, завешивает это ПО. Это касается в первую очередь Omni-Rig. Танцы с "бубном" вокруг порта, все какие возможно были проделаны, результат тот же. Подскажите плиз, может чего где пропустил ??. прошивка V4.4. Может где в меню TRX что выставить дополнительно нужно. Или дайте ссылку на нормальные -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1966] Автор : UR4QBP Дата : 02.12.2017 11:25 Кто цеплял данный TRX к Win 10 x64 Я цеплял, драйвер тут http://ur4qbp.ucoz.ua/SDR_TRX/virtual_com_port_driver_pc.zip Или можно скачать последнюю версию с офсайта ST https://my.st.com/content/my_st_com/en/products/development-tools/software-development-tools/stm32-software-development-tools/stm32-utilities/stsw-stm32102.html Последнюю версию залил к себе на сайт http://ur4qbp.ucoz.ua/SDR_TRX/en.stsw-stm32102.zip -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1967] Автор : Stranger Дата : 02.12.2017 11:30 Тут РС или МК(поднять усб хост) и есть тот самый переходник Ну, это и ежу понятно :D Без костыля - никак... дорогой, но переходник, и вопрос был о возможности, а цена этой возможности это другой вопрос, которого не было А о цене - и речи заводить смысла нет. По-любому - нерентбельно. РС(МК) с программой USB<->COM + 0-модемный кабель и вперёд. А это - еще более костыль, прикручивать компьютер к устройству, которое разрабатывалось, чтобы "уйти" от компьютера. Это всё уже проходили, с тем же "Тюльпаном". Собственно, тут и обсуждать нечего дальше :) ...Дело рук самих утопающих! А вообще - это был ответ на Ваше "А зачем?" :) И не подумайте, Евгений, что это какой-то выпад в Вашу сторону! Какие могут быть вопросы к проекту, который делался для себя, под свои задачи и был "выдан на гора" вместе "со всеми потрохами" безвозмездно? :) По сути изначальный вопрос был некорректный: Есть ли в принципе у меня (своими силами) возможность превратить данный виртуальный порт в физический и поиметь заветные сигналы RXD\TXD для работы со своими затеями? Во-первых - не обязательно именно данный виртуальный порт "превращать" в физический - не единственный USART на борту, во-вторых - зачем спрашивать насчет "Есть ли у меня (своими силами) возможность"? Исходник же есть (за что Евгению не то, что спасибо на каждом углу говорить надо, а - памятник поставить, виртуальный, разумеется)! Так что :пиво: А вообще, простор для творчества - широчайший. Я в восторге! Что схему, что софт можно крутить, как вздумается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1968] Автор : UA3RAK Дата : 02.12.2017 12:43 Я цеплял, драйвер тут http://ur4qbp.ucoz.ua/SDR_TRX/virtua..._driver_pc.zip Или можно скачать последнюю версию с офсайта ST https://my.st.com/content/my_st_com/...-stm32102.html Последнюю версию залил к себе на сайт http://ur4qbp.ucoz.ua/SDR_TRX/en.stsw-stm32102.zip Сори. тоже Самое (((. Выключал, перегружал. "По колесам стучал, пыль протирал", не помогает Добавлено через 33 минут(ы): Блин !!! Все запустил - Заработала именно последняя версия осле очередного удара в "бубен" или по "бубну" ))) Или можно скачать последнюю версию с офсайта ST https://my.st.com/content/my_st_com/...-stm32102.ht -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1969] Автор : RW3ACQ Дата : 02.12.2017 16:38 Прошу прощения у коллег, но мне кажется, что информацию по моточным элементам нужно поместить куда-то в шапку темы. То, что мне удалось найти при помощи уважаемого R7KGA: 2L1-26вит 0,35 2L2-30вит 0,35 2L3-19вит 0,35 2L4-22вит 0,35 2L5-17вит 0,35 2L6-20вит 0,35 2L7-11вит 0,51 2L8-14вит 0,51 2L9-9вит 0,51 2L10-10вит 0,51 2L1-2L4 - T37-2 2L5-2L10 - T37-6 1TR1 - М600НН 7х4х2 I-отрезок RG-58 без оплетки, II- 12 вит. 4TR1, 6TR1, 6TR2, 10TR1, 10TR2 - М600НН 7х4х2(10х6х3) скрутка в три провода 5-7вит. 4TR1 известно также, что он 1:2. Пожалуйста, добавляйте Ваши версии и данные, кто на чем мотал трансформаторы и каким проводом с витками. Не думаю, что у всех случайно оказался провод 0.35 и 0.51)) Насколько был точен калькулятор при пересчете индуктивности на другой диаметр провода? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1970] Автор : UA7KJ Дата : 02.12.2017 17:07 276025 Для кого человек это все создавал? Не ленитесь читать тему, чтобы не задавать одни и те же вопросы по сто раз. От провода +/-мало чего зависит и от калькулятора тоже. Индуктивности нужно измерять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1971] Автор : r3qo Дата : 02.12.2017 19:19 R3DI,Поставил прошивку 4.8 выставил 70вт не могу уменьшить показание зеленого индикатора.На трансформаторе уменьшил с 12 до 4витков кольцо 600нн ,показание индикатора не изменилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1972] Автор : RW3ACQ Дата : 02.12.2017 19:57 276025 Для кого человек это все создавал? Не ленитесь читать тему, чтобы не задавать одни и те же вопросы по сто раз. От провода +/-мало чего зависит и от калькулятора тоже. Индуктивности нужно измерять. Я прошу извинения, а где в файле malamut-miniFAQ-1.4.pdf (http://www.cqham.ru/forum/attachment.php?attachmentid=276025&d=1512223376) Вы нашли ответ на мой вполне конкретный вопрос по поводу намотки трансформатора 4TR1 и им подобных? Прошу стократного прощения, если я что- то просмотрел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1973] Автор : R3DI Дата : 02.12.2017 20:17 выставил 70вт не могу уменьшить показание зеленого индикатора.На трансформаторе уменьшил с 12 до 4витков кольцо 600нн ,показание индикатора не изменилось. Просто уменьшением числа витков здесь не обойтись, нужно еще и правильно подобрать делители 1с2 1с4 и 1с3 1с5 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1974] Автор : UA7KJ Дата : 02.12.2017 20:21 RW3ACQ ,Трансформаторы.1TR1 - М600НН 7х4х2 I-отрезок RG-58 без оплетки, II- 12 вит.4TR1, 6TR1, 6TR2, 10TR1, 10TR2 - М600НН 7х4х2(10х6х3) скрутка в три провода 5-7вит.4TR2 - пока еще не определился (драйвер PA).5TR1 - BN-43-3312 I-медные трубки внутри II-2 вит.Евгений 4TR2 для 2 RD16 наверно сделать как в SW 2шт.7х4х2 600-1000НН 5 вит. в 3провода 0.27мм , для 4 выходных транзисторов придется изменять кол-во витков инапряжение поднимать! 15й лист. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1975] Автор : R3DI Дата : 02.12.2017 20:24 трансформатора 4TR1 и им подобных? в том файлике есть(стр 15) и в теме(#335 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1404216&viewfull=1#post1404216)) по поиску нашлись. Упс, а - да, есть то - что данных нет(именно по 4TR1 ) , пардон. 4TR2 на бинокле маленьком 3+3 и 6 вит (по схеме), мотал проводом 0.51 276042 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1976] Автор : RW3ACQ Дата : 02.12.2017 20:36 UA7KJ, благодарю но сие я читал уже. И автор пишет (Евгений, спасибо!) трансформаторы. 1TR1 - М600НН 7х4х2 I-отрезок RG-58 без оплетки, II- 12 вит. - Каким проводом? 4TR1, 6TR1, 6TR2, 10TR1, 10TR2 - М600НН 7х4х2(10х6х3) скрутка в три провода 5-7 вит. - Каким проводом? 4TR2 - пока еще не определился (драйвер PA). - определились) - первичка 3+3 витка, вторичка 6 витков. - Каким проводом? 5TR1 - BN-43-3312 I-медные трубки внутри II-2 вит.- Каким проводом? Не хочу показаться назойливым, но это мне кажется существенным для проекта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1977] Автор : UA7KJ Дата : 02.12.2017 21:13 RW3ACQ, Из тех которые у меня сейчас работают 4TR1, 6TR1, 6TR2 7 вит. скрутка в три провода 600НН 7х4х2 провод 0.27мм не кретично можно 0.21-027мм. 10TR2 не ставил вообще сделал по предложенной Александром UR4QBP схеме. 4TR2 будет такой же как и 4TR1. РА еще не запускал. Скорей всего в оконечнике поставлю 2 транса как в SW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1978] Автор : R3DI Дата : 02.12.2017 21:38 RW3ACQ, Да поправят меня участники, если не ошибаюсь, на индуктивность диаметр провода не влияет(напрямую) - влияет среда, диаметр витков, их количество и расстояние между витками - а на последнее и может наложить ограничение диаметр провода ( шаг 0.1 проводом 0.27 не сделать) В ФНЧ на желтых кольцах провод 0.51, а вот на красных требуемое количество витков таким проводом не вместить и мотал уже 0.31(или 0.27). Еще в ФНЧ делал (-1) виток от расчетного на сайте(toroids.info), думаю связано с тем(об этом уже упоминал в теме), что расчет подразумевает равномерное расположение витков по сердечнику, но из-за монтажа витки приходится немного сжимать (для красных может и -2)(Посмотрел на домашнем трх - там ФНЧ весь 0.31 или 0.27). Для смесителей и слаботочных Тр провод тонкий 0.27...0.31 (скрутка), для тр на бинокле от "сетевух РС" вообще брал провод с обмотки реле, какой он там 0.06 а может и тоньше... Но это все для слаботочных дел, а там где токи - чем диаметр больше тем лучше - скин-эффект. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1979] Автор : leogoto Дата : 03.12.2017 08:45 Какой фильтр выбран в зависимости от частоты приема? Which filter is selected depending on the receiving frequency? FREQ     LPF   BPF 0-2MHz----> 2.7MHz + 2MHz LPF 2-3MHz----> 2.7MHz + 2-3.9MHz 3-5MHz----> 5MHz + 3.9-7.5MHz 5-8MHz----> 7.7MHz + 3.9-7.5MHz 8-12MHz---> 14.5MHz + 6.2-10.4MHz 12-17MHz--> 14.5MHz + 9.5-15MHz 17-25MHz--> 28.8MHz + 14-22MHz 25-33MHz--> 28.8MHz + 20-33MHz Leo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1980] Автор : R2AIA Дата : 03.12.2017 11:27 Отсутствие запуска при повторном включении питания поборол, по подсказке Виктора UR4QMM. Оказалось, что импульсный блок питания виноват (подавал питание на трансивер включением БП). Маламут теперь запускается при подключении к уже запущенному БП. Но перезагрузка FRAM осталась. Буду копать дальше... Добавлено через 40 минут(ы): R2AIA, Приветствую! Хотел было написать на проверку цепей RST и B0, но потом заметил что и при повторном запуске происходит инициализация ФРАМ -а это не нормально - возможно непропай где или кз на пинах, и все равно проверьте цепи и уровни RST-1, B0-0. Уровни NRST и B0 проверил 1 и 0 соответственно. Непропая и соплей вроде не обнаружил... :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1981] Автор : R2AIA Дата : 03.12.2017 21:12 Замена FRAM ничего не дала... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1982] Автор : РУСИЧ Дата : 03.12.2017 22:33 Вот такой получился тест!:oops: Извиняюсь за OFF! https://youtu.be/ZUAWBaibBnY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1983] Автор : segrus Дата : 03.12.2017 22:47 Ничего не понятно. Можно письменно итог данного сравнения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1984] Автор : РУСИЧ Дата : 03.12.2017 23:29 Ничего не понятно. Можно письменно итог данного сравнения? А понимать нечего ))) Просто народ просит сравнения от этого видео: https://www.youtube.com/watch?v=mGZ5ALspX5Q От себя скажу честно:oops: Приемники как бы и одинаково звучат, но сервис у трансивера M0NKA желал бы быть лучшего:-( Мне если честно не понравился! Маламут выигрывает по всем направлениям Тест конечно не корректный!:-( Прошу не пинать это мои еще раз повторюсь, чисто мои выводы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1985] Автор : UT0UM Дата : 03.12.2017 23:44 но сервис у трансивера M0NKA желал бы быть лучшего гы :crazy: Маламут выигрывает по всем направлениям прошу внести конкретику: по каким направлениям? в каких числовых параметрах выражается выигрыш? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1986] Автор : US7IGN Дата : 03.12.2017 23:54 человеку честно не понравился. мне кажется для целей картофелеводства гораздо лучше и монки и маламута подойдет ДИ. и звук лучше и сервис. да и нравился он всему союзу безоговорочно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1987] Автор : РУСИЧ Дата : 03.12.2017 23:57 в каких числовых параметрах выражается выигрыш? Так и знал что начнется:crazy: Ну да ладно, это моя конкретика то что мне не нравиться:-( 1) Филтры ТХ В Монка АУ где они???? 2) Коррекция Фильтров по RX я хочу к примеру 2.8 или 3.3 кгц АУ где??? 3) Спур по ТХ в -12, +12,-6,+6 АУ куда его девать((( и т.д ,я могу дальше перечислять недостатки!:oops: Все извините! Всем удачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1988] Автор : user12 Дата : 04.12.2017 00:13 1) Филтры ТХ В Монка АУ где они???? В меню.:crazy: 2) Коррекция Фильтров по RX я хочу к примеру 2.8 или 3.3 кгц АУ где??? Там же или по специально отведённой для этого кнопке. Мануал нужно было читать. Он правда иностранными буквами написан. Спур по ТХ в -12, +12,-6,+6 АУ куда его девать((( Щедевр. Как будто в маламуте по другому формируется сигнал на передачу. и т.д ,я могу дальше перечислять недостатки! Не стоит. Хватит и того что вы "сообщили".:ржач: Вы привели хороший пример как сравнивать нельзя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1989] Автор : US7IGN Дата : 04.12.2017 00:18 Щедевр. Как будто в маламуте по другому формируется сигнал на передачу. формируется может и одинаково, но в монке есть, а в маламуте нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1990] Автор : user12 Дата : 04.12.2017 00:25 а в маламуте нет.Чего нет? Несущей? Или зеркального канала? Всё есть но выглядит не много по другому. Это одинакового класса аппараты и в чём то один лучше другого а в чём то хуже. Просто каждый аппарат Автором создавался под свои задачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1991] Автор : US7IGN Дата : 04.12.2017 00:27 Всё есть но выглядит не много по другому. видимо это и пытался показать автор видео. впрочем, у меня уже зрение не очень, щупать надо... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1992] Автор : user12 Дата : 04.12.2017 00:34 Очень многое зависит от настройки и регулировки аппарата. Если мне на стол поставить монку и маламут то я могу легко накрутить что "победит" нужный мне. Зайти в меню и накрутить уровень труда не составит.:ржач: Или припаять не ту детальку. Всё это сугубо субъективно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1993] Автор : UT0UM Дата : 04.12.2017 00:37 видимо это и пытался показать автор видео. автор показывал только прием -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1994] Автор : user12 Дата : 04.12.2017 00:48 Так приём можно тоже показывать по разному. Накрутить по разному усиление, скорость срабатывания + порог АРУ и т.д. К тому же на видео может быть китайский клон монки и как они их собирают известно. Странно что вообще работают:ржач: Ладно, малость не там пишем. Просто присматриваюсь к маламуту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1995] Автор : UR5ZVU Дата : 04.12.2017 02:15 VOX - без небольшого шаманства не обошлось, но дорожки резать не нужно, что-то удалить - заменить - добавить. Фото(как сделал на платке) схема и прошивка. А что еще кроме VOX в прошивке изменили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1996] Автор : ua3ycv Дата : 04.12.2017 09:03 US7IGN, user12, UT0UM,парни Вы всё сказали?Ну и кто из Вас Маламута уже изготовил?или очередное "ля-ля" разводите?у парня по крайней мере два аппарата на одном столе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1997] Автор : user12 Дата : 04.12.2017 11:13 Ну и кто из Вас Маламута уже изготовилНу и когда Русич изготовил монку? На видео китайский клон RS-918. Почему тут ответить нельзя в чём он не прав? Только потому что я ещё не собрал маламут? Но извините, я собрал не одну монку и не две, знаю как она работает и какие имеет функции. На маламута смотрю так как плат версии 0.6 для монки больше нет и похоже не будет больше. 1. Ни кто не сказал что маламут хуже. 2. Сказали монка хуже и не имеет сервиса. Это при том числе кнопок с крутилками на ней, тачскрина и команде которая работает над софтом монки! И что софт у них открыт и какая от этого польза всем. 3. Кричать ау, где фильтры и про худший сервис вообще не представляя как работает монка это правильно? у парня по крайней мере два аппарата на одном столе. И что? Если я на стол поставлю разные кастрюльки и продукты я буду хорошим поваром? И уже не нужно понимать что у тебя на столе стоит, зачем и что с этим делать. Не нужно сравнивать смутно представляя что ты себе поставил на стол. Вот по этому и ответили. Причём предельно корректно, без аааууу, а где это или то.:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1998] Автор : R3DI Дата : 04.12.2017 13:59 А и правда, ну что вы в самом деле, человек же написал - ...Тест конечно не корректный! Прошу не пинать это мои еще раз повторюсь, чисто мои выводы. а вы с него письменных отчетов конкретики... я так понимаю что РУСИЧ поделился общим впечатлением и 2 раза повторил что это его личные выводы. Дальше хоть и представил несколько пунктов - но ведь это уже вынудили, в одном трх не нашел "коррекцию фильтров - в другом нашел сразу - вот мог и пойти +ик к последнему, а другой пользователь мог и наоборот. При всей своей схожести, трх-ы все-таки разные, да хотя-бы то, что в одном обработка во временной области в другом в частотная и тд и тп. Да пусть даже львиную долю этого впечатления составило то, что человек его сам собрал, включил, и заработало - что в этом плохого ? Мой школьный 0-V-2 работал лучше супергетеродинного "Хазар 404" , хоть и ловил всего 2-е станции, и ни у кого не получилось бы меня в этом разубедить :crazy:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 1999] Автор : UU5JPP Дата : 05.12.2017 15:24 Всем доброе время суток! Поделитесь файлом передней панели для 3D принтера в формате STL :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2000] Автор : RW3ACQ Дата : 06.12.2017 21:23 Приветствую всех! Собираюсь применить SI5351 и SN65LVDS34D. Подскажите, пожалуйста, правильно ли я мыслю схему подключения блоков. Этот рисунок я составил из оригинальной схемы, прошу прощения за некоторую кривизну. Нужен ли разделительный конденсатор на выходе Si5351 и резистор 10R16? Заранее благодарю за ответы! 276356 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2001] Автор : R3DI Дата : 06.12.2017 21:44 Собираюсь применить SI5351 и SN65LVDS34D Вот недавно было -#1883 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1463384&viewfull=1#post1463384) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2002] Автор : RW3ACQ Дата : 06.12.2017 22:46 Добавлено через 41 минут(ы): Кажется разобрался: с SI идёт выход LO через 0.1 мкФ и земля на первичную обмотку 10TR2, далее уже по схеме, вопрос только в опциональном звене 10R10 10C14 10R26, его желательно поставить с SN65LVDS34? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2003] Автор : R3DI Дата : 07.12.2017 00:17 RW3ACQ, с трансформатором 10TR2 и SN65LVDS34 - 10R10 10R14 10С26 не нужна , но советую собрать по схеме #1883 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1463384&viewfull=1#post1463384), собирается прямо на плате и тогда 10R10 10R14 10С26 идут как R17 R18 С205 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2004] Автор : UA7KJ Дата : 07.12.2017 16:36 RW3ACQ,276395 вот так я это сделал. На плате si5351 ничего не изменял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2005] Автор : RW3ACQ Дата : 07.12.2017 17:18 UA7KJ, спасибо! Все наглядно! А конденсаторы синие, тип не подскажете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2006] Автор : RK3AQW Дата : 07.12.2017 17:21 UA7KJ, спасибо! Все наглядно! А конденсаторы синие, тип не подскажете? у них разброс +/- километр и от тепла ТКЕ прилично плывет,не советую ставить такие -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2007] Автор : UA7KJ Дата : 07.12.2017 17:32 RW3ACQ, На этой синие но ставить их думаю не стоит смотрите в деталях для Маламута там есть фотки другой платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2008] Автор : Love777888 Дата : 07.12.2017 19:17 Здравствуйте, подскажите пожалуйста, по энкодеру, там необходимо избавится от внутреннего стабилизатора на 5в? Ставить просто перемычку? Еще смд размер в ФНЧ конденсаторов какой 1210? Китайские кольца кто нибудь заказывал Т37-6? На али вроде один: http://s.aliexpress.com/Rjy2A7Rr -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2009] Автор : RD3Q Дата : 07.12.2017 20:01 Love777888,выше сообщения посмотрите, там про конденсаторы и был вопрос!:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2010] Автор : stari4ok Дата : 07.12.2017 20:16 пожалуйста, по энкодеруОткрыли же тему по комплектации и вариантам с деталями... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2011] Автор : r3qo Дата : 08.12.2017 17:38 При передачи в наушниках присутствует хрипота голоса, Moni выключено.Мощность что при 10%что при 100%хрипота присутствует на всех диапазонах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2012] Автор : R3DI Дата : 08.12.2017 19:15 Moni выключено мало данных, но похоже УНЧ ловит, может провода рег.громкости, а может несогласованная нагрузка.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2013] Автор : r3qo Дата : 08.12.2017 21:16 Евгений!Поменял провод поставил экранированный, проверял на нагрузке50ом без изменений.Поискал землю в разных точках ничего не дало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2014] Автор : Genadi Zawidowski Дата : 08.12.2017 21:44 А при полностью отулюченном оконечника (если есть способ , снять питание или ещё как) наводки или эти шорохи присутствуют? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2015] Автор : amator Дата : 08.12.2017 21:52 Такая проблема с само прослушиванием была и у меня в старых версиях SW на TDA2003. Во первых там нет фильтра предварительного каскада, и ловит пульсации питания при SSB. Поэтому в дальнейшем пришлось питать через стабилизатор 8 вольт. Так же чувствительна к ВЧ полям. Приходилось ставить блокировки конденсаторы прямо на ногах микросхемы. Далее это решилось изменением разводки платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2016] Автор : r3qo Дата : 08.12.2017 22:33 При отключенном оконечники проблемы исчезли.Остается искать откуда лезут наводки что 50 что 10вт все без изменно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2017] Автор : amator Дата : 08.12.2017 22:42 Остается искать откуда лезут наводкиИли это пульсации питания при SSB. Это стоит исследовать, и определить это ВЧ наводки, или нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2018] Автор : r3qo Дата : 08.12.2017 23:08 Попробывать убрать преобразователь.В эфире все чисто единственное в наушниках не комфортно.276465 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2019] Автор : R3DI Дата : 09.12.2017 00:03 Во первых там нет фильтра предварительного каскада, и ловит пульсации питания при SSB. В Маламуте фильтры на всех 3х каскадах откуда лезут наводки что 50 что 10вт Ого 50, так и не пробовал 4 транзистора, все времени нет. И вот на фото у Вас выход оконечника на синих кольцах - я пробовал разные варианты( на кольцах синих) и мне так и не удалось что-то путное получить, а даже наоборот в одном из вариантов заметил генерацию на кГц-ы (в теме сообщал и фото были, ватт 10 было), что было на тот момент в УНЧ уже не помню. А потому за эту схему сказать ничего не могу, только банальное - ищите где "лезит". За схему на 2х транзисторах и Тр на бинокле 1:2 - проверил на работе (RD15) наводок нет, дома RD16 (~17 W на 40ке ) проверил на 40/20/15 специально в наушниках - наводок не слышно. PS На фото - емкости в фильтре оконечника достаточные, выглядят маленькими (индуктивность на драйвере тоже , но может просто на фото так и деталь мне не знакома просто ) ? на фото -провод громкости еще не в экране? И провод динамика/наушников через кольцо пару витков не меняет обстановку (прошу не пинать, еже ли ерунду сморозил:oops:) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2020] Автор : r3qo Дата : 09.12.2017 10:33 Да, громкость была не в экране, но я заменил провод. Если попробовать вернуть бинокль вместо колец, то мощности такой не будет. Сейчас при 22в 7мгц=72вт,28,18=35вт на остальных 50вт. Если на вч добавляю мощность за счет емкости в выходном трансе 45вт, частота сбивается(диапазон переключается)идет наводка!Пробовать ставить экраны в выходном каскаде или проигнорировать эту хрипоту, но наш интерес добиться качества, ради чего и занимаемся,экспериментируем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2021] Автор : R2AIA Дата : 09.12.2017 16:53 Проблемы с памятью: при повторном запуске происходит инициализация ФРАМ решены! ;-) Поборол повторной заменой FRAM от другого поставщика (Электронщик). Ссылку на поставщика подделок из Али оставлю в параллельной теме про детали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2022] Автор : varvik85 Дата : 10.12.2017 13:18 Здравствуйте.А нет монтажки новой платы но с указанием номиналов элементов,а не их порядковых номеров, а то лазание постоянно в схему много времени отнимает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2023] Автор : r3qo Дата : 10.12.2017 13:31 Причину хрипоты в наушниках при передачи не нашел, но поставив реле отключение наушников при передачи, избавился от неприятного ощущения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2024] Автор : autosat Дата : 10.12.2017 15:29 Всем привет! Выкладывался ли файл передней панели, под дисплей 2,8? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2025] Автор : R3DI Дата : 10.12.2017 16:01 для 2.8" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2026] Автор : Genadi Zawidowski Дата : 10.12.2017 16:20 А есть ли шорох ерт отключенном оконечника проверили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2027] Автор : R3DI Дата : 11.12.2017 14:28 ...хрипоты в наушниках при передачи не нашел, но поставив реле отключение наушников при передачи, избавился от неприятного ощущения. Для прослушивания в наушниках, очень рекомендую установить мс (модуль с али) PAM8406, и шумов собственных на много меньше и MUTE без щелчков отрабатывает, в последней версии таки активировал функцию MUTE на ТХ ( функция активируется в меню Сетап SDR, там же и лог. уровень MUTE (для PAM8406 и TDA7233 они разные) ), версию добавлю в папку 1.3 на ядиске.... и сюда -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2028] Автор : Genadi Zawidowski Дата : 11.12.2017 14:33 А как вообще тогда с самоконтролем в телеграфе у людей будет? Я намекал вообще-то программные ошибки, приводящие к появолению призвуков вместо тишины (сам на такое напарывлся у себя). ps: удивило применение внешнегй аналоговой цепи для VOX. Весь тракт цифровой обработки под контролем, вставить детектор туда мне казалось проще... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2029] Автор : R3DI Дата : 11.12.2017 14:45 А как вообще тогда с самоконтролем в телеграфе у людей будет? А кто его знает на одноплатном трансивере с 50..70 Вт в РА. У меня два трх и если MONI выключена, тишина в УНЧ и без активной MUTE (~15 Вт РА), при MONI ничего лишнего нет. Я намекал вообще-то программные ошибки, приводящие к появолению призвуков вместо тишины (сам на такое напарывлся у себя). если MONI выключена - там и DMA AF( приемный на УНЧ) диактивирован и кодек в 0 по громкости задавлен. удивило применение внешнегй аналоговой цепи для VOX. Весь тракт цифровой обработки под контролем, вставить детектор туда мне казалось проще... в RX режиме передающий кодек диактивирован -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2030] Автор : leogoto Дата : 12.12.2017 08:04 На плате Ver1.3 PAM 8406 не работал. Leo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2031] Автор : RA6ANR Дата : 12.12.2017 09:44 leogoto, все там работает.могу видео кинуть.в меню нужно переключить уровень mute на инверсный -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2032] Автор : R3DI Дата : 12.12.2017 11:05 leogoto, Вы тогда и пишите что у Вас не работает, а не на версии плат, на которых проверено работает. Фото прикрепите, что напаяли, что в меню выстивили, на схеме обратили ли внимания на строки около мс ... а там уже разберемся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2033] Автор : Viktor76 Дата : 12.12.2017 11:58 Все работает! Перевернув микросхему PAM 8406 вверх ногами, припаял с низу платы (V 1.1) к отверстиям МС родного унч. Убирайте резистор 12R3 и в место 12R1 запаяйте конденсатор, Евгений все расписал. Автору отдельная благодарность за активную поддержку проекта! Порадовала полно функциональность тангенты в последней прошивке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2034] Автор : UA0BHC Дата : 12.12.2017 13:03 Сообщение от Love777888 Посмотреть сообщение Трансформатор 10tr2, вы как то упоминали, что можно взять с платы Ethernet само колечко, не подкажите они в явном виде или в черном прямоугольном 1см^2см корпусе, залит черным компаундом возле сетевого разъёма находится? Еще какие отечественные колечки можно использовать? Нет, они в корпусе самого разъёма находятся,в металлическом! Про отечественные не скажу, но высокочастотные! Разобрал такой разъём, в нём оказалось 8 колец: 4 маленьких, и 4 немного пошире. Кольца имеют разные свойства магнитопровода - "большие" имеют сопротивление материала около 50 кОм, "малые" - мегаомы. "Большие" лучше магнитятся к неодимовому магниту. Какие больше подходят для трансформатора 10tr2? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2035] Автор : tomcat Дата : 12.12.2017 13:25 https://www.youtube.com/watch?v=TBxQH8f68Ek&feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2036] Автор : RD3Q Дата : 12.12.2017 13:30 UA0BHC, Я намотал на том что крупнее. Вообще это в другую ветку по деталям! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2037] Автор : leogoto Дата : 12.12.2017 17:22 Я разместил его без достаточного расследования, и я задумался над этим. Прошу прощения. Хотя сигнал VDD и MUTE (0 В или 3,3 В) пришел, но он не сработал, я уже удалил PAM 8406 и изменил его на TDA 7233. Благодаря R3DI и всем вам, я благодарен за то, что у меня был очень ценный опыт. Мой маламут еще не закончен. Я не понимаю русских. Поскольку мы используем перевод Googel, это не имеет смысла или может быть грубыми словами. Прошу прощения.276700276701276702 Leo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2038] Автор : R3DI Дата : 12.12.2017 17:45 leogoto, для PAM8406 -> 12R2,12R3 - NO SET, 12R1- cap 1uF... MenuSetup(hold key1)->SDR->MuteLevel-> set "0". leogoto еще расскажите, Вы корпус металлизировали ? Каким способом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2039] Автор : LZ3GN Дата : 12.12.2017 18:51 Вероятно краска...есть такая... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2040] Автор : SVd2004 Дата : 12.12.2017 18:57 Ему надо писать на японском. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2041] Автор : Genadi Zawidowski Дата : 12.12.2017 19:04 Вы корпус металлизировали ? Каким способом? Я дделал такое уже... Нашлось покрытие для пластмассовых корпусов EMILAC www.itwcp.de. Имеет приятый медный цвет после высыхания, сопротивление около 100 Ом на 20 см (после покрытия двумя слоями) и отвратительнейший, наиядовитейший запах при применении 276710 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2042] Автор : leogoto Дата : 13.12.2017 02:02 R3DI, RA6ANR, VIKTOR267, Спасибо за ваш ответ. Кажется, это вызвано установкой 12R1 и 12R3. Благодарю вас. Я дам ему попробовать в выходные. В случае Я применил проводящий спрей внутри корпуса. Это продукт следующего URL-адреса. Однако это японский. При измерении с помощью тестера значение сопротивления отличное с примерно 1 Ом. http://www.plascoat.co.jp/netshop/1_2.html 276734 Leo. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2043] Автор : Radiotester Дата : 13.12.2017 10:18 В магазах продаётся медный скотч. Обклеил и вперёд. Хотя вот не известно на сколько долго клей держать будет .Ну если помочь кумфо'м то думаю на долго. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2044] Автор : ut29641 Дата : 14.12.2017 17:45 Доброго времени.Не все часовые кварцы одинаково полезны))Три штуки поменял пока заработало. 276815 Ждемс остальные посылки.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2045] Автор : UR7HBP Дата : 14.12.2017 19:44 Обновил мини-FAQ 276824 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2046] Автор : EW2MS Mikhail Дата : 14.12.2017 20:17 Модуляция FM в режиме передача не работает? Или это только у меня? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2047] Автор : RD3Q Дата : 14.12.2017 20:22 EW2MS Mikhail, у меня работает АМ и FM модуляция, проверено от 160 до 10м. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2048] Автор : EW2MS Mikhail Дата : 14.12.2017 20:28 Спасибо, Игорь. Модуляция работает. Это у меня УМ от 10 МГц и выше не работает. Где то накосячил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2049] Автор : Love777888 Дата : 14.12.2017 20:39 Здраствуйте Евгений! Скажите пожалуйста возможно ли сделать каналы памяти? Вопрос как я понимаю в количестве кнопок, а если сделать цепочку резисторы-кнопки и на один вход АЦП процессора посадить? Может это сложно, но так спросил, просто предложение. Извиняюсь, может эта функция доступна с клавиатуры тангенты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2050] Автор : R3DI Дата : 14.12.2017 20:55 Может это сложно, но так спросил, просто предложение. Сложность в отсутствии свободного времени, особенно если не вижу необходимости ( у меня такой нет), понимаю запоминать репитеры, особенно если они кроссбенд, разнос частот, субтон и тд.... на КВ такой необходимости не было (каждый диапазон запоминает все настройки и частоты в том числе), и даже если с новыми циф. модами - так программы уже сами переключают куда нужно... может эта функция доступна с клавиатуры тангенты? кнопки тангенты все задействованы(то чем чаще приходилось пользоваться) (вер 4.9 и картинка с описанием на ядиске в папке 1.3) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2051] Автор : alekseyr2001 Дата : 15.12.2017 23:06 Добрый Вечер . Вот в этом файле "Malaut_miniFAQ_v1.5" есть такая фраза " При использовании SI5351A - по схеме уст. 10R11 3.3V !! " Это наверное ошибка или опечатка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2052] Автор : RD3Q Дата : 15.12.2017 23:52 alekseyr2001, Чтоб разобраться с этим вопросом, мало прочитать последние страницы темы!!! Если думаете собирать этот передовой СДР трансивер (отечественный, за что огромная благодарность его создателю Евгению R3DI), мой Вам совет - внимательно прочитать все страницы данной темы, тогда может поймете, что куда и зачем! Если что то не поймете - тогда все будут помогать, здесь народ дружный. А по вопросам деталей есть ещё одна тема http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0 желательно туда обращаться! А ответ на Ваш вопрос - сообщите, какая версия платы трансивера? ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2053] Автор : Love777888 Дата : 17.12.2017 11:18 Здравствуйте, может кто знает, как прошить эту платку?277039 Шьется ли она через микроюсби? Искал в нете, есть продажа, описание так такового нет. Извиняюсь не в эту тему, пишу ся телефона, не обратил внимания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2054] Автор : R7KGA Дата : 17.12.2017 11:25 72 вывод SWDIO, 76 вывод SWCLK еще понадобится земля. Этого хватит чтобы прошить ST-Link-ом Скорее всего через USB тоже можно прошиться, судя по наличию двух кнопок. Одна кнопка явно RESET, а вторая для входа в DFU. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2055] Автор : leogoto Дата : 18.12.2017 09:31 6U8 TPS7633DBVR Хотя это источник питания 3,3 В, есть 4 В сразу после включения питания. Как ни странно, когда я нажимаю переключатель BAND, он изменяется на 3,3 В (нормальное значение). После этого он остается на 3,3 В. Входное напряжение составляет 5 В, и кажется, что он не осциллирует, когда он виден с помощью осциллографа. При выключении питания и включении он восстановится до 4 В. Я обменялся 6U8 и 6C11, 6C12, но симптомы были одинаковыми. Как ваш маламут? 6U8 TPS7633DBVR Although it is a 3.3 V power supply, there are 4 V immediately after turning on the power. Strangely, when I press the BAND switch, it changes to 3.3 V (normal value). It remains at 3.3 V afterwards. The input voltage is 5 V and it seems that it is not oscillating when it is seen with an oscilloscope. When turning the power off and turning it on, it will recover to 4V. I exchanged 6U8 and 6C11, 6C12, but the symptoms were the same. How is your Malamute? Leo. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2056] Автор : stari4ok Дата : 18.12.2017 09:56 кнопки тангенты все задействованы может использовать 2 оставшихся в "резерве"?Можно сделать стековую память на каждый диапазон,скажем 3-5 ячеек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2057] Автор : R3DI Дата : 18.12.2017 12:51 leogoto, hi! Check 10R11 10R12,( 10R11 set, 10R12 no set)? может использовать 2 оставшихся в "резерве"?Можно сделать стековую память на каждый диапазон,скажем 3-5 ячеек. Почему бы и нет, Вы можете вообще использовать разъем кнопок как матрицу 4х5 итог 20 кнопок и по необходимости установить i2c регистры PCF и получить еще 64 кнопки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2058] Автор : stari4ok Дата : 18.12.2017 14:37 установить i2c регистрыЭто без меня,-у меня тяму не хватает:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2059] Автор : EW2MS Mikhail Дата : 18.12.2017 21:34 Всем добрый вечер! Подскажите как лучше выходной трансформатор намотать? У меня первичка сделана с трубок. Понимаю, что вторичка должна иметь два витка. Есть провод МГТФ 0,75 с изоляцией 1мм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2060] Автор : leogoto Дата : 19.12.2017 01:59 Евгений, Потому что я использую Si5351, 10R11 и 10R12 не установлены. 6U8 Вход 5 V TPS7633DBVR является нормальным. OUTPUT 3.3V имеет 4V. Нажатие BAND SW приведет к нормальному значению 3,3 В. Это очень загадочное явление. Leo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2061] Автор : RX9UAO Дата : 19.12.2017 03:11 EW2MS Mikhail,мотаем в три,четыре провода,как можно плотнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2062] Автор : EW2MS Mikhail Дата : 19.12.2017 05:40 EW2MS Mikhail,мотаем в три,четыре провода,как можно плотнее. Провода скручивать или равномерно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2063] Автор : RX9UAO Дата : 19.12.2017 08:51 EW2MS Mikhail,равномерно.Еще можно оплетку с кабеля использовать:растягиваем,одеваем термоусадку,прожариваем.Можно изготовить провод диаметром,какой нужен под ваш бинокль. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2064] Автор : leogoto Дата : 19.12.2017 10:37 Поскольку мой кодер (а не VLcoder) является механическим, у него много болтовней. Между фазой А, фазой В и GND был добавлен конденсатор 103. Он работал гладко. As my encoder (not VLcoder) is mechanical type, it has many chattering. A capacitor of 103 was added between Phase A, Phase B and GND, respectively. It worked smoothly. 277235 Leo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2065] Автор : leogoto Дата : 19.12.2017 11:38 Евгений, Потому что я использую Si5351, 10R11 и 10R12 не установлены. 6U8 Вход 5 V TPS7633DBVR является нормальным. OUTPUT 3.3V имеет 4V. Нажатие BAND SW приведет к нормальному значению 3,3 В. Это очень загадочное явление. Leo Я нашел его. Это происходит только тогда, когда BAND при включении питания - MW и LW. Это не произошло на частоте 2 МГц или более. I found one. It occurs only when BAND at power on is MW and LW. It did not occur at 2 MHz or more. Leo. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2066] Автор : UA7KJ Дата : 19.12.2017 13:21 277247Кто может объяснить причину вот такого? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2067] Автор : RW3ACQ Дата : 19.12.2017 13:25 277247Кто может объяснить причину вот такого? А когда возникло? После обновления прошивки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2068] Автор : UA7KJ Дата : 19.12.2017 13:29 RW3ACQ, После установки кодека. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2069] Автор : ialexs Дата : 19.12.2017 13:54 В меню дисплея установите нужное вам отображение ,это IQ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2070] Автор : EW2MS Mikhail Дата : 19.12.2017 18:12 EW2MS Mikhail,равномерно.Еще можно оплетку с кабеля использовать:растягиваем,одеваем термоусадку,прожариваем.Можно изготовить провод диаметром,какой нужен под ваш бинокль. Сергей, большое спасибо. Сделаю с оплётки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2071] Автор : Love777888 Дата : 19.12.2017 21:23 Здравствуйте, пока не получается запустить аппарат из за неисправных кодеков, но есть вопрос на экране допустим отображается частота 21.225.000, нажимаю на передачу, на выходе смесителя вижу по частотомеру, что частота сдвинута на 10 кГц ниже, это как то подстраивается? И из за чего это может быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2072] Автор : DeXter Holland Дата : 19.12.2017 22:12 не подавленная несущая.... если б было время, сделать балансировку смесителя как в сдр 2000 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2073] Автор : Love777888 Дата : 19.12.2017 22:14 Здравствуйте, забыл добавить режим фм был. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2074] Автор : R3DI Дата : 19.12.2017 23:45 забыл добавить режим фм был. так понимаю вопрос решился сам собой или все-таки требует пояснения? не подавленная несущая.... если б было время, сделать балансировку смесителя как в сдр 2000 пожалуйста можно про это по подробнее для этой схемы(Маламута) - интересует как раз задача обратная - сделать несущую (для ТХ АМ)(не зеркальный канал, а именно несущую) It occurs only when BAND at power on is MW and LW. It did not occur at 2 MHz or more. leogoto, hi! Проверьте цепи микросхем 3U1 & 3U2 - возможно короткое замыкание ( а возможно и неисправность портов CPU управления этих микросхем 3U1 & 3U2 - опять же по причине короткого замыкания) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2075] Автор : Love777888 Дата : 19.12.2017 23:55 так понимаю вопрос решился сам собой или все-таки требует пояснений Евгений, здравствуйте, да еще как требует. Крутить без инструкций не хотелось бы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2076] Автор : R3DI Дата : 20.12.2017 00:15 да еще как требует Приветствую! Для режима ФМ(так и АМ) и если нет модулирующего сигнала( звука в микрофон/тона) несущая и должна быть. Другое дело Ваши показания 10кГц несколько смущают, но мне не известно какой именно фильтр у Вас выставлен, режим FM или FM2 и точность установки кварца гетеродина. Например для режима FM2 частота несущей равна 1/2 ширины фильтра (для полосы 10кГц - несущая 5кГц) и показания частоты на дисплее с учетом этой поправки. Для FM и АМ, точно не помню, но где-то около 100 Гц вроде (не зависимо от полосы выбранного фильтра)... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2077] Автор : Love777888 Дата : 20.12.2017 09:37 Евгений здравствуйте! Режим стоял FM, кварц гетеродина у меня выставлен 25 Мгц, фильтр 5 или 6 кГц был выставлен, а в меню dds выставляется, чего нибудь? Тот пункт меню с кварцем на 25 Мгц, это понятно, ещё какие пункты могут быть? Тот якобы непонятно исправный или нет кодек, который шумел в +60 на прием, я установил в TX, микрофонная цепь не работает после кодека, когда сильно дуешь в него, тогда еле еле, что то слышно. Тон работает один и второй. Можно ли фото экрана в режиме передача в FM, без модуляции? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2078] Автор : R3DI Дата : 20.12.2017 12:39 Можно ли фото экрана в режиме передача в FM, без модуляции? Посмотрел на выходе смесителя - там оценить сложно, да и после полосовика, а вот на коллекторе первого каскада РА сигнал четко соответствует частоте на дисплее. 277291 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2079] Автор : DeXter Holland Дата : 20.12.2017 20:14 пожалуйста можно про это по подробнее для этой схемы(Маламута) - интересует как раз задача обратная - сделать несущую насчет балансировки - то вот две схемы, одна с сдр - 2000 - смещение через оу и цифровой потенциометр, вторая - предложенная YU1LM. в свое время делал для 1000 сдр из кучи резисторов (на каждый диапазон) для подавления несущей при передаче, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2080] Автор : Love777888 Дата : 20.12.2017 21:07 Евгений здравствуйте, спасибо за фото, вот мое фм и ам, у меня складывается мнение, что это все из за кодеков277328 277329 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2081] Автор : EW2MS Mikhail Дата : 20.12.2017 22:13 У меня походу на передачу, тоже кодек плохой? https://www.youtube.com/watch?v=fiVv1yLca_E -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2082] Автор : Love777888 Дата : 21.12.2017 00:00 EW2MS Mikhail, приветствую! У меня также шумит, и микрофон не работает вдобавок, если придет очередной левак, придется заказывать в россии, где продают комплектующие на sw20XX, других вариантов не вижу. Китай лотерея. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2083] Автор : R3DI Дата : 21.12.2017 00:27 EW2MS Mikhail, у Вас походу видео все в порядке с кодеком, режим мони тут такой, его только в ссб использовать можно, на полноценный мони не хватает ресурсов и тут то что на выходе тх кодека транслируется в динамик, потому в фм такое и слышите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2084] Автор : EW2MS Mikhail Дата : 21.12.2017 07:10 Евгений, так на контрольном приёмники тоже такой же шум в FM, moni тут не причём. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2085] Автор : un7las Дата : 23.12.2017 13:05 Огромное спасибо автору Евгению R3DI и Александру UR4QBP. Классно работает аппарат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2086] Автор : RK3AQW Дата : 23.12.2017 15:39 то R3DI//Жень,ну и мелкие же деталюхи эти 603)),монтаж очень плотный,2 дня набиваю,40% пока натыкал,еще раз спасибо за платы,компановка элементов на плате конечно супер,с учетом всех дальнейших хотелок и доделок сделано,паяльником 5 вольтовым иголкой местами тяжко полезть)),времени на трассировку потрачено много,за что респект тебе,но оно того стоит,было интересно с тобой пообщаться лично:пиво::пиво::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2087] Автор : R7KGA Дата : 23.12.2017 15:43 А что? Платы появились? Я что то пропустил? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2088] Автор : RK3AQW Дата : 23.12.2017 16:01 R7KGA, Ну,Евгений очередь по заказам плат держит,вот на этот раз я как в спортлото попал)в смысле в очереди,долго стоял,у него же не промышленные маштабы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2089] Автор : ua3ycv Дата : 23.12.2017 16:13 компановка элементов на плате конечно супер,с учетом всех дальнейших хотелок и доделок сделано,паяльником 5 вольтовым иголкой местами тяжкочто есть-то есть!это на первый(!)день монтажа-потом приходит осознание логичности!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2090] Автор : Павлуша Дата : 23.12.2017 16:34 Всем добрый вечер! С наступающим новым годом!!! Подскажите у кого есть плата? Где заказать? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2091] Автор : RK3AQW Дата : 23.12.2017 16:40 Павлуша, Автору R3DI в личку пишите,всем отправляет по мере поступления -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2092] Автор : Александр Ф. Дата : 23.12.2017 16:42 Платы появились? Я что то пропустил? Также в очереди жду. Может к НГ обрадуюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2093] Автор : Павлуша Дата : 23.12.2017 16:43 Все понял. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2094] Автор : DeXter Holland Дата : 23.12.2017 18:27 на сайте ur4qbp (http://ur4qbp.ucoz.ua/forum/5-231-4199-16-1509799454) наткнулся на "Доработка тракта передачи" http://ur4qbp.ucoz.ua/forum/5-231-4199-16-1509799454 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2095] Автор : RW6HCH Дата : 23.12.2017 20:10 Всем добрый вечер! С наступающим новым годом!!! Подскажите у кого есть плата? Где заказать? Спасибо! если время не ждет, то этот вариант http://www.qrz.ru/classifieds/search?yt0=&where=&q=%D0%BC%D0%B0%D0%BB%D0%B0%D0%BC%D1%83%D1%82 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2096] Автор : Павлуша Дата : 23.12.2017 21:37 Торопится пока некуда. Напишу автору в личку. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2097] Автор : RK3AQW Дата : 24.12.2017 15:53 Вопрос, конденсаторы 11С9,11С5 и 11С13 на 2,2мкф в обвязке процессора можно заменить на неполярные керамику 1-...2,5 мкФ? По даташиту смотрел вроде неполярные можно,или я не прав:shock: ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2098] Автор : RW3ACQ Дата : 24.12.2017 21:29 Вопрос, конденсаторы 11С9,11С5 и 11С13 на 2,2мкф в обвязке процессора можно заменить на неполярные керамику 1-...2,5 мкФ? По даташиту смотрел вроде неполярные можно,или я не прав:shock: ? Если в схему глянуть (ASDR_SCHv1.3.pdf), то поляризованные стоят там. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2099] Автор : Stranger Дата : 24.12.2017 23:55 Вопрос, конденсаторы 11С9,11С5 и 11С13 на 2,2мкф в обвязке процессора можно заменить на неполярные керамику 1-...2,5 мкФ? По даташиту смотрел вроде неполярные можно,или я не прав:shock: ? Вот и делайте по даташиту и не переживайте... 11C9 = 1µ, 11C5 и 11C13 = 2.2 µF ceramic capacitors. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2100] Автор : SP5TAA Дата : 25.12.2017 02:18 Привет всем, радостное Рождество для всех вас и каждого с вашими семьями, и все добро, здоровье и процветание в новом году. Много новых радиолюбительских структур. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2101] Автор : ua3ycv Дата : 25.12.2017 09:16 По даташиту смотрел вроде неполярные можно,или я не правя ставил неполярные по даташиту-но и электролиты там хорошо себя чувстуют. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2102] Автор : UU5JPP Дата : 25.12.2017 12:27 Доброе время суток!!! Решил оживить тему :пиво: Собрал я "МАЛАМУТА" и запустил на передачу, но принимает он странно либо от криворукости. Дето вот в чем, когда в меню DDS стоит частота кварца 25мГц и сам кварц на плате SI-ки тоже 25мГц и генерирует он 25 на ножке мерил частотомерам, на выходе сишки 14мГц если я ставлю частоту на дисплее маламута 7мГц, в этом случае нет приема вообще ни где, а если я в меню DDS выставляю частоту кварца 28мГц тогда появляется прием но с разницей в 800кГц либо устанавливаю в меню 50мГц тогда разница в том что 40м диапазон я принимаю с разницей в 7мГц то есть на дисплее 14мГц. И куча зеркалок на экране, скидываю видео того что у меня получилось, за качество не пинайте снимал на телефон. https://www.youtube.com/watch?v=Vii_fB-nYmU&feature=youtu.b e -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2103] Автор : ua3ycv Дата : 25.12.2017 15:01 Собрал я "МАЛАМУТА" и запустил на передачу,а с передачей всё нормально? но принимает он странно либо от криворукости.руки пока не трогаем!на видио присутствие приёма напоминает "зависание" кодека-у меня на другом трансивере бывает похожее-но там решается всё "чудо-кнопкой". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2104] Автор : UA0BHC Дата : 25.12.2017 15:04 на видио присутствие приёма напоминает "зависание" кодека так он частоту другого диапазона кажет. Что-то мне кажется - не кодек это. может сброс попробовать? "Настоятельно рекомендую произвести чистку FRAM после программирования! - режим очистки FRAM -> ONpwr+(C+D)key" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2105] Автор : ua3ycv Дата : 25.12.2017 15:15 UA0BHC, так он частоту другого диапазона кажет.сброс обязательно-но у меня на монке-сразу приём на 7 и 14 и куча поражёнок сходящих в центр экрана.это версия и не более-думаю появится автор-поправит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2106] Автор : UU5JPP Дата : 25.12.2017 15:48 Все за работало:пиво: поменял сишку и все пошло как часы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2107] Автор : ua3ycv Дата : 25.12.2017 17:07 UU5JPP,вот интересно-а на кривой сишке как передача работала? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2108] Автор : Love777888 Дата : 25.12.2017 17:17 Добрый вечер, всех с праздником! Подскажите DDS Mck kHz это что? поставил этот параметр на 3 кГц, частота передатчика стала как надо, на видео у UU5JPP (http://www.cqham.ru/forum/member.php?32377-UU5JPP) там и вовсе 487, после заливки прошивки было у меня 12 кГц. Расскажите что это за параметр, а лучше все пункты DDS рассказать было бы неплохо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2109] Автор : UU5JPP Дата : 25.12.2017 17:28 На передачу не пробовал с той сишкой, по этому не скажу, да я не уверен что сама сишка виновата была, так как я старую плату на которой была сишка выпаял и новую за паял, и на прямую сигнал подал только 0,1мкф стоит под платой.https://yadi.sk/i/TE00kA833QwJ5N -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2110] Автор : VitaliyDF Дата : 25.12.2017 23:36 Love777888,https://youtu.be/n24k6ViU3XA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2111] Автор : ua3ycv Дата : 26.12.2017 09:03 UU5JPP, Собрал я "МАЛАМУТА" и запустил на передачу,вот что смутило-прошу прощения за офф-топ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2112] Автор : UU5JPP Дата : 26.12.2017 09:04 Опечатался ))):пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2113] Автор : Comandante Дата : 26.12.2017 09:05 Прошу меня извинить, но возник вопрос: у этого трансивера есть вывод водопада на монитор? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2114] Автор : RD3Q Дата : 26.12.2017 13:17 у этого трансивера есть вывод водопада на монитор? Конечно есть, прямо из трансивера так и течет, только направляй!:-P Шутка! В меняю есть включение режима IQ выхода, через USB разъем. А там уж в какую захотите программу направляйте и наслаждайтесь спектром!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2115] Автор : RW3ACQ Дата : 26.12.2017 14:53 Коллеги, а подскажите, кто какой драйвер использует для китайского программатора ST Link v2. Мне очень нужен под Win XP. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2116] Автор : Love777888 Дата : 26.12.2017 16:27 Коллеги, а подскажите, кто какой драйвер использует для китайского программатора ST Link v2. Мне очень нужен под Win XP. Спасибо! ВЫ программу качайте с ST, там все автоматом у меня стало на XP после инсталляции проги. Не забудьте в меню обновить программатор, у меня только после обновы начал шить VGT6 Вот с первых секунд апгрейд человек делает https://www.youtube.com/watch?v=VXzYUGs3uk0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2117] Автор : RK3AQW Дата : 27.12.2017 13:02 Вот и я запустил :ржач: при первом включении,сразу фейрверк,бабахнул тантал китайский(16 в) на входе стабилизатора 5в),далее танцы с бубном,возбуд----результат кодек не рабочий,под увеличением на микрухе кристал видно,надо новый заказывать,запаял кодек с ТХ,и малышек зажурчал,первые впечаления,я в восторге:crazy:))пока без полосовиков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2118] Автор : RW3ACQ Дата : 27.12.2017 13:17 RK4AQW , поздравляю с началом! пока без полосовиков - ни ФНЧ ни ДПФ не ставили? Куда антенну подключали для проверки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2119] Автор : RK3AQW Дата : 27.12.2017 13:28 RW3ACQ, антенну напрямую к 6С7 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2120] Автор : vic1979 Дата : 27.12.2017 14:36 Заголовок : маламут прием Добрый день! Уважаемое сообщество пожалуйста подскажите что сделать, чтобы убрать непонятные помехи без антенны. Вот пример https://youtu.be/5vIOWop8nVE?t=138 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2121] Автор : RK3AQW Дата : 27.12.2017 14:47 синтезатор гадит до Сишки дотронтесь,частота плывет,значит она -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2122] Автор : ut29641 Дата : 27.12.2017 14:55 У меня так же..Сишки менял..Без изменений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2123] Автор : RW6MQ Дата : 27.12.2017 14:57 синтезатор не причём - это CS4272 пылят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2124] Автор : RW6MQ Дата : 27.12.2017 16:08 Так же обратите внимание на провода и шлейфы, если применять рассыпуху проводов до дисплея - начинает наводится цифровая часть на приёмную....так сказать. поэкспериментируйте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2125] Автор : ua3ycv Дата : 27.12.2017 17:16 наверное желательно попробовать в экраны если длинные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2126] Автор : ua3lnm Дата : 27.12.2017 21:24 синтезатор не причём - это CS4272 пылят. У меня тоже этих мулек по диапазонам навалом ,какие тише какие громче,короче очень мешают. Кодеки пробовал с разных партий,мульки остаются на месте. Я склоняюсь к синтезатору,но пока нечем заменить. Да ещё, дисплей отключал полностью со шлейфом ,результат тот же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2127] Автор : leogoto Дата : 28.12.2017 01:48 Я снова сменил аудио усилитель от TDA7233 до PAM8406. На этот раз это сработало. Кроме того, всплывающий шум был уменьшен. Большое вам спасибо за ваш совет. Имейте хороший год. :smile: I changed the audio amplifier again from TDA7233 to PAM8406. This time it worked. Also, pop-up noise was reduced. Thank you very much for your advice. Have a nice year. Leo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2128] Автор : R3DI Дата : 28.12.2017 17:34 Да ещё, дисплей отключал полностью со шлейфом ,результат тот же. Пробовал даже не то что отключить, а водил кнопку которая полностью прекращала обмен по шине с дисплеем - ничего не меняло (по параженкам). Еще пробовал подключить кодек WM8731, он на отдельной плате со своим 3,3В на проводках(5см) был подпаян к плате Маламута ( CS-а отключил клоки и питание ) - тоже все на местах (пораженки). А сегодня пробовал клокировать и СИшку И проц. одним генератором - 22мГц (поправив все что нужно в коде) - результат тот же. Весь диапазон не крутил, смотрел "основные елки" и гармоники, у меня это частота ~3,083 мГц и далее умножения - отстройка от этой частоты(гармоник) - вызывает разбег "палок" со скоростью выше частоты перестройки, полагаю что "одинокие палки" которые встречаются на диапазоне (и скорость их перемещения выше скорости приращения частоты гетеродина) результат тех что написал выше. Но это уж если совсем докапываться, и все это без антенны, с ней многие "маскируются" шумами эфира, и за долгое время работы так и не мешали. Жаль нет подобного трх-а в наличии для сравнения (не Маламута), в инете не нашел ( молчат видимо :smile:), попросил коллегу имеющий другой- подобный (не скажу какой :smile:) - прислал много картинок и целый список, но это так - успокоило что тоже есть - а по статичным картинкам не качество не количество конечно не оценить. В общем если у кого есть какие предложения - пожалуйста, будет время попробуем в пределах возможного. ---------------------------------------------------- По платам. Часть пришла и отправлена, что-то в наличии, и уже на подходе еще. Основная рассылка уже после НГ, ( ну может 2-4 шт смогу завтра утром отправить(по России) если кто напишет и "все срастется" до утра, но не обещаю 100%) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2129] Автор : RW3ACQ Дата : 28.12.2017 17:45 Евгений, приветствую! Спасибо за хорошие новости по платам! А очередникам вы в ЛС напишете по готовности? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2130] Автор : R3DI Дата : 28.12.2017 18:03 А очередникам вы в ЛС напишете по готовности? По мере возможностей - да. Но лучше написать мне, кому написал - кто забрал, а кто молчит... а держать за них очередь... да и мне тяжело, высылаю - и заказываю сразу еще. Давайте не как "в тот раз" - все это проходили и разъясняли. Да тут еще и почтовое отделение на ремонт закрыли, а в другом теперь жуть-жуткая. Пока есть хоть один желающий - платы заказывать буду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2131] Автор : vic1979 Дата : 28.12.2017 18:16 По поводу пораженок, интересно у китайского клона mchf - RS-918 как с этим дела обстоят. Изделие можно сказать промышленное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2132] Автор : ua3ycv Дата : 29.12.2017 09:14 там они то-же есть-но синтез там на 570сише. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2133] Автор : UA0BHC Дата : 29.12.2017 10:06 А для чего используется вторая крутячка на лицевой панели? Одна - громкость, а вторая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2134] Автор : R3DI Дата : 29.12.2017 10:43 UA0BHC, ±1к, rit, lock -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2135] Автор : UA0BHC Дата : 29.12.2017 11:27 то есть это должен быть механический энкодер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2136] Автор : Алекс88 Дата : 29.12.2017 11:53 Так точно. "трещотка". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2137] Автор : UR7HBP Дата : 29.12.2017 11:53 Так: что подключается к разъёмам Encoder и Vlcoder? В чем различие? Encode - енкодер "трещетка" для перестройки с шагом 1 кГц Vlcoder - оптический енкодер на 360 имп/об Почитайте http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1472594&viewfull=1#post1472594 много вопросов отпадет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2138] Автор : UA0BHC Дата : 29.12.2017 13:35 Спасибо за подсказки. Я просто в одном из видео видел вариант передней панели совсем без него. Видимо на клавиатуре тангенты есть кнопки-дублёры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2139] Автор : R3DI Дата : 29.12.2017 13:41 Видимо на клавиатуре тангенты есть кнопки-дублёры этих функций нет, видимо собирают кому как нравиться, конструктор же :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2140] Автор : ua3ycv Дата : 29.12.2017 14:00 Еще пробовал подключить кодек WM8731а вот с этого места можно по подробней? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2141] Автор : R3DI Дата : 29.12.2017 14:23 а вот с этого места можно по подробней? Есть у меня платка ЛУТ с кодеком для опытов, в коде Маламута сделал функцию инита кодека по i2c WM(уже и не помню что там настроил), //CodecWM8731_Reset(); CS4272dig_init(); , скидывал резисторы по 100 ом с кодека CS интерфеса I2S , подпаивал WM, два проводка на линейный вход - ну смотрел что получалось. Что то конкретное сказать не могу, потому как нужно для этого точно режимы задать, коэфф. усиления настроить и тд и тп и рядом иметь для сравнения на CS - а этого всего не делал и нету. Так, ради интереса попробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2142] Автор : ua3ycv Дата : 29.12.2017 14:31 понятно-просто хотел "соскочить" на этот кодек-есть "настоящие".:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2143] Автор : RW6MQ Дата : 29.12.2017 20:34 Доброго времени суток! Закончил настройку ДПФ, выкладываю получившиеся АЧХ ФНЧ и ДПФ, так же режим байпаса. NWT откалиброван, обратите внимание на затухание через 10шт PE :) РЕшки оригинал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2144] Автор : RA6ANR Дата : 29.12.2017 20:57 RW6MQ, а я обрадовался,что китайские pe-шки заработали...радость закончилась когда начал настраивать фильтра.ачх похожи на лесенку,четко просматриваются соседние фильтры...жду комплект оригинальных pe -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2145] Автор : ua3lnm Дата : 29.12.2017 22:43 Весь диапазон не крутил, смотрел "основные елки" и гармоники, у меня это частота ~3,083 мГц и далее Совершенно верно ! У меня именно тоже на этой частоте и далее. А ещё у меня было желание поставить его в авто и использовать как СВ на 27135 это 15 канал, а там как раз эта муляка и не малого уровня. Да и на других диапазонах их хватает,особо неприятно в DX участках 3795 или 14016 и тд. вот и хочется как то победить это непонятное явление. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2146] Автор : RW6MQ Дата : 30.12.2017 09:59 А ещё у меня было желание поставить его в авто и использовать как СВ на 27135 это 15 канал, а там как раз эта муляка и не малого уровня. Так используйте:) Вот фрагмент приёма АМ на 27135 и работы SQL (ad9951) https://youtu.be/lAFvO0BmKYc А вот приём дальней станции в ЧМ на 27230 (версия на si5351), QSB и смена фильтра, обзор по диапазону https://youtu.be/z86yM8Nfxpk Добавлю - поражёнки есть везде, во многих радио и на различных частотах, как и побочные каналы приёма. Но когда перед нами нет панорамы - мы крутим ручку в счастливом неведении, порой не замечая палку, а побочный канал приёма - принимаем за помеху. Всё относительно! :) радио ОЧЕНЬ достойное, после него не 718, не SW, не другой аналоговый раритет слушать не хочется, кто крутил Маламут - поймёт о чём я:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2147] Автор : ua3ycv Дата : 30.12.2017 11:13 пора уже ветку открывать-"любителей Маламута":пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2148] Автор : RW3ACQ Дата : 30.12.2017 11:21 Доброго времени суток! Закончил настройку ДПФ, выкладываю получившиеся АЧХ ФНЧ и ДПФ, так же режим байпаса. NWT откалиброван, обратите внимание на затухание через 10шт PE :) РЕшки оригинал. Эдуард, приветствую! А про методику настройки ДПФ\ФНЧ расскажите, пожалуйста, поподробнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2149] Автор : RW6MQ Дата : 30.12.2017 16:33 Эдуард, приветствую! А про методику настройки ДПФ\ФНЧ расскажите, пожалуйста, поподробнее. Я делал так. 1. контроль ФНЧ, у меня версии платы 1.2 (нет разрывных пинов перед и после ДПФ) в антенное гнездо подключаю NWT, снимаю с реле rx/tx (реле не впаяно!) либо переведя трансивер в tx - с обмотки не впаянного бинокля. В FAQ есть моточные данные - у меня получилось везде на 1виток меньше. Емкости применил 1206 мурата, хоть и в моей версии платы нет под них пятачков-они прекрасно становятся на существующие пяточки. Тут все просто-если индуктивность как указана на вхеме-все АЧХ красивые. Просто контролируем и приступаем к ДПФ. 2.ДПФ я настраивал с подключенными ФНЧ-так же подключаю в антенное гнездо, а на детектор снимал с 6с7, отключив обмотку тр-ра 6тр1 . Далее по диапазонно начинаю контроль -настройку ДПФ. Для этого использую двойной конденсатор от приемника 12-496пф и ряд смд емкостей, которые подкидываю и убираю контролируя картинку. Смысл прост-изменяя емкости -добиваемся лучшую картинку, упор делая на границы любительских диапазонов. Учитывая 10% и более разнос в индуктивностях , настроечные емкости всегда разные, я настраиваю уже второй маламут, используя теже индуктивности, с тойже ленты!!! А емкости уже другие, так что не стоит ровняться на чьито величины, у вас они 99,9 будут индивидуально другими. Много букв...и по сути не одной)) резюме-настраивать нужно, обязательно! Метода стандартная, инструмент - любой измеритель АЧХ который способен развернуть необходимую полосу, не забывая что вход и выход измерителя должны быть 50 ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2150] Автор : RW3ACQ Дата : 30.12.2017 20:54 RW6MQ, Эдуард, благодарю за подробное об'яснение! Еще небольшой вопрос по 11R6 (200k+0,1uF, 0603). Резистор припаян конструктивно сверху конденсатора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2151] Автор : Алекс88 Дата : 30.12.2017 21:08 Евгений, на схеме V1.2 резистор 11R6 номиналом 1к а на схеме V1.3 номинал 200к. Где правильно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2152] Автор : R3DI Дата : 30.12.2017 21:17 Алекс88, если будете дорабатывать до возможности программного входа в режим DFU, то 11R6 - 100к..200к и параллельно емкость 0.1 uF если нет - то просто 1к..10к -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2153] Автор : Алекс88 Дата : 30.12.2017 21:49 Спасибо! Добавлено через 25 минут(ы): https://youtu.be/CvDrPDkV7VQ Евгений не подскажете что бы это значило? Плохой кодек? Какие то "пульсации"... При включении EQ RX они пропадают https://youtu.be/vuP7JYrAG3A -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2154] Автор : Love777888 Дата : 31.12.2017 01:34 Здравствуйте всех с наступающим Новым Годом! Запустил на прием, откалибровал частоту, вроде работает, по мне как то много зеркалок, в меню RX IQ ставлю Auto, оно то вроде лучше, но все равно есть, особенно на мощных станциях, заметил, чем чище эфир тем их меньше. На передачу затык, какой то, кодек ведет себя также как и прежний, микрофон еле слышен, какой размах с ОУ идет на кодек с микрофона? В меню мик гэйн поставил максимум, ничего не помогает. В фм на передачу, если выставлена девиация 5кГц, то передача стоит на 2-3кГц с боку, 278170 Если стоит 0, стоит ровно где надо. Ставил тх кодек в rx, работает, ставил с rx в tx, тоже самое, но микрофон, вообще даже если сильно дуть в тангенту, не слышно даже шероха, хотя до смены местами шерохи были. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2155] Автор : R3DI Дата : 31.12.2017 11:54 Алекс88, похоже на кодек. Love777888, нужно все прверить, зеркалки на прием - станция +60, а шумы менее 5 балов, только тогда можно заметить, а иначе со схемой не то что то. Вот когда такие показателм будут на 40ке, можно и автоматику включать. Про передачу видно по картинке что пост.составляющая, а из-за кодека или монтажа по картинке не скажешь ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2156] Автор : UU5JPP Дата : 31.12.2017 13:42 С наступающим Новым годом :пиво: еще не финал. 160х100 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2157] Автор : RA4UIR Дата : 31.12.2017 15:15 продавать будете ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2158] Автор : UU5JPP Дата : 31.12.2017 15:21 Пока сам не испытаю ничего сказать не могу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2159] Автор : Love777888 Дата : 31.12.2017 15:51 Евгений приветствую Вас! Сегодня еще раз сел, покрутил трансивер, зеркалок куча на больших сигналах на вещалках, монтаж перепроверил все гуд. Заметил, что укв не тянет, проверить такие частоты нечем, трансформатор после си не стоит. 40 Мгц по моему самодельному dds тянет. Прием идет на 5/8 си би антенну. Антенна подключена в обход полосовиков. Может я не правильно трансформатор намотал в приемнике, если есть ссылка ткните, как его мотать. Может в нем дело, колечко для транса брал с материнки(сетевой разьем) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2160] Автор : Алекс88 Дата : 31.12.2017 16:04 Евгений, вопрос снимается. "Косячок" найден! Был банальный "непропай"....:oops:. Трансивер "задышал"! Спасибо Вам за отличную конструкцию с наступающим Новым Годом здоровья и успехов Вам и Вашим близким! Ждем новых проектов!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2161] Автор : ur5yfv Дата : 31.12.2017 16:40 Евгений, было время ... добрался до пункта CW_Decode, то есть прием и отображение телеграфа. Пробовал по разному выставлять в настройках, подходил с разных сторон, Но даже намека не увидел, чтобы корректно отобразился хотя бы один знак или фраза. А мигание надписи RX, я так понял и есть захват !? Мне конечно оно не нужно, но на будущее, может попробовать другой кусок кода ?! https://www.youtube.com/watch?v=CDvDZ0g7GLc& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2162] Автор : R3DI Дата : 31.12.2017 16:57 Антенна подключена в обход полосовиков. возможно это не зеркалки вещалок, а прием на гармониках (не четных) других вещалок , другого диапазона - они как правило в этом случае в другую сторону перемещаются и скорость перемещения выше. Еще если разные версии пробовали шить - то чистка памяти обязательно - бывает что не то может попасть в коэф. компенсации. Про 40ку - имел ввиду в метрах, 7 мГц. А мигание надписи RX, я так понял и есть захват !? все верно. А про CW_Decode - метод не адаптивный (по скорости), только при совпадении скорости работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2163] Автор : UA7KJ Дата : 31.12.2017 18:13 Заметил, что укв не тянет У меня тоже укв не работало на какие попало антены а на пинцет работает. Подключите бендовые антенны и посмотрите на зеркалки у меня они тоже есть но не до такой степени, чтобы они мене мешали. Что то там бегает на уровне 3-5 балов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2164] Автор : RK3AQW Дата : 31.12.2017 18:27 укв только до 75 мгц ,дальше срыв генерации,такие си-шки достались... C Новым годом ,пошел оливье трескать и......:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2165] Автор : Love777888 Дата : 01.01.2018 01:57 Всех с наступившим новым годом! Всем положительных эмоций в этом году. По поводу зеркалок, зеркалки в основном на радио ам в низких бендах, на 7 Мгц, их почти нет, на 14Мгц вообще чисто и великолепно принимает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2166] Автор : RD3Q Дата : 01.01.2018 08:55 Поздравляю Всех Маламутолюбителей с Новым 2018 годом! Здоровья, счастья и успехов в нашем интересном занятии! Огромное спасибо Евгению - автору отличного трансивера! :super::smile: :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2167] Автор : alekseyr2001 Дата : 01.01.2018 23:27 Всех С Новым Годом. Коллеги ни кто не сталкнулся с проблемой работы механического энкодера. Крутишь по часовой стрелки , частоту прибавляет, крутишь против часовой стрелки скачет частота то вверх то вниз. Энкодер менял на другую модель, емкости от дребезга стоят. ???????? Может нужно +5в через резистор добавить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2168] Автор : UA0BHC Дата : 02.01.2018 05:07 посмотрите осциллографом, если есть, - должны импульсы примерно одинаковые идти -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2169] Автор : us5evd Дата : 02.01.2018 08:49 у некоторых средний вывод бывает не на земле... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2170] Автор : stari4ok Дата : 02.01.2018 08:56 даже намека не увиделКак-то несколько раз получалось разглядеть,пусть со сбоями,но работает.Будем надеяться что в последствии автор дожмёт проект,будет ваще бомба! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2171] Автор : Love777888 Дата : 02.01.2018 14:41 Здравствуйте, а кто нибудь подскажет о автоматике шумодава? В меню выставляется как я понял порог открытия в зависимости какое значение s-metr-a, в общем ставил и +1 и +2, не работает как надо, с генератора даёшь сигнал мощный вроде открывает, на станциях нет такого. Фм шумный хотелось бы немного комфорта, в наушниках шум пипец какой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2172] Автор : R3DI Дата : 02.01.2018 16:38 Love777888, все верно, порог от показаний Sметра. Сейчас перепроверил, рабоает на всех модах как нужно. Что означает ”вроде”(он либо открыт, либо закрыт)? Слева на дисплее SQL показывает состояние шумодава. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2173] Автор : Love777888 Дата : 02.01.2018 17:52 Как трудно быть тупым, в том чего не понимаешь, это я про себя, не отрабатывает корректно он у меня, в основном молчит, с метр почти всегда больше трех показует, настроил +1, молчит зараза. Причем сигнал на муте проходят, а вот разблокировать ни в какую, с передачей тоже затык, второй кодек себя ведет также, скрины выкладывал выше, не пойму вобще в чем дело. Может процессор не выдает нужное, по командам. Короче голова уже болит от не знания. Кстати фм, скорее не работает, потому что скорее си шка срывает гегерацию после 55 Мгц, на экране это выглядит как дергание в такт покручивания оптического энкодера. Что интересно детали все заказывал там же где остальные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2174] Автор : RW3ACQ Дата : 02.01.2018 17:57 Love777888, приветствую и с Новым Годом! Сишку, проц и кодеки на али заказывали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2175] Автор : Love777888 Дата : 02.01.2018 18:19 Да на али, как и все остальное, как и все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2176] Автор : R3DI Дата : 02.01.2018 18:35 Love777888, +1 это S9+1дБ, и если с-метр на уровне s3, то шумодав канечно бедет закрыт. Немного странное следствие про не работу фм и срыв генерации - они никак не связаны. Может у Вас ФНЧ после сишки давит, а дерганье это из-за перестройки фапч в сишке на частотах выше 56 мГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2177] Автор : UA4FTA Дата : 02.01.2018 18:37 Евгений,а как вы относитесь к изменением в номиналах ,которые внёс UR4QOP в своём аппарате-можно их претворять в плату? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2178] Автор : R3DI Дата : 02.01.2018 19:31 для обсуждения ” что где по чем” есть тема - ”Детали для Маламута”, а то теряются вопросы технического характера.(вот как сейчас) Добавлено через 48 минут(ы): UA4FTA, фнч не менял, потому как этот кусок всят из 1500го, а вот добавить 4*4.7к последовательно емкостям с кодека сигнал делает чище, да и с делителей 10к на 100к заменить, а то для сигнала получится делитель хороший. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2179] Автор : UA4FTA Дата : 02.01.2018 20:10 del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2180] Автор : ialexs Дата : 02.01.2018 20:30 Поскольку 5532 имеют входное сопротивление, то замена резисторов в делителе ситуацию не спасет , уровень нч однозначно упадет ,который согласно схемы можно компенсировать только по вч, что в свою очередь увеличит несущую. Напрашивается изменение усиления по нч , но это потребует изменение печатной платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2181] Автор : Sergey_Lapin Дата : 02.01.2018 20:47 Всех с Новым годом! Вот и у меня началась, сборка. Пока только установлено STM, ее обвязка и дисплей. Памяти FM24CL64G пока нет и ... остальное , ждемс...посылки. 278378 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2182] Автор : Love777888 Дата : 02.01.2018 21:00 Love777888, +1 это S9+1дБ, и если с-метр на уровне s3, то шумодав канечно бедет закрыт. Немного странное следствие про не работу фм и срыв генерации - они никак не связаны. Может у Вас ФНЧ после сишки давит, а дерганье это из-за перестройки фапч в сишке на частотах выше 56 мГц. Евгений, шумодав работает как надо, это я упустил из виду что там есть и меньшие значения с метра. Укв… этот диапазон вроде и шипит и дорожка шумовая имеется, но приема никакого, сегодня специально поднял список укв станций по Бресту, ни словил не одной, на кусок провода около метра слышно как изменяется шум в динамике если антенну перемещаешь или меняешь ее положение. Есть у меня частотомер 200 Мгц он меряет, по си будет все ясно. После си фнч нет, трансформатора тоже нет. Ещё кнопки 3 и 4 + включение питания делают очистку fram? Как можно судить о том, что прошла очистка, параметры какие нибудь по умолчанию становятся? Один раз получилось, как я понял произвести сброс, у меня с метр на передачу начал «прыгать» в такт голоса, шпиль в фм ровно стал в середке экрана, как на вашем фото, после в меню мик гейн поставил значение чуть больше и все после этого ресет, не помогал, вот задаюсь вопросом как узнать, о том, что произошел сброс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2183] Автор : R3DI Дата : 02.01.2018 21:31 ialexs, ну не знаю спасет не спасет, а вот разница тх в ”молчании” на выходе РА даже на осциллографе заметна хорошо. Мы об одном и том же речь ведем? Замена не в делителе, а резисторов с делителя, иначе вместе с новым фнч с 4.7к орбразуют делитель. А про несущую понять немогу, для создания в этом смесителе нужно подать постоянное напряжение, но установлены разделительные конденсаторы, возможно не идеальност fst, но мне ее замерить не удалось, осцил в 50 дБ не земечена, контрольным приемником это не замер - ловит гетеродин, не завист от режима рх тх, а при тх не зависит от выбраной мощьности. И так же не земетили на ближней связи. Добавлено через 19 минут(ы): Love777888, после ресета, на дисплее надписи что все структуры reload. ”не помогло” - Вы лучше напишите что Вас смущает в рабете, может ресет и не причем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2184] Автор : alekseyr2001 Дата : 02.01.2018 22:30 Добрый Вечер. Может немного дурацкий вопрос но тем не менее. Рядом с STM стоит светодиод я тут заметил что у многих он на видео мегает. У меня он всегда светится , хотя Маламут работает. И вот еще я ранее спрашивал(Всех С Новым Годом. Коллеги ни кто не сталкнулся с проблемой работы механического энкодера. Крутишь по часовой стрелки , частоту прибавляет, крутишь против часовой стрелки скачет частота то вверх то вниз. Энкодер менял на другую модель, емкости от дребезга стоят. ???????? Может нужно +5в через резистор добавить.) Так вот резисторы не помогли , Импульсы осцилографом есть , по часовой работает четко, нажатие тоже работает, а вот против часовой идет сбивка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2185] Автор : UU5JPP Дата : 02.01.2018 22:37 У меня такое-же было, помогли конденсаторы по 0,1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2186] Автор : Sergey_Lapin Дата : 02.01.2018 22:41 Добрый вечер так же , всем! И с Новым Годом! alekseyr2001, я то же , только час назад задавал вопрос этот в личные сообщения R3DI. так что я с этим вопросом оказывается как бы не один))) Я только что прошил у себя STM последней прошивкой. у меня он вообще не мигает и не горит. Евгений ответил что: не обращай внимание он горит только при TX сейчас. Вообще он нужен для отладки. Вот я и не стал заморачиватся с этим светодиодом. У меня не горит наверное потому что, установлена только STM ее объвязка и все. Да же памяти нет. Ну да еще дисплей прикрутил. Да и судя по сему, В последней прошивке Евгений убрал работу светодиода в режиме RX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2187] Автор : SP5TAA Дата : 02.01.2018 23:08 alekseyr2001,Я предлагаю подключить этот кодер к проводам и попытаться изменить порядок подключения контактов.Для меня у меня был аналогичный случай при построении контроллера Tюльпана, у разных моделей кодеров разные позиции контактов ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2188] Автор : ialexs Дата : 02.01.2018 23:16 Да, Евгений об этом, у меня тоже на осциллографе, а что у вас с выходной мощностью?, у меня она почти в три раза уменьшилась, поэтому вернул все назад. Из даташита 5532 типичное входное 300 ком хотя минимальное 30 ком,в каком случае я не знаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2189] Автор : R3DI Дата : 02.01.2018 23:26 ialexs, без замены 7R21,24,29,32 на 100к так и было. С доп.фнч и заменой мощность таже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2190] Автор : RW6MQ Дата : 02.01.2018 23:40 Про энкодер.... Наблюдал непонятный дребезг при наличии Сбл, как выяснилось - общий провод на шлейфе кнопок, нельзя соединять с общим проводом на шлейфе энкодера:crazy: . А я при монтаже сделал общую земляную шину, а потом не мог понять чего у меня энкодер ведет себя так же как и выше у товарища alekseyr2001 (http://www.cqham.ru/forum/member.php?35493-alekseyr2001) :) проверьте эту тему, может "оно". Добавлено через 8 минут(ы): И 5копеек по доработку на передачу. Когда собирал первую плату - шума на прд практически небыло, и вопросов как говориться тоже, когда накидал вторую плату - заметил шип на передачу. Разница в платах только одна - там где не было шума все проходные конденсаторы 47мкф, а где был шум - 10мкф. Сделал как описал UR4QBP - шум снизился до малозаметного. В общем переделка минутная, лишним не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2191] Автор : ialexs Дата : 03.01.2018 00:05 Значит у нас разные 5532, остальное тоже менял как и вы, подтверждаю ,что шум уменьшался ,но в моем случае и уменьшалась мощность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2192] Автор : RK3AQW Дата : 03.01.2018 03:10 alekseyr2001, какой у вас энкодер установлен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2193] Автор : RW3ACQ Дата : 03.01.2018 12:15 ... проходные конденсаторы 47мкф, а где был шум - 10мкф. Сделал как описал UR4QBP - шум снизился до малозаметного. В общем переделка минутная, лишним не будет. Эдуард, приветствую и с Новым Годом! Вы не могли бы поточнее рассказать, что и где надо припаять на актуальной версии платы Маламута? Какие проходные конденсаторы заменить? UR4QBP читал, фотографии видел. Нужна Ваша помощь, чтобы потом ничего не допаивать. Уверен, многим будет интересно. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2194] Автор : RW6MQ Дата : 03.01.2018 13:22 Эдуард, приветствую и с Новым Годом! Вы не могли бы поточнее рассказать, что и где надо припаять на актуальной версии платы Маламута? Какие проходные конденсаторы заменить? UR4QBP читал, фотографии видел. Нужна Ваша помощь, чтобы потом ничего не допаивать. Уверен, многим будет интересно. Спасибо! http://ur4qbp.ucoz.ua/forum/5-231-1#4199 - тут подробно всё написано. Изучайте. Данные изменения можно ввести на любой версии плат как авторской так и любого сторонего художества. К примеру у меня авторская 1.2 : -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2195] Автор : UA4FTA Дата : 03.01.2018 13:29 http://ur4qop.com/publ/1-1-0-46 Вот ещё полезное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2196] Автор : alekseyr2001 Дата : 03.01.2018 14:36 С Энкодером разобрался . У него действительно ноги рассположенны не стандартно. Общая нога крайняя. Все заработало. Добавлено через 7 минут(ы): На форуме обсуждается установка трансформатора 10TR2. Поделюсь своим опытом, я тоже поменял транс на кольце на транс намотанный на Бинокле . У меня I-3 витка II-3+3. без средней точки не работает, у меня. Поменял транс просто ради эксперимента. Разницы с оригиналом не заметил. Вопрос к коллегам, на форуме предлагают убрать совсем транс. Что это даст кроме экономии деталей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2197] Автор : Love777888 Дата : 03.01.2018 16:24 Евгений здравствуйте! Передача заработала, была проблема в обвязке кодека, один тантал был в коротком. Сейчас ам ссби работают на ура, единственно мне не нравится фм, какой-то шум стоит когда не говоришь в микрофон, это связано как нибудь с шумом самого кодека и доработкой(установкой резисторов)? У вас в фм присутствует что нибудь постороннее при молчании в микрофон на передачу? Проверил си работает до 212 Мгц, правда на смесителях уже не смог проверить, моему частотомеру необходим усилитель, видимо уровень колебаний падает после 40Мгц на 74ас74, ставил другую, тоже самое, хоть и производители разные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2198] Автор : R3DI Дата : 03.01.2018 16:35 Love777888, сейчас проверить не могу, могу сказать что на передачу лучше использовать fm2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2199] Автор : Love777888 Дата : 03.01.2018 16:59 Love777888, сейчас проверить не могу, могу сказать что на передачу лучше использовать fm2. Да, да Евгений, на фм2 все чисто! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2200] Автор : Stranger Дата : 04.01.2018 11:20 Поменял транс просто ради эксперимента. Разницы с оригиналом не заметил. Заинтригован - а какую разницу ожидалось увидеть? :) Вопрос к коллегам, на форуме предлагают убрать совсем транс. Что это даст кроме экономии деталей. Чувство глубокого морального удовлетворения от выбрасывания ненужных элементов схемы. Чем проще схема - тем надежней и качественней она работает :) Трансформатор выполняет 3 функции - гальваническая развязка, преобразование импеданса, преобразование симметричного сигнала в несимметричный (или наоборот), ни одна из этих функций в этой схеме реально не нужна, "так зачем платить больше", как говорилось в одной известной рекламе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2201] Автор : Love777888 Дата : 04.01.2018 13:26 Здравствуйте, назрел следующий вопрос, посредине экрана присутствует красная вертикальная полоска, так вот ровно в ней постоянно прыгает ровно такая же полоска обычно зеленого цвета, эта полоска рисует в водопаде, на видео у коллег и Евгения нет такого, это что может быть? Отключал и rf и dds, все равно присутствует этот вертикальный штришок. Ещё при переключении с передачи на прием возникает всплеск на экране и пока этот всплеск не пройдет ни «сползет вниз» прием не работает, как будто затыкается на время сползания всплеска. https://youtu.be/Nv2PHNVLPIE -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2202] Автор : R3DI Дата : 04.01.2018 15:20 Love777888, мне показалось что есть большие искажения в приеме, треск какой-то, которого не должно быть. Проверьте схему еще раз, возможно все-таки кодек. То что после тх прием затыкается это АРУ и это уже похоже на следствие той неисправности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2203] Автор : Love777888 Дата : 04.01.2018 15:52 Евгений, снимал на телефон, вроде приемник, нормально работает, что ж он вообще шуметь не должен? Как выяснить, что трещит именно кодек? Отлючить приемник с ддс? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2204] Автор : R3DI Дата : 04.01.2018 16:55 Шуметь должен, но показалось что есть именно треск,но может просто такая запись. Все проверки видите в ссб, все остальное это матаматика внутри dsp. Все настройки дефолтные, после сброса памяти. Трудно подробно писать с телефона... Вы посмотрите свое видео и другие и скажите это у Вас запись такая или так и принимает? Самый простой способ это замена. Или подавать сигнал на вход трх и смотреть что на выходе. Еще пробуйте убрать проходную емкость с смесителя тх и мощность в 0, режим рх тх так же будет ? Возможно ПЕ-шка не переключает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2205] Автор : alekseyr2001 Дата : 04.01.2018 16:57 Заинтригован - а какую разницу ожидалось увидеть? :) Чувство глубокого морального удовлетворения от выбрасывания ненужных элементов схемы. Чем проще схема - тем надежней и качественней она работает :) Трансформатор выполняет 3 функции - гальваническая развязка, преобразование импеданса, преобразование симметричного сигнала в несимметричный (или наоборот), ни одна из этих функций в этой схеме реально не нужна, "так зачем платить больше", как говорилось в одной известной рекламе? Но зачем то его Евгений поставил???? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2206] Автор : R3DI Дата : 04.01.2018 17:02 alekseyr2001, не забывайте про универсальность и экспериментальность схемы. Его основное приминение для ad995x, для Si в нем нет необходимости и подробности уже вроде были в теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2207] Автор : Love777888 Дата : 04.01.2018 18:07 Евгений, спасибо за совет, со смесителя тх я конденсаторы не снимал, выставил мощность 0 и приемник не затыкается, если ставишь 10%, уже затык получается, по поводу приемного кодека и этой рисующей полоски, я снял 4 конденсатора идущие прямо с оу на кодек, и все равно маленькая есть, и рисует. Пе все сдул, т.к они пробитые. Сам прием в наушниках без треска. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2208] Автор : KIROSIN Дата : 04.01.2018 18:47 Подскажите а где можно приобрести данный вариант печатной платы и какие отличия от R3DI (http://www.cqham.ru/forum/member.php?34878-R3DI) 278527 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2209] Автор : UU5JPP Дата : 04.01.2018 20:17 Подскажите а где можно приобрести данный вариант печатной платы и какие отличия от R3DI (http://www.cqham.ru/forum/member.php?34878-R3DI) 278527 Так быстро и не рассказать какие изменения, что помню так это в ФНЧ другие реле AXICOM на выбор 12В или 5В в зависимости какую перемычку поставить, конденсаторы в ФНЧ на нижнем слое 1206. ДПФ также изменены размеры индуктивностей на 1206 и конденсаторов на 0805, убраны гнезда что-бы не привязываться к определенному корпусу, плата разведена только под SI-шку, и еще куча всего так быстро и не вспомнить. PS/ еще применены изменения как http://ur4qop.com/publ/1-1-0-46 тут расписаны -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2210] Автор : UR5ZVU Дата : 05.01.2018 15:52 Всем большой привет. Кто проводил какие-то эксперименты с Маламутом? Может кто-то проводил какие-то замеры приборами (осциллограф, АЧХ-метр и т.д.). Кто подскажет, почему шумит кодек на передачу? Я даже отключал микрофон и питание на микрофонный усилитель, думал может он, а оказывается нет. В меню Mic GAIN (усиление микрофона), когда 0 то и шум пропадает, а 1 и до 1000 шумит. Слушал я этот мусор другим трансивером на кусочек провода 20 см. Шум похож на разновидность импульсных помех (не переменка), а похож на шум водопада. Все кто собрал маламут и уже алекает и тоже наверное смотрит как спектр подпригивает в такт с разговором. Но при молчании этого спектра не должно быть в теории. На даль этот шум никто не слышит, так как всего то ватт 15, а вот 1-2 км думают что у меня куллер на усилителе и блок питания работают так шумно, но ничего этого нет (полная тишина в комнате и ничего не крутится и не гудит). Шумит даже после доделки на передачу по совету UR4QBP. В Ютубе смотрел ролики тех кто уже повторил и проводил связи и у всех я это тоже наблюдаю. Это как то можно вылечить? Почему и как шумит кодек? В чем причина? Или может это чтото другое шумит? На прием же не шумит кодек (без антены). С антеной если и шумит то не услышишь из-за 7-9 баллов помех от соседних телевизоров))). Попрошу сильно не пинать, я только хочу понять почему так. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2211] Автор : RW3ACQ Дата : 05.01.2018 18:15 UR5ZVU, " В Ютубе смотрел ролики тех кто уже повторил и проводил связи и у всех я это тоже наблюдаю." Приветствую! Дайте пожалуста ссылочки на данные видео, хочу понять поконкретнее. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2212] Автор : UR5ZVU Дата : 05.01.2018 18:55 Вот скрин передачи. Все его видели когда ТХ. При разговоре он так красиво пригает разными цветами. А отключите микрофон и посмотрите на этот спектр при ТХ, он в 2 раза меньше и никуда не исчезает этот шум. Я думал что это шумит микрофонник. А когда зайти в меню и Mic gain уменьшать к 0, можно увидеть что высота спектра уменьшается, а если 0, то его уже нет, только чуть чуть что-то там видно. К чему я это все пишу. Этого мусора не должно быть в эфире вообще. На трансиверах может быть неподавленная несущая, а если подавленно все хорошо, то при выключенном микрофоне ничего не шумит. Вот я и хочу понять почему так происходит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2213] Автор : R3DI Дата : 05.01.2018 19:06 UR5ZVU, несущая и шумы в 2.7 кГц что у Вас на фото это разные вещи, может кодек такой а может и плата у Вас так разведена, по картинке не понять. У меня при Ку микрофона 50 спектр внизу при полной тишине, 10~15%, ну и конечно от любого шороха подскакивает, потому как шкала логарифмическая. Еще то, что такие показатели как у Вас на фото, это обычно при нормальной речи в микрофон, и показатель мощности тоже смущает, при молчании в мик в ссб он около 0 должен показывать, а это может и связанно с возбуждением тракта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2214] Автор : Павел Непийвода Дата : 05.01.2018 19:26 У меня при Ку микрофона 50 спектр внизу при полной тишинеУ меня при нажатом ТХ и отключеным микрофоном показывает 7 балов по шкале С- метра . Уточните сколько у вас , а то я не понимаю 10~15% . С уважением Павел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2215] Автор : R3DI Дата : 05.01.2018 20:26 Павел Непийвода, что с тангентой в тишине, что без нее, 3..4 балла по s метру, мощность 0 на показометре, нормальная речь s9+40..48 дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2216] Автор : RW3ACQ Дата : 05.01.2018 20:58 Приветствую всех! Коллеги, напомните, пожалуйста, в каком случае устанавливаем перемычку 11JP1 "BATTERY"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2217] Автор : R3DI Дата : 05.01.2018 21:14 RW3ACQ, это не перемычка,а батарейка 3в для часов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2218] Автор : RW3ACQ Дата : 05.01.2018 21:21 R3DI, Евгений, спасибо! Батарейку стало быть через этот разъём минусом к массе, а плюсом к аноду BAT54C, правильно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2219] Автор : Павел Непийвода Дата : 05.01.2018 21:24 R3DI, Евгений ещё один вопрос 22 пункт SDR - AGC Limit на передачу тоже настраивается и какой у вас выставлн в % . Павел -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2220] Автор : RK3AQW Дата : 05.01.2018 21:35 Здравствуйте, назрел следующий вопрос, посредине экрана присутствует красная вертикальная полоска, так вот ровно в ней постоянно прыгает ровно такая же полоска обычно зеленого цвета, эта полоска рисует в водопаде, на видео у коллег и Евгения нет такого, это что может быть? Отключал и rf и dds, все равно присутствует этот вертикальный штришок. https://youtu.be/Nv2PHNVLPIE такая же полоска при приеме на водопаде,грешу на кодеки,хотя прием отличный,жду кодеки заказал еще 5 штук,у Евгения брал две платы,одну для сишки вторую на 9954,буду собирать,точнее закачиваю,хочу сравнить,кто лучше кто хуже,пока идет борьба со спурами,заметил тенденцию ,если кабель с кнопками убрать в экран,спуры уже менее заметны и собственные шумы уменьшаются,но присутствие имеется,будем дальше копать,опять грешу на левые кодаки,на одном после промывки спиртом кристал сквозь надпись показался,палец подносишь к корпусу все шипеть начинает,второй такими свойствами не обладает)) RW3ACQ, да правильно,без нее часы будут каждый раз обнуляться,это примерно как в старых компах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2221] Автор : R3DI Дата : 05.01.2018 21:35 Павел Непийвода, не более 66% -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2222] Автор : RW3ACQ Дата : 05.01.2018 21:42 если кабель с кнопками убрать в экран Как организовали данный экран? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2223] Автор : RK3AQW Дата : 05.01.2018 22:19 Как организовали данный экран? на массу с платы и к массе платы кнопок в чулок экранный,шлейф убрал вместо него провода немного скручены в косичку,не сильно но шумы меньше и спуры хоть и присутсвуют,но уже не так заметны как с шлефом,пока в корпус не ставил,он у меня металлическим будет,может еще чутка меньше будут,тут скорее всего надо искать пути по разводке платы,с DDS синтезатором в других конструкциях пораженок практически нет кварцевый генератор там на 50 МГц,на 100 можно было поставить ,но дорого выходит и не факт ,что не гармониковый попадется,думаю попробовать сишку разогнать более высокочастотным кварцем или подсунуть ей генератор,сейчас 27мГц стоит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2224] Автор : UR5ZVU Дата : 05.01.2018 22:37 несущая и шумы в 2.7 кГц что у Вас на фото это разные вещи, может кодек такой а может и плата у Вас так разведена, по картинке не понять. У меня при Ку микрофона 50 спектр внизу при полной тишине, 10~15%, ну и конечно от любого шороха подскакивает, потому как шкала логарифмическая. Еще то, что такие показатели как у Вас на фото, это обычно при нормальной речи в микрофон, и показатель мощности тоже смущает, при молчании в мик в ссб он около 0 должен показывать, а это может и связанно с возбуждением тракта. Евгений, пожалуйста посмотрите ролик и Вам станет ясно что я имел ввиду. Может и кодеки такие, а может и нет. https://www.youtube.com/watch?v=REsF__yvyM8&feature=youtu.be что с тангентой в тишине, что без нее, 3..4 балла по s метру, мощность 0 на показометре, нормальная речь s9+40..48 дБ Эти показатели при передаче просто как показометр, потому что он зависит от того как настроен S-метр по приему. Можно выставить как хочется ,по приборам или на глаз, потому у всех это значение разные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2225] Автор : Love777888 Дата : 05.01.2018 22:48 такая же полоска при приеме на водопаде,грешу на кодеки,хотя прием отличный,жду кодеки заказал еще 5 штук Приветствую! Мне тоже еще идут 5 штук, посмотрим, что сними будет. Я уже склоняюсь к тому, что если и эти 5 придут плохими то на sw radio, закажу, потому, что с такими темпами и год собирать будешь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2226] Автор : R3DI Дата : 05.01.2018 22:54 UR5ZVU, не совсем понимаю что Вы хотите, ставите усиление 200..1000 и удивляетесь что слышно телевизор, а в месте с преампом можно и тиканье часов услышить на стене, динамка кодека и ару микрофона это вполне позволит. Подберите нужное Вам усиление в обоих трактах, на преампе и dsp. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2227] Автор : Love777888 Дата : 05.01.2018 22:59 UR5ZVU, не совсем понимаю что Вы хотите, ставите усиление 200..1000 и удивляетесь что слышно телевизор, а в месте с преампом можно и тиканье часов услышить на стене, динамка кодека и ару микрофона это вполне позволит. Подберите нужное Вам усиление в обоих трактах, на преампе и dsp. Евгений добрый вечер! Скажите, а при заливке прошивки эти параметры не такие как у вас? Ну, чтоб залил и не мучатся с параметрами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2228] Автор : UR5ZVU Дата : 05.01.2018 23:08 Да причём тут часы и телевизор. Я же на видео вам показал что шумит без микрофона. 25%шуму лезет в эфир без микрофона. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2229] Автор : R3DI Дата : 05.01.2018 23:18 UR5ZVU, 25% это 1/4 или -12дб, то есть если Ваш сигнал с голосом идет, скажем 9+30, то в тишине 9+18? Я верно все понимаю? Love777888, так не получится, у всех микрофоны разные -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2230] Автор : RA4UIR Дата : 05.01.2018 23:43 R3DI Евгений, я так понял Алексей имел ввиду что и при 1% усиления все равно шум ощутимый идет. Такой же эффект в sdr1000 самопальном был. По факту там конечно не четверть полезного сигнала отжирает, тут надо померить на выходе УМ при полной мощности и подавленной несущей, по идее должны быть милливольты относительно полезного сигнала. ps извиняйте что вмешался, сам Маламутом не владею, просто 'слежу за темой' -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2231] Автор : KIROSIN Дата : 05.01.2018 23:46 Да причём тут часы и телевизор. Я же на видео вам показал что шумит без микрофона. 25%шуму лезет в эфир без микрофона. Если у Вас есть еще в запасе кодеки, попробуйте заменить, или Вы уже пробовали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2232] Автор : RK3AQW Дата : 05.01.2018 23:54 UR5ZVU, 25% это 1/4 или -12дб, то есть если Ваш сигнал с голосом идет, скажем 9+30, то в тишине 9+18? Я верно все понимаю? Love777888, так не получится, у всех микрофоны разные Жень,дырявые кодеки так себя ведут,у меня та же песня была,оно вроде и работает но шумы.....просто зашкаливали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2233] Автор : UU5JPP Дата : 05.01.2018 23:56 Ну а что Вы хотели, оригинальные кодеки стоят больше 1000р, а Китайские друзья за 1000р по десятку шлют, что думаете это оригинал, работают и на том спасибо )))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2234] Автор : R3DI Дата : 06.01.2018 00:15 Да нет, судя по видео с кодеками порядок, другое дело что это за измерения, располжить в полуметре приемник, с чутьем под 100дБ наверное, от 15ти ваттного передатчика, выкрутить дсп ару за 200 и удивлятся шумам от включения передатчика. Там что полное отсутствие сигнала должно быть? Сейчас достал 817й, маламут антенна передача, 817 на пинцет, тх тишина в микрофон 0 баллов но включение слышно, голос за +40. Тепер наоборот , 817 антенна передача, маламут прием на пинцет, тх тишина в микрофон 4 бала по шумам с 817го, с голосом +40. Антенна диполь на крыше. Это конечно тоже не измерения, но не 12 дБ это уж точно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2235] Автор : RK3AQW Дата : 06.01.2018 00:53 R3DI, то же верно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2236] Автор : Radiotester Дата : 06.01.2018 01:30 Доброй ночи. Вопрос по ДПФ. Какой тип фильтров используется (а то в модели не понятно). В модели довольно точные индуктивности типа 0.346мкгн. Как получается добится на стандартных индуктивностях без КПЁ достигнуть нужной АЧХ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2237] Автор : alekseyr2001 Дата : 06.01.2018 16:31 https://drive.google.com/file/d/1PdksLIH-Sa8XN5c-gn73tgVIIYLFhz6i/view?usp=sharing https://drive.google.com/file/d/1AEXu9TLJ8--4ljpKVC_3fWbAeVt0HxI N/view?usp=sharing https://drive.google.com/file/d/1ctLnQYHd2PvXM4H0wJa Wq5cUVoq8h1aa/view?usp=sharing Коллеги послушайте записи. У кого есть идея почему плохо принимает Си-Би диапазон. Даже при передачи с собственной станции. Антенна(1/2) стоит на крыше, на Си-Би диапазан. На нее все и принимаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2238] Автор : R3DI Дата : 06.01.2018 17:25 alekseyr2001, для рх тх лучше использовать FM2 c не 0й ПЧ попробуйте этот режим, FM c 0й ПЧ для экспериментов и УКВ радио слушать как более широкополоснее. Похоже нужно все лишнее выкинуть из прошивки чтобы никого не смущало. Мне кажется что это было недавно, вот нашел #2197 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1480888&viewfull=1#post1480888) и далее. ПС. Еще мне на Вашем первом видео в ссб показалось, что будто "затыкается " по приему, будто пропадает контакт с динамика, так и есть ? Добавлено через 28 минут(ы): ПС. Еще мне на Вашем первом видео в ссб показалось, что будто "затыкается " по приему, будто пропадает контакт с динамика, так и есть ? Хотя может это из-за NB02? Сейчас попробовал у себя и именно с NB02 и его уровнем 11..14 такой эффект наблюдаю тоже, будто хрип и потрескивание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2239] Автор : alekseyr2001 Дата : 06.01.2018 18:19 Хотя может это из-за NB02? Это что такое. https://drive.google.com/file/d/1WuW0cRiFbmbvfF70HlXMYzYFqLKbdWFK/view?usp=sharing В конце записи хорошо слышно разницу между FM-FM2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2240] Автор : R3DI Дата : 06.01.2018 18:24 У Вас на первом видео видно что активен фильтр noise blanker 2 - NB02, строка над AGC3, слева на дисплее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2241] Автор : alekseyr2001 Дата : 06.01.2018 18:30 Я только что дабавил видео https://drive.google.com/file/d/1g_O-G8a7_DRf1fZcA43CdpeFVW0tzkP_/view?usp=sharing Это в дополнение на фото трансформаторы . Первоночально на прием был транс на кольце 5-7 витков скрученным в трое проводом. Ради эксперемента намотал его на бинокле I-4витка II4+4Витка, может субьективно но прием стал лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2242] Автор : R3DI Дата : 06.01.2018 18:49 Я только что дабавил видео по ссылке открывается только фото(Трансы.jpg). может субьективно но прием стал лучше. не думаю что какие либо изменения трансформатора смесителя повлияют на качество звуковой дорожки в полосе 3 кГц Да и еще, на фото видно установленный 6R7, на схеме подписано NONE, была необходимость его установить? и какой номинал? Собрать по схеме пробовали ?Трансы.jpg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2243] Автор : UA4FTA Дата : 06.01.2018 19:01 Да там ,вроде 000. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2244] Автор : R3DI Дата : 06.01.2018 19:05 Да там ,вроде 000. Где? Что в первом посту 1.2, 1.3 , что на Ядиске 1.31 --- 6R7 NONE , 6R8-100 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2245] Автор : UA4FTA Дата : 06.01.2018 19:06 Я имел ввиду-на плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2246] Автор : alekseyr2001 Дата : 06.01.2018 23:35 Да и еще, на фото видно установленный 6R7, на схеме подписано NONE, была необходимость его установить? и какой номинал? Собрать по схеме пробовали ?Трансы.jpg[/QUOTE] Да изночально его не было. Поставил только вчера. Номинал изменил теперь 6R7 и 6R8 по 10ком. На средней точке транса половина питания. Еще часть дросселей питания я поменял на специалезированные Дроссель подавления ЭМП в Чип и Дипе по 22руб. за штуку Также поднял питание FST3253 до +5вольт. Евгений а можно еще раз уточнить про 4TR2 Вы пишете что он намотан проводом 0,5. На биноклеBN-43-2402 . Но он маленький я так понимаю что нужно брать более крупный бинокль. Или можно мотать например проводом 0,25 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2247] Автор : R3DI Дата : 07.01.2018 00:27 можно и 0.25 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2248] Автор : alekseyr2001 Дата : 07.01.2018 23:13 Вопрос к знатокам На Выходе FST3253 в режиме передачи, несущая должна появлятся в независемости от наличия сигналов на кодаке, если да то какой амплитудой , а то у меня тишина какая-то. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2249] Автор : R3DI Дата : 07.01.2018 23:30 alekseyr2001, в режиме ssb никакой несущей не должно быть, при тишине в микрофон на выходе fst ничего не должно быть. В режиме am & fm несущая должна быть, но лучще ее смотреть после ДПФ иначе до него там ”каша”. По уровням сейчас не подскажу, нет возможности, или через пару дней или кто еще может подскажет. Вот есть сохраненая картинка тона в ссб после ДПФ, но не помню какя была мощность 278771 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2250] Автор : alekseyr2001 Дата : 08.01.2018 00:40 Спасибо . У меня именно каша . Я и подумал что не так что-то. Еще вопрос выход тангеты подключен 13U1 13U2 На входе наприжение изменяется при нажатии кнопок на тангети с 3,3 вольт до 1,5-2,2 вольта взависимости от кнопок. Ны выходе 13U2 13U1 напрежение около 0,4вольт, операционники менял, без изменений. Может конечно у меня были какие плохие., завта куплю новые , но странно........ может еще какая мысль есть Спасибо заранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2251] Автор : R3DI Дата : 08.01.2018 01:16 Мысль - а верный ли буквенный индекс в названии мс купили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2252] Автор : alekseyr2001 Дата : 08.01.2018 15:08 https://drive.google.com/file/d/1NDsKOQm1VCb38lUVoiiimKwneXAw1F-_/view?usp=sharing ПРием там где нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2253] Автор : ut29641 Дата : 08.01.2018 17:01 У меня так же на всех диапазонах.Вышка рядом,дпф нет,вот и гадит везде.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2254] Автор : RK3AQW Дата : 08.01.2018 17:22 https://drive.google.com/file/d/1NDsKOQm1VCb38lUVoiiimKwneXAw1F-_/view?usp=sharing ПРием там где нет а вы как хотели,напрямую на смеситель антенну воткнуть) да еще в Москве:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2255] Автор : alekseyr2001 Дата : 08.01.2018 21:18 Народ Ау Помогите. Тангета MH48 Рабочая. Вопрос выход тангеты подключен 13U1 13U2 На входе наприжение изменяется при нажатии кнопок на тангети с 3,3 вольт до 1,5-2,2 вольта взависимости от кнопок. Ны выходе 13U2 13U1 напрежение около 0,4вольт, операционники менял, Маламут не реагирует. Спасибо заранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2256] Автор : DeXter Holland Дата : 08.01.2018 21:23 около 0,4вольт а то что вам ответил автор, R3DI , ничего ? (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1482944&viewfull=1#post1482944 )? оу 13U2 необходимо покупать с соответствующими индексами, так как корпус одинаковый, а расположение выводов разное - смотрите даташит! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2257] Автор : Love777888 Дата : 08.01.2018 21:48 Всем привет! Скажите по качеству, смесителей тут кто нибудь заказывал? https://ru.aliexpress.com/item/FREE-SHIPPING-TSSOP16-FST3253-FST3253MTCX-20PCS-in-Stock/32312569721.html?spm=2114.13010708.0.0.Kz7PEe -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2258] Автор : UU5JPP Дата : 08.01.2018 21:53 Всем привет! Скажите по качеству, смесителей тут кто нибудь заказывал? https://ru.aliexpress.com/item/FREE-SHIPPING-TSSOP16-FST3253-FST3253MTCX-20PCS-in-Stock/32312569721.html?spm=2114.13010708.0.0.Kz7PEe Я брал у этого продавца, пришли рабочие но не такие как на фото, если положить рядом с регионалом то видно что у этих корпус меньше в размере и маркировка белой краской нанесена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2259] Автор : alekseyr2001 Дата : 08.01.2018 22:34 Вот ведь....... И главное MCP6001 T в Москве как грязи, а MCP6001UT вообще не найдешь. А смесители в Чип и Дипе 25рублей в наличии.https://www.chipdip.ru/product/fst3253mtc?from=suggest_product Да простит меня Евгений, Всем кто ставит FST3253 их нужно питать от 5.0вольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2260] Автор : UU5JPP Дата : 08.01.2018 22:41 Вот ведь....... И главное MCP6001 T в Москве как грязи, а MCP6001UT вообще не найдешь. А смесители в Чип и Дипе 25рублей в наличии.https://www.chipdip.ru/product/fst3253mtc?from=suggest_product вместо MC6001UT можно поставить LM321MFX у них одинаковая цоколевка только питание нужно +5 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2261] Автор : alekseyr2001 Дата : 08.01.2018 23:14 Я вроде нашел в Марьино https://www.promelec.ru/product/137161/ вместо MC6001UT можно поставить LM321MFX у них одинаковая цоколевка только питание нужно +5 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2262] Автор : Love777888 Дата : 08.01.2018 23:31 Здравствуйте, поставил половину напряжения на входе смесителя RX, две станции начал ловить на 100 Мгц и 90...с копейками(может совпадение), скажите вышка вещающая у меня в 30 км от меня, карманный приемник ловит хорошо, маламут по чутью...че то слабо, что может быть? трансформатор на смесителе из кольца разъема изернет, 6 витков намотано, на роликах у Евгения видно, что ловит ФМ хорошо, у меня как то слабо. Фм для меня не столь важен, но все же что с чутьем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2263] Автор : alekseyr2001 Дата : 08.01.2018 23:43 FST3253 их нужно питать от 5.0вольт проверьте . У меня при 3,3вольта вообще не ловит. Здравствуйте, поставил половину напряжения на входе смесителя RX, две станции начал ловить на 100 Мгц и 90...с копейками(может совпадение), скажите вышка вещающая у меня в 30 км от меня, карманный приемник ловит хорошо, маламут по чутью...че то слабо, что может быть? трансформатор на смесителе из кольца разъема изернет, 6 витков намотано, на роликах у Евгения видно, что ловит ФМ хорошо, у меня как то слабо. Фм для меня не столь важен, но все же что с чутьем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2264] Автор : R3DI Дата : 08.01.2018 23:46 Love777888, это только на работе так, там Останкинская башня в окне во всей красе, не УКВ это трансивер, но коль СИшка позволяет и на работе иногда слушаю вот и есть такая опция. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2265] Автор : RK3AQW Дата : 09.01.2018 03:18 делит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2266] Автор : RX9UAO Дата : 09.01.2018 07:36 alekseyr2001,при питании FST -5в заметили разницу по отношению к 3.3в? Уточню: Все что выше 30мгц не интересует. Как повлияло на режим TX ?(даташит читал). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2267] Автор : RW6MQ Дата : 09.01.2018 09:47 alekseyr2001,при питании FST -5в заметили разницу по отношению к 3.3в? Уточню: Все что выше 30мгц не интересует. Как повлияло на режим TX ?(даташит читал). Экспериментировал с питанием 5в к всем микрухам :) поднимал до 12в питание на не5532. При этом смотрел уровень забития при сильном входном сигнале - после всех танцев с бубном - разницы не увидел. От 2.8 - 5в разницы я не заметил, возможно не так и не тем способом контролировал, но не на слух, не по забитию изменений нет. Возможно и есть положительные изменения, но они не ощутимы, и от всех изменений эффекта ах.... не получите:) . Моё мнение - делайте как у автора - и не заморачивайтесь. Во всех случаях затыкался первым кодек, на уровнях 90-100дб. Даташит это лишь рекомендация, а не строгие правила использования этого компонента. Если у кого есть возможность провести более точные измерения - будет тогда показательно и тема для обсуждения, а пока так.... там написано, то сказано, там сделано.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2268] Автор : Stranger Дата : 09.01.2018 10:37 Экспериментировал с питанием 5в к всем микрухам :) поднимал до 12в питание на не5532. При этом смотрел уровень забития при сильном входном сигнале - после всех танцев с бубном - разницы не увидел. От 2.8 - 5в разницы я не заметил, возможно не так и не тем способом контролировал, но не на слух, не по забитию изменений нет. Если на это сподвигла писанина на моем сайте - пардон! Все "намеки" на питание - и 5В на смеситель, и "10 и больше на ОУ" - на прием не повлияют, и в особенности - на забитие :) ОУ в тракте приема хоть от 5В можно запитать - отлично работать будет! Другое дело - тракт передачи, возможны варианты. Да и питание - в данном случае, меньше всего влияет на что-то. Куда больше толку было бы поэкспериментировать с фильтрами. Вот, как выше писалось, смеситель у человека от 3.3В не работал вообще, а от 5В заработал - это, я понимаю - эффект, а если оно уже работает и работает нормально - то... Возможно и есть положительные изменения, но они не ощутимы, и от всех изменений эффекта ах.... не получите:) . Моё мнение - делайте как у автора - и не заморачивайтесь. Поддерживаю! Всё, что было сделано мною - это чисто эксперимент, и всё это было сделано в процессе сборки платы, например, я изначально устанавливал на плату TPS76350. А если у Вас уже собранный аппарат, Вы выбрасываете микросхему и паяете другую просто в надежде, что трансивер от этого вдруг превратится в IC-7610 - то разочарование поджидает Вас значительно ближе, чем можно себе представить... А с вопросами "зачем менять TPS76333 на TPS76350 если у нас и так есть 5.0 вольт с КРЕНки" (это из личной переписки, не будем называть имен) - лучше за такие переделки и не браться. Эффект не будет сопоставим с трудозатратами. Таки - делайте, как у автора, и не заморачивайтесь. Во всех случаях затыкался первым кодек, на уровнях 90-100дб. Можно, в порядке эксперимента, уменьшить усиление ОУ в тракте приема, увеличив 7R5 и 7R14. Но, опять-таки - желательно заранее знать, чего ожидаем. Тогда эксперимент работает на подтверждение или опровержение ожиданий. Иначе - можно нарваться на неожиданные эффекты :D Если у кого есть возможность провести более точные измерения - будет тогда показательно и тема для обсуждения, а пока так.... там написано, то сказано, там сделано.... А оно так и получилось. В самом начале написано большими красными буквами "... не руководство к действию ...", тем не менее - некоторая часть общественности приняла это именно как руководство... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2269] Автор : Love777888 Дата : 09.01.2018 18:22 Евгений добрый вечер! Скажите пожалуйста возможно ли сделать прямой ввод частоты с клавиатуры тангенты, тобишь нажав например на решетку, отключаем набор функций под каждой цифрой-кнопкой, набрал частоту, опять нажал на решетку и вернул все функции обратно на кнопки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2270] Автор : R3DI Дата : 09.01.2018 18:29 возможно ли сделать прямой ввод частоты с клавиатуры тангенты Ну как ответить - все возможно, да времени нет свободного на это....(((. Да и есть ли в этом такая острая необходимость ? Кнопки все и # на тангенте заняты, есть VFO A/B , диапазоны, да 400 импульсов даже с шагом в 10 Гц довольно быстро перекручивают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2271] Автор : Sergey_Lapin Дата : 09.01.2018 18:32 Love777888, Евгений добрый вечер! Скажите пожалуйста возможно ли сделать прямой ввод частоты с клавиатуры тангенты, тобишь нажав например на решетку, отключаем набор функций под каждой цифрой-кнопкой, набрал частоту, опять нажал на решетку и вернул все функции обратно на кнопки. Да, то же хотел у Евгения спросить про это. Было бы отлично. Если конечно на это у Евгения будет время и желание такое сделать. Пока писал Евгений уже ответил. Прошу прощение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2272] Автор : Love777888 Дата : 09.01.2018 18:33 Ну как ответить - все возможно, да времени нет свободного на это....(((. Ну если вдруг найдется было бы неплохо реализовать на мой взгляд полезной функций. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2273] Автор : R3DI Дата : 09.01.2018 18:51 А в чем полезность ? Мне вот ни разу так и не понадобилось , валкодером быстрее весь диапазон перекрутить чем набрать его ( ну если не 10-ка конечно ). Да и не представляю - работаю например на 80ке и вдруг резко нужно на 14.159.600 перейти, прям вот именно сюда ?:smile: Да и в этом случае мне проще на BAND щелкнуть 3 раза и подкрутить, чем эти кнопки на тангенте "нажимакивать", не удобные они. А если онлайн программа чего покажет, так с кома CAT мгновенно перестроит ( и к стати с нужной модой, а с тангенты еще под вопросом как быть ). Но это лично мое мнение и опыт (так привык). А делать "чтоб было" - это когда совсем скучно станет ( а что то такие времена и вспомнить не могу :crazy: ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2274] Автор : EW2MS Mikhail Дата : 10.01.2018 10:20 Евгений, как будет время. Можете сделать для трансвертеров отображения частоты? В меню возможность включить, 144 или 433 или 1200. Ну и задать с какой частоты будет работать трансвертер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2275] Автор : R3DI Дата : 10.01.2018 10:53 EW2MS Mikhail, только то что Вы написали? А как Вы будете его полключать? ..................... Хотя наверное можно вывести с ДПФ SMA раз'ем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2276] Автор : EW2MS Mikhail Дата : 10.01.2018 10:56 То что я написал, это относится к программе. Подключать можно прямо к антенному разъёму, через АТТ. Можно посмотреть как сделал UR3LMZ Александр. Вот только для управления передачей трансвертера, надо вывести разъём. Если место позволяет. То можете добавить выход с платы для управления. В следующих выпусках плат. Если будет программно, то остальное каждый может под себя сделать. Если кому то ненужно, он не включает эти диапазоны в меню. Пока отвечал. Увидел допалнения. Конечно будет хорошо, если ещё добавить отключения УМ на КВ и выход, да после ДПФ самое то будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2277] Автор : R3DI Дата : 10.01.2018 11:04 +5тх прям с кренки можно взять, для др. Управления свободных пинов нет. EW2MS Mikhail, а можете показать работу трансвертора пусть без отображения привильной частоты на маламуте ? Трансверторов у меня нет, но мне интересно как это выглядило бы, каков был бы прием именно в маламуте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2278] Автор : EW2MS Mikhail Дата : 10.01.2018 11:07 На данный момент не могу. Так как распаял ДПФ, жду оригинальные ПЕ-шки. Трансвертер есть, думаю в ближайшие 10 дней сниму видео работы с трансвертером. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2279] Автор : rn4haq Дата : 10.01.2018 12:39 Трансвертер как вторая ПЧ. Т.е маламут принимает на 28МГц трансвертер переносит на 144МГц а на дисплее отображается 28(частота приёма на десятке)+116(частота трансвертера)=144, аналогично 430 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2280] Автор : R3DI Дата : 10.01.2018 12:50 rn4haq, да с ПЧ как раз все ясно, есть другие "тонкости вопроса"... Конечно будет хорошо, если ещё добавить отключения УМ на КВ и выход Посмотрел и вспомнил ( или наоборот )) ), есть сигнал EN_TX(ток он инверсный) отдельный от +ТХ , как раз его и можно будет сделать для управления без включения РА трансивера... хм, но тогда получается работа ТХ смесителя выхода через ДПФ-ФНЧ-КВ антенна, ... без "наколхозить" не получится - вот это и имел ввиду "тонкости вопроса".... а есть байпас, на версиях 1.3 там джампер есть - вот с него можно будет взять - и опять тогда тут начинаются "свои песни" ... И в добавок Т.е маламут принимает на 28МГц трансвертер переносит на ..... аналогично 430 а для частоты 439 мГц с маламута нужно 28+9= 37мГц ? ДПФ такое не пропустить .... Добавить допBAND с отображением частоты + ххх мГц не проблема, но есть подозрение что будет веселее чем со светодиодиком у процессора ( с момента когда он мигать по приему перестал :-P ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2281] Автор : rn4haq Дата : 10.01.2018 13:41 А чем мешает дпф фнч пусть они работают как положенно а вот ум убавлять до необходимого безопасного уровня, тут желательно чтоб Alc нормально работало Добавлено через 44 минут(ы): Как я понимаю трансвертер должен переносить в рабочий диапазон трансивера, задача самого трансивера это всего лишь корректное отображение частоты и управление трансвертером rx/tx -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2282] Автор : R3DI Дата : 10.01.2018 14:08 это всего лишь корректное отображение частоты Всего ли частоту показывать, а то что при 28/430 для частоты 438 мГц с трансивера рх/тх 36 мГц как пройдут через ФНЧ+ДПФ с частотой среза 29 мГц, сколько десятков дБ затухание будет А чем мешает дпф фнч пусть они работают как положенно, что там будет по приему, все равно, главное то что на экране ? или я чего не так понимаю? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2283] Автор : rn4haq Дата : 10.01.2018 14:33 Трансвертер переносит в РАБОЧИЙ диапазон трансивера -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2284] Автор : ua3ycv Дата : 10.01.2018 15:10 rn4haq, Трансвертер переносит в РАБОЧИЙ диапазон трансиверада и желательно на 28мгц и главное то что на экране ? ида желательно циферки 144ххх-чтоб глаз радавалт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2285] Автор : LZ3GN Дата : 10.01.2018 15:52 Главное то что на экране. Никакие филтри не надо. Виход из байпаса на разъем и отключение питание на усилителя мощности. В трансвертере все осталное есть. И конечно функция ввода частату опорного генератора. Можно показиват на дисплее 44.000.00 для 144 мхц и 32.000.00 на 432 мхц. Я буду использовать на 50 и 70 мхц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2286] Автор : R3DI Дата : 10.01.2018 16:05 показиват на дисплее 44.000.00 для 144 мхц и 32.000.00 на 432 мхц. Я буду использовать на 50 и 70 мхц. сделаю просто меньше шрифтом, чтоб все влезло (попробую) может + надпись сверху trsv, мне не нравится "44.000.00". 70мГц доже ввести ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2287] Автор : rn4haq Дата : 10.01.2018 16:17 Мне кажется дпф всетаки оставить, чтоб со смесителя всю грязь срезать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2288] Автор : Love777888 Дата : 10.01.2018 17:18 Евгений проверьте личку пожалуйста. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2289] Автор : ua9ylu Дата : 10.01.2018 17:22 Тоже делаю маламут для работы с трансвертерами, мне кажется вам нужно сделать только программную часть, что бы можно было подключить любой трансвертер, с любыми пч, примерно как это сделано в 817, 897, лучше что б это был не один трансвертер а два или более. То есть входим в меню трансвертера и валкодером задаем какую то пч что б трансивер отображал прямую частоту заданного диапазона, пусть хоть 5.7 или 144. А железо думаю каждый сможет сделать под себя сам, конечно диапазон маламута будет 28 мегагерц. Я например планирую сделать трансвертер на 432 который будет преобразован в 28, а свч трансвертера будут подключаться в 432. Вот и было бы здорово что б можно было задать на прямую показания свч трансвертера на шкале маламута. Думаю комутацию , проблемы полос дпфов, каждый в силе решить под свои задачи сам, главное показания шлалы маламута, свч диапазонов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2290] Автор : DeXter Holland Дата : 10.01.2018 17:37 Мне кажется дпф всетаки оставить, чтоб со смесителя всю грязь срезать можно в меню для трансвертера сделать выбор - байпас или фильтр -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2291] Автор : EW2MS Mikhail Дата : 10.01.2018 18:37 можно в меню для трансвертера сделать выбор - байпас или фильтр Байпас можно и так включить. Это функция уже есть. Но без ДПФ боюсь что трансвертер на передачу возбудится, от такого сигнала. Тут главное программно сделать. УМ конечно лучше отключать, для экономии электроэнергии))) Добавлено через 40 минут(ы): А по соседству, как раз есть хорошая приставка http://www.cqham.ru/forum/showthread.php?29083-%CF%EE%F1%EE%E2%E5%F2%F3%E9%F2%E5-%F2%F0%E0%ED%F1%E2%E5%F0%F2%E5%F0-%F1-28-%ED%E0-144-430/page20 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2292] Автор : ua3ycv Дата : 10.01.2018 18:44 а что мешает ДПФ сделать в трансверторе? А по соседству, как раз есть хорошая приставка-не просто хорошая-а отличная+небольшая платка с дпф и в перёд в освоение УКВ!( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2293] Автор : RX9UAO Дата : 10.01.2018 20:25 Так-реплика.Поверте не очень удобно иметь трансивер "все в одном".Ежедневная практика показала-гораздо лучше,когда кв и укв раздельно и работают одновременно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2294] Автор : ua3ycv Дата : 11.01.2018 09:37 .Ежедневная практика показала-гораздо лучше,когда кв и укв раздельно и работают одновременно.В "поле" практика диктует другое-а трансивер то изначально был задуман как-"засунул в рюкзак" и с Маламутом в путешествие!и тут он начинает обрастать "хотелками"-это нормально-но как к этим хотелкам отнесётся Автор-его личное дело!(ну очень хочется!:пиво::super:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2295] Автор : R3DI Дата : 11.01.2018 11:17 Мне тоже думается что лучше иметь отдельный УКВ трансивер, но и с другой стороны код в Маламуте веса не добавит. ua3ycv, у Вас случаем нет того трансвертора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2296] Автор : RX9UAO Дата : 11.01.2018 12:20 Евгений,ua3ycv наверно имеет ввиду вот этот трансвертер UR3LMZ.279070279071 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2297] Автор : R3DI Дата : 11.01.2018 12:32 RX9UAO, я на это и рассчитывал ))), но мне хотелось бы, если есть у кого такая возможность, продемонстрировать (видео) работу ( хоть любого) трансветрора совместно с Маламутом, пусть пока и без отображения правильной частоты на нем. Тогда с большой вероятностью что этот мод появится намного быстрее, мне нужно понять насколько это хорошо и необходимо ( не теоретически). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2298] Автор : RX9UAO Дата : 11.01.2018 13:01 Евгений с Маламутом не планирую.Встроен такой у меня в домашнем сдр ut3mk (прогр.power sdr). На прием работает очень хорошо,а вот на передачу с моим сдр-ом не очень.С трансивера нужен очень чистый по спектру сигнал.Да особо и не занимался,дома нет необходимости,куча всяких укв-ек.И еще в догонку,чтобы подключить к работающему Маламуту.279077 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2299] Автор : UR5ZVU Дата : 11.01.2018 15:38 Байпас можно и так включить. Это функция уже есть. Но без ДПФ боюсь что трансвертер на передачу возбудится, от такого сигнала. Тут главное программно сделать. Пробовал я на передачу с байпасом. Немного страшно было, так как моща на вых усилителя чуть ли не в 2 раза больше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2300] Автор : ua3ycv Дата : 11.01.2018 16:30 ua3ycv, у Вас случаем нет того трансвертора?трансверторы разные есть-вот Маламута ещё нет-приверчены к монке-а вот с видио проблемно-мой ю-туб заблокирован-не могу залить видио....да и интересного там мало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2301] Автор : ra6ljm Дата : 11.01.2018 20:06 Распаял процессор, память, кварцы Проходит заставка и появляется такая картина... Где у меня косяк? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2302] Автор : RD3Q Дата : 11.01.2018 20:28 ra6ljm, да нет косяков! Нажмите SET (Меню) 3 раза! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2303] Автор : alekseyr2001 Дата : 11.01.2018 22:40 Добрый Вечер. Вопрос ко всем у Кого уже работает Маламут. После включения или выключения "PRE" Доболнительного усиления в тракте приема , на несколько секунд наступает тишина на приеме, потом плавно нарастает входной сигнал. Очень похоже на работу АРУ. Как у Вас? И очень плохое качество на прием. Файл прилагается.https://drive.google.com/file/d/1crJBlbbYjS663GXh9UUg7FOlK1ZwBaF-/view?usp=sharing На видео в самом начале усиление включено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2304] Автор : RA6ANR Дата : 11.01.2018 23:44 alekseyr2001, какая версия платы?в последней уже исправлено.с пятой ноги 6u4 на землю резистор 30к и более(я 100к ставил) и все будет хорошо)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2305] Автор : R3DI Дата : 11.01.2018 23:51 alekseyr2001, не АРУ это точно, в этом режиме эта функция выключена. Так же говорил о режиме FM для чего он и FM2. Потому на видое не понятно, то ли чтото в районе 0й частоты, то ли корреспондент в АМ работает а Вы его в ФМ декодируете. Ну а если на железо подозрение пало, то только ссб, дабы исключить такие переменные как демодуляторы, не верные моды и тд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2306] Автор : alekseyr2001 Дата : 12.01.2018 09:06 Резистор сегодня поставлю, ребята болтают в ФМ . Разницы между ФМ и ФМ2 нет ни какой, параллельно на обычную Си-Би станцию принимаю их идеально. А вот ССБ...... на 27 Мгц попробовать не с кем. На 7100Кгц в ССБ вроде хорошо принимает. Может правда надо что-то программно править., но здесь я совсем не силен, нужна помощь зала. Я вот думаю я вчера принимал 15 канал (дальнобойщиков) они как раз в АМ так вот их я принимал идеально, вот и получается что проблемы только на приеме ФМ. Вещательные станции работающие в АМ тоже звучать очень хорошо. Что то надо подкрутить в ФМ. alekseyr2001, не АРУ это точно, в этом режиме эта функция выключена. Так же говорил о режиме FM для чего он и FM2. Потому на видое не понятно, то ли чтото в районе 0й частоты, то ли корреспондент в АМ работает а Вы его в ФМ декодируете. Ну а если на железо подозрение пало, то только ссб, дабы исключить такие переменные как демодуляторы, не верные моды и тд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2307] Автор : R3DI Дата : 12.01.2018 09:34 alekseyr2001, интересно.... вот я вам как автор говорю что есть, а Вы мне говорите что нет.... Теперь выше постом написал начальные дествия для оценки, но вместо этого теперь на программу думаете... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2308] Автор : R3DI Дата : 12.01.2018 13:03 alekseyr2001, вот сделал видео запись приема Маламута - посмотрите RX-AM_FM (https://yadi.sk/d/QuyGdEwM3H4HcB/RX-AM_FM.mp4) , у меня есть предположение что Ваш такой прием связан именно с введением напряжения средней точки в смеситель, у меня в схеме эта возможность есть, но не просто так вот делаю именно без нее, давно это было и не помню уже, а заново нет времени пробовать, и что то смутные воспоминая что как раз из-за такого эффекта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2309] Автор : RW6MQ Дата : 12.01.2018 13:24 alekseyr2001, вот сделал видео запись приема Маламута - посмотрите RX-AM_FM (https://yadi.sk/d/QuyGdEwM3H4HcB/RX-AM_FM.mp4) , у меня есть предположение что Ваш такой прием связан именно с введением напряжения средней точки в смеситель, у меня в схеме эта возможность есть, но не просто так вот делаю именно без нее, давно это было и не помню уже, а заново нет времени пробовать, и что то смутные воспоминая что как раз из-за такого эффекта. У меня со средней точкой (1/2 Uпит), дело не в ней, как и программе и декодировании - проверял в АМ/FM на СИ-БИ и на частоте железнодорожников - людей с различной девиацией ЧМ и уровнями АМ - декодирует великолепно! Если есть сомнение что что-то с прошивкой - сбросьте FRAM. Признаюсь были проблемы с цифровой частью при большом КСВ, при настройке. Причём всё заканчивалось подвисанием STM и непонятными глюками - сбросьте и перепрошейте STM -что бы на 100% исключить цифровую часть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2310] Автор : R3DI Дата : 12.01.2018 19:40 По вопросам плат в л/с, почту почистил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2311] Автор : alekseyr2001 Дата : 12.01.2018 22:03 Докладываю Уважаемому Сообществу. Попробовал с питанием средний точки смесителя и без питания средний точки смесителя , принимает одинаково. В результате просто заменил смеситель (благо он стоит копейки) прием стал нормальный. Выкладываю видео. А также режим ФМ и ФМ2 звучат практически одинаково. Также для сравнения традиционная СИ-Би станция.https://drive.google.com/file/d/11V1M_PQSrJs91hMZctjtKzeWGTqgOt2n/view?usp=sharinghttps://drive.google.com/file/d/15XreUpE--pL1b2DgNFDUMGXbK3R3es2x/view?usp=sharing https://drive.google.com/file/d/15XreUpE--pL1b2DgNFDUMGXbK3R3es2x/view?usp=sharing alekseyr2001, вот сделал видео запись приема Маламута - посмотрите RX-AM_FM (https://yadi.sk/d/QuyGdEwM3H4HcB/RX-AM_FM.mp4) , у меня есть предположение что Ваш такой прием связан именно с введением напряжения средней точки в смеситель, у меня в схеме эта возможность есть, но не просто так вот делаю именно без нее, давно это было и не помню уже, а заново нет времени пробовать, и что то смутные воспоминая что как раз из-за такого эффекта. И вот это (с пятой ноги 6u4 на землю резистор 30к и более(я 100к ставил) и все будет хорошо)) я разници не заметил. Все равно после переключения PRE происходит провал приема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2312] Автор : RW6HCH Дата : 12.01.2018 22:12 Подскажите, есть ли в Маламуте декодер CW и как его включить. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2313] Автор : R2DNX Дата : 12.01.2018 22:30 Докладываю Уважаемому Сообществу. Попробовал с питанием средний точки смесителя и без питания средний точки смесителя , принимает одинаково. В результате просто заменил смеситель (благо он стоит копейки) прием стал нормальный. Выкладываю видео. А также режим ФМ и ФМ2 звучат практически одинаково. Также для сравнения традиционная СИ-Би станция.https://drive.google.com/file/d/11V1M_PQSrJs91hMZctjtKzeWGTqgOt2n/view?usp=sharinghttps://drive.google.com/file/d/15XreUpE--pL1b2DgNFDUMGXbK3R3es2x/view?usp=sharing https://drive.google.com/file/d/15XreUpE--pL1b2DgNFDUMGXbK3R3es2x/view?usp=sharing И вот это (с пятой ноги 6u4 на землю резистор 30к и более(я 100к ставил) и все будет хорошо)) я разници не заметил. Все равно после переключения PRE происходит провал приема. В первом видео "Маяк" вещает. Заметил, что частота стоит не точная, должно быть 27305 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2314] Автор : ut29641 Дата : 13.01.2018 07:31 декодер CW и как его включить CW Setup - 7 CW Decode...Yes -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2315] Автор : EW2MS Mikhail Дата : 13.01.2018 08:34 Вот в CW работает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2316] Автор : RW6HCH Дата : 13.01.2018 09:20 CW Setup - 7 CW Decode...Yes Значит у меня другая версия прошивки, седьмого пункта нет, последний шестой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2317] Автор : EW2MS Mikhail Дата : 13.01.2018 09:29 Последняя версия 4,9 находится тут https://yadi.sk/d/QuyGdEwM3H4HcB/v%201.3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2318] Автор : Serg63 Дата : 13.01.2018 15:45 Сравнил я тут на досуге Маламут R3DI (tnx RA6ANR) и IC-7300 ну копия отличие в размере и цене ха Молодец R3DI отличная конструкция! Антенны использованы разные но вполне наглядно https://www.youtube.com/watch?v=-e4xs8ixpKQ 279272 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2319] Автор : ua3ycv Дата : 13.01.2018 16:16 Сравнил я тут на досуге Маламутой!зря-сейчас "спецы" подтянутся с "критикой":super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2320] Автор : Serg63 Дата : 13.01.2018 16:29 да энто известно - пусть спецуют :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2321] Автор : RW6MQ Дата : 13.01.2018 16:37 Serg63, Спасибо за видео:) Радио Маламут очень достойный аппарат, сам являюсь поклонником этой великолепной конструкции. НО))) Сравнение эфира на столе это некое лукавство, как и демонстрация работы трансивера на приём, снимая обзор контеста или местного прохождения на камеру телефона))) Было недавно несколько именитых аппаратов на столе - тоже хотел так сравнить - и понял, как я захочу, тот аппарат и будет лучше))) всё относительно. Но с уверенностью и могу сказать Маламут не хуже многих и многих трх. Всем хорошего настроения и успехов в конструировании. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2322] Автор : Serg63 Дата : 13.01.2018 17:09 Serg63, Спасибо за видео:) Радио Маламут очень достойный аппарат Несомненно - но на 100 процентов скажу что все современные 7300 Маламут MCHF FT2000 и тд проиграли в чистую по шумам + по тому насколько приятно слушать тому же Тен Тек, Омни С 546 1982 гв - удобство фунционал стабильнось да у них лучше но если работать не цифрой а мониторить эфир и ДХ в SSB-AM они старой гвардии в чистую проигрывают -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2323] Автор : RD3Q Дата : 13.01.2018 17:20 Serg63, Вас услышали! Но Предлагаю вниманию автономный sdr трансивер "Маламут". Трансивер задумывался как бюджетный походный вариант. Выполнен в корпусе G767 и имеет размеры 140х190х60 мм. ДисплейILI9341 2.2". Вес с аккумулятором составляет менее 1,1 кг. [/MOD] Вот в чем разница во первых! Со временем Евгений внесет еще новшества, так что все дело времени! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2324] Автор : Serg63 Дата : 13.01.2018 17:27 Serg63, Вас услышали! Но Вот в чем разница во первых! Со временем Евгений внесет еще новшества, так что все дело времени! Тут никто не спорит ранее я помню и телефоны были размером с кирпич - как походный без компромиссов тут современные на высоте плюс мне нравится что декодеры есть и все по одному шнурку USB я же о послушать не о размере а услышали или нет честно мне особой разницы нет кому надо он экспериментирует да и без меня все знает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2325] Автор : RD3Q Дата : 13.01.2018 17:35 Serg63, Так вот опустим полемику! Берете Маламут и выезжаете в глубинку, подальше от помех и шумов, ставите хорошие антенны! Там и будет наилучшие условия для DXнга и мониторинга! Это касается не только Маламута, а и других трансиверов! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2326] Автор : Serg63 Дата : 13.01.2018 17:39 Serg63, Так вот опустим полемику! Какая полемика то Вы о чем я мнение свое привел и все ну а куда мне выежать или нет я уж как то сам порешаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2327] Автор : RD3Q Дата : 13.01.2018 17:43 Serg63, Решайте сами конечно! "Вам шашечки или ехать!" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2328] Автор : Serg63 Дата : 13.01.2018 17:47 Serg63, Решайте сами конечно! "Вам шашечки или ехать!" О чем речь на природе да в красивом месте и в обнимку с любимым хобби - тут и не о чем спорить красота! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2329] Автор : RD3Q Дата : 13.01.2018 17:55 Serg63, Вот и пришли к общему знаменателю! Удачи в эфире всем! С наступающим Новым годом по старому стилю! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2330] Автор : Serg63 Дата : 13.01.2018 17:58 Сравнил я тут на досуге Маламут R3DI (tnx RA6ANR) и IC-7300 ну копия отличие в размере и цене ха Молодец R3DI отличная конструкция! Забыл я пояснить - в первую очередь было интересно задержка и время отклика и конечно наглядность на панораме - разницы я не заметил и это впечатляет [QUOTE=RD3Q;1485380]Serg63, Вот и пришли к общему знаменателю! Удачи в эфире всем! С наступающим Новым годом по старому стилю! Спасибо - Вас так же и всех тут присутствующих со Старым Новым годом ( звучит отлично такое только у нас в России :-P ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2331] Автор : R3DI Дата : 13.01.2018 17:59 на природе да в красивом месте и в обнимку с любимым хобби - тут и не о чем спорить красота! О да !!!:super: (повторюсь с фото #538 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1411970&viewfull=1#post1411970)) 279290279291 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2332] Автор : autosat Дата : 13.01.2018 18:12 Ага, теперь понял почему "Маламут" — вон он вдохновитель, на заднем плане отдыхает... :ржач: По теме: подскажите, в каком посте писалось о доработке MH-48? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2333] Автор : R3DI Дата : 13.01.2018 18:30 в каком посте писалось о доработке MH-48? Вот тут посмотрите - #1641 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1454821&viewfull=1#post1454821), может эта.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2334] Автор : RX9UAO Дата : 13.01.2018 18:42 Схема переделки: https://yadi.sk/d/QuyGdEwM3H4HcB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2335] Автор : autosat Дата : 13.01.2018 18:43 R3DI, RX9UAO Спасибо, то что надо... :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2336] Автор : Артем (UB9ODY) Дата : 14.01.2018 08:58 Здравствуйте уважаемые Форумчане. Решил попробовать собрать трансивер Маламут, хотя в этом деле не селен но очень хочется. Примите новенького в свои ряды матерых профессионалов, поможете в сборке трансивера правильным советом??? Прошу строго не судить!!!:-P:-P:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2337] Автор : Sergey_Lapin Дата : 14.01.2018 09:14 О UB9ODY. Еще один с Сибири!)) Чем смогут тем помогут. Народ здесь добрый! Всех с Новым годом! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2338] Автор : Артем (UB9ODY) Дата : 14.01.2018 09:39 Здравствуйте Сергей, Приятно встретить земляка на форуме. Буду очень вам признателен. Скоро мне прейдет плата трансивера, сейчас начинаю по маленьку комплектовать детали. Подскажите чем отличается версия поты 1.31 от 1.3? Есть ли какая та принципиальная разница?:smile::smile::smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2339] Автор : Sergey_Lapin Дата : 14.01.2018 09:54 Здравствуйте Сергей, Приятно встретить земляка на форуме. Буду очень вам признателен. Скоро мне прейдет плата трансивера, сейчас начинаю по маленьку комплектовать детали. Подскажите чем отличается версия поты 1.31 от 1.3? Есть ли какая та принципиальная разница?:smile::smile::smile: 1.31 по моему отличается от 1.3 тем что Si 5351 на плате уже, так же установлены блокировочные конденсаторы на разьем тангенты. Еще добавлена PAM микросхемка в УНЧ на выбор. Ну и так по мелочи. У меня просто версия платы 1.31 по этому точнее ответить не смогу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2340] Автор : UA0BHC Дата : 14.01.2018 09:56 Артём, для обсуждения деталей, ну или вообще любых обсуждений "Маламута" создана отдельная ветка - http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0/page44 Очень советую вам, пока нет деталей, тему SDR TRX "Маламут" прочесть полностью неспешно, многие вопросы отпадут. 1.31 от 1.3 отличается наличием в 1.31 металлизации под корпусом AD9952, а также сдинуты разъёмы, может и ещё какие-то мелочи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2341] Автор : Артем (UB9ODY) Дата : 14.01.2018 10:15 Спасибо. Мне тоже придет версия 1.31. Добавлено через 11 минут(ы): Здравствуйте UA0BHC.Я видел эту тему. Больше не буду здесь не кого беспокоить!!!:shock::shock::shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2342] Автор : Sergey_Lapin Дата : 14.01.2018 11:41 Артем (UB9ODY), Да Вы не обижайтесь Артем! А совет дельный. Ветки , пока собираете детали , внимательно почитайте. И по деталям и форум. Скачайте схемки. Для себя проанализируйте что к чему в схеме. Распечатайте схему версии 1.31 карандашем по мере прочтения помечайте для себя где что ставить, где можно не ставить. К приходу платы уже и подготовитесь. Я так именно и делаю. То же комплектующие не все еще. Я просто Маламута собираю по мере наличия комплектующих. Вот как то так. А уж если совсем что то непонятно или интересует, то задавайте конечно вопросы. Помогут , ответят. Форум для этого и есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2343] Автор : avbelnn Дата : 14.01.2018 12:28 279362279363 Прошу посмотреть есть ли у кого такое:Ver 4.9 память clear,при выборе из cw decode on->off после выключения питания пропадает водопад,восстанавливается если зайти и выйти в меню cw setup даже ничего не меняя.На фирменной FRAM есть,на китайской с Али нет(видимо ячейка битая).Перепрошивка на более ранние версии не помогает.И какая получилась мощность на вч бендах,равномерная мощность во всём диапазоне? EEP Time не влияет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2344] Автор : ra6ljm Дата : 14.01.2018 13:53 avbelnn, Анатолий, приветствую! Я так понял, что нижний, это уже одноплатная конструкция? Можно фотки внутри? Я только набиваю по верхнему варианту... Лутом не вышло плату контроллера изготовить, пришлось просить друзей с востока))). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2345] Автор : avbelnn Дата : 14.01.2018 14:41 Я так понял, что нижний, это уже одноплатная конструкция? Можно фотки внутри? Не совсем одноплатная,наигравшись с бракованными PE ДПФ сделал на реле,пусть дороже,но ослабление меньше.Пока в процессе.Никак не могу добиться равномерности на вч(есть завал).Применял и кольца и бинокль BN-43-3312.279384279385 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2346] Автор : Love777888 Дата : 14.01.2018 17:22 R3TGP, здравствуйте а можно ваше решение на реле предоставить обществу этой темы? Я смотрю пе разобрали где были оригиналы, люди начинают думать о реле. Заранее спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2347] Автор : KIROSIN Дата : 14.01.2018 17:44 По поводу реле или PE тут все зависит уже от размера самой конструкции, кому-то хочется носить трансивер в кармане, а кому-то на плевать на размеры и используют его в качестве стоционарного варианта. А по поводу цены, так PE выходят дороже ))) первый раз потратите от 500р придут бракованные, и пока попадутся рабочие кучу денег потратить можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2348] Автор : avbelnn Дата : 14.01.2018 18:05 R3TGP, здравствуйте а можно ваше решение на реле предоставить обществу этой темы? Распространением плат и ведением проекта занимается автор темы,потратив на это огромное количество времени и отбирать его хлеб я не намерен.Всё,что нужно для повторения выложено в теме со 100% работоспособностью.Дальше каждый решает сам как ему быть или пройти по уже пройденному пути и повторить один в один или изменять под свои хотелки,но тогда весь груз ответственности и работоспособности ложится на него.Сама плата ДПФ без переделки всей конструкции в авторском варианте вряд ли применима(не проводками же припаивать).Плата в DipTrace v2.4.02 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2349] Автор : RW6HCH Дата : 14.01.2018 18:39 Лутом не вышло плату контроллера изготовить, пришлось просить друзей с востока))). А не проще было купить готовую плату контроллера https://ru.aliexpress.com/item/STM32F407VET6-development-board-STM32-Cortex-M4-minimum-system-board-ARM-learning-core-board/32740102900.html?spm=a2g0v.search0104.3.148.eu4zZf&ws_ab_test=searchweb0_0,searchweb201602_4_10152_10151_10065_10344_10068_10342_10343_10340_10341_10543_10084_10083_10307_10615_10301_10059_10314_10534_100031_10604_10103_10607_10606_10142_10125,searchweb201603_1,ppcSwitch_7&algo_expid=00b4dbf6-f25d-4480-9aba-40fddf819609-21&algo_pvid=00b4dbf6-f25d-4480-9aba-40fddf819609&transAbTest=ae803_4&priceBeautifyAB=0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2350] Автор : ra6ljm Дата : 14.01.2018 18:42 Да, проще. Только контроллер уже был... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2351] Автор : RK3AQW Дата : 14.01.2018 19:39 avbelnn, прошивка 4.9 у меня все нормально,водопад на месте,попробуйте перепрошить еще раз -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2352] Автор : avbelnn Дата : 14.01.2018 20:27 попробуйте перепрошить еще раз Спасибо,решилось поднятием FRAM и очисткой излишков флюса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2353] Автор : ur5yfv Дата : 14.01.2018 22:20 Распространением плат и ведением проекта занимается автор темы,потратив на это огромное количество времени и отбирать его хлеб я не намерен.Всё,что нужно для повторения выложено в теме со 100% работоспособностью.Дальше каждый решает сам как ему быть или пройти по уже пройденному пути и повторить один в один или изменять под свои хотелки,но тогда весь груз ответственности и работоспособности ложится на него.Сама плата ДПФ без переделки всей конструкции в авторском варианте вряд ли применима(не проводками же припаивать). По внимательней с раскладом при постройки своих фильтров ! Смотрю немного изменен расклад частот. Можно запросто не "попасть" в свой диапазон или заиметь "провалы" в некоторых участках КВ. Сейчас расклад по частотам, по поддиапазонам в последней версии 4.9 такой: 1. 0 - 2МГц 2. 2 - 3.8 3. 3.8 - 7.2 4. 7.2 - 10.3 5. 10.3 - 15 6. 15 - 20.8 7. 20.8 - 29.8 Бэнд пасс - 29.8 - ∞ Хорошо если светодиоды стоят. Хоть можно как то иметь представление какой сейчас включен. У меня пока такие картинки выдает 279431279432279433 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2354] Автор : UR7HBP Дата : 14.01.2018 22:52 Тее расклад по диапазонам жестко прошит в прошивке и через меню не меняется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2355] Автор : avbelnn Дата : 15.01.2018 00:09 По внимательней с раскладом при постройки своих фильтров ! Смотрю немного изменен расклад частот. В каком месте изменён расклад частот в отличие от авторской?(не считая ошибки в надписи 3,9-5,7->должно 3,9-7,5 МГц) Хорошо если светодиоды стоят. Для этого и сделано. Сейчас расклад по частотам, по поддиапазонам в последней версии 4.9 такой: Схема и номиналы фильтров в ревизии 1.3 схожа с rev1.1.В прошивках нет(пока) настроек по диапазонам.Фильтра рассчитаны автором,главное применить элементы с максимально меньшим разбросом и высокой добротностью индуктивностей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2356] Автор : RW3ACQ Дата : 15.01.2018 00:31 очисткой излишков флюса. avbelnn, приветствую! А какой флюс использовали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2357] Автор : avbelnn Дата : 15.01.2018 00:56 Практически всегда использую канифоль,растворённую в спирту(не считая пайки bga)видать попалась какая то бяка.С последующей отмывкой в узч ванне(когда есть возможность),на крайний случай отмываю ацетоном,если нет растворимых пластмасс,есть и отмывочные жидкости solins us -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2358] Автор : AlexJ Дата : 15.01.2018 11:58 Приветствую Уважаемые! Подскажите, кто сталкивался с такой проблемой: контроллер прошился нормально, запись-верификация норма, но по включению нет изображения на экране, подсветка горит, на выходах контроллера тишина (0). Если нажимаю кнопку "А", подсветка плавно уменьшается до 30-50% яркости затем вкл. на полную и при этом оживает контроллер,на портах энкодеров появляется высокий уровень, они соотв. начинают работать, переключаются по кнопкам диапазоны, работает синтезатор, на шине дисплея есть сигналы, на экране молоко..., смущает как раз то, что контр.оживает не сразу, а по нажатию кнопки, (правда у меня не стоит RAM, может из за этого?). Вопрос или это дисплей глючит (постараюсь проверить с помощью avbelnn), или что то с контроллером не так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2359] Автор : avbelnn Дата : 15.01.2018 12:12 (правда у меня не стоит RAM, может из за этого?). Ставьте память,такое было... По проверке дисплея отписал в почту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2360] Автор : Sergey_Lapin Дата : 15.01.2018 12:31 У меня в принципе и без RAM заводится. Все отображается. Скорее непропай где то , или сопля наоборот. А может дисплей глючный, действительно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2361] Автор : Сармат Дата : 15.01.2018 23:10 Раскидал печатку на релюшках IM06. Какие будут замечания по размещению узлов относительно друг друга? Печатка еще находится на стадии доводки. В принципе можно разбить на модули и делать на общей материнке (в примере применении готовой платы процессора с Али). 279518 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2362] Автор : UU5JPP Дата : 15.01.2018 23:49 Раскидал печатку на релюшках IM06. Какие будут замечания по размещению узлов относительно друг друга? Печатка еще находится на стадии доводки. В принципе можно разбить на модули и делать на общей материнке (в примере применении готовой платы процессора с Али). 279518 Тоже была идея применить реле в ДПФ но потом передумал и применил только в ФНЧ https://yadi.sk/i/wc43kDM33RUype https://yadi.sk/i/Pfg2D3ud3RUyv6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2363] Автор : Сармат Дата : 16.01.2018 00:52 UU5JPP, хорошо все реализовано. А есть "живые" платы этого варианта? В принципе ПЕшки можно нормальные достать. На сайте ChipMALL все детали этого трансивера можно заказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2364] Автор : KIROSIN Дата : 16.01.2018 00:55 Как не странно кодеки мне попались рабочие, а из 60 PE хоть бы одна живая была ))) и спор было поздно открывать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2365] Автор : r6yr Дата : 16.01.2018 01:35 Евгений здравствуйте. Возможно ли добавить в прошивке корректировку показаний выходной мощности? Поясню. У меня на выходе 50вт, а в прошивке до 20-ти кажит... Так же скорректировать максимальную мощность раскачки для каждого диапазона в отдельности было бы супер. А то в положении 100% где то и 60Вт, а это для RD16 уже как бы убавить.... Спасибо за хороший аппарат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2366] Автор : RX9UAO Дата : 16.01.2018 09:53 r6yr,это уже не Маламут,а Маламутеще! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2367] Автор : r6yr Дата : 16.01.2018 09:56 .... а Маламутеще! 100% :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2368] Автор : RW6MQ Дата : 16.01.2018 09:57 Так же скорректировать максимальную мощность раскачки для каждого диапазона в отдельности было бы супер. Выходная мощность на каждом диапазоне может быть выставлена - "mix out" в TX setup. В последней прошивке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2369] Автор : r6yr Дата : 16.01.2018 12:01 Выходная мощность на каждом диапазоне может быть выставлена - "mix out" в TX setup. В последней прошивке. Прошивка 4.9 Там есть пункт TxOut (band) - там нет раскладки по диапазонам... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2370] Автор : RW6MQ Дата : 16.01.2018 12:17 Прошивка 4.9 Там есть пункт TxOut (band) - там нет раскладки по диапазонам... Вы на каждом бенде заходите в этот пункт меню и он будет сохранён для этого диапазона и так далее. Там много есть интересных настроек, покопайтесь... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2371] Автор : R3DI Дата : 16.01.2018 12:56 Прошивка 4.9 Там есть пункт TxOut (band) - там нет раскладки по диапазонам... TxOut [BAND] - именно BAND и указывает что эта настройка для текущего диапазона. Возможно ли добавить в прошивке корректировку показаний выходной мощности? Поясню. У меня на выходе 50вт, а в прошивке до 20-ти кажит Тоже реализовано в том же меню пункт 4 PWR met... - но для таких мощностей нужно подобрать(уменьшить) резисторы 1R5 1R6 в КСВ метре, чтобы на выходе напряжение не превышало 3,3V (а лучше с запасом). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2372] Автор : RW3ACQ Дата : 16.01.2018 15:59 У меня на выходе 50вт Приветствую! Как Вы разогнали Маламут до 50 Ватт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2373] Автор : ra6ljm Дата : 16.01.2018 16:12 Есть у кого графики АЧХ полосовиков, снятые нвт. Что то по Жениным не пойму (частоты, дБ):oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2374] Автор : RD3Q Дата : 16.01.2018 16:15 RW3ACQ, Да легко 4 выходных транзистора и напряжение 22 вольта! У меня и больше 50 на некоторых диапазонах!:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2375] Автор : r6yr Дата : 16.01.2018 17:19 Вы на каждом бенде заходите в этот пункт меню и он будет сохранён для этого диапазона и так далее.... Спасибо, понял. Тоже реализовано в том же меню пункт 4 PWR met... - но для таких мощностей нужно подобрать(уменьшить) резисторы 1R5 1R6 в КСВ метре, чтобы на выходе напряжение не превышало 3,3V (а лучше с запасом). Спасибо Евгений. Приветствую! Как Вы разогнали Маламут до 50 Ватт? Питание оконечного каскада 24в. Два rd16. Ток покоя по 250ma. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2376] Автор : RX9UAO Дата : 16.01.2018 18:34 r6yr,а трансформатор в цепи питания оконеч.транзисторов ставили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2377] Автор : asperin74 Дата : 16.01.2018 21:44 Подскажите, можно Маламут применить для цифровых видов связи? Вроде все сообщения прочитал, но может не заметил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2378] Автор : R7KGA Дата : 16.01.2018 21:50 Подскажите, можно Маламут применить для цифровых видов связи? Втыкаете в него USB шнур от компьютера, кроме последовательного порта, появляется еще и звуковое устройство. Выставляете через меню, звук через USB. В программе для цифры указываете в качестве Input и Output звуковое устройство SDR Malamute -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2379] Автор : EW2MS Mikhail Дата : 16.01.2018 21:52 Непосредствено ДА -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2380] Автор : r6yr Дата : 16.01.2018 22:31 r6yr,а трансформатор в цепи питания оконеч.транзисторов ставили? Не совсем понял что вы имеете в виду... Все штатно, согласно схемы. Питание выходного каскада - импульсный преобразователь 24в. Вот отснял небольшое видео - работа в FT-8... https://www.youtube.com/watch?v=T-6CrIAc4ts -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2381] Автор : Love777888 Дата : 16.01.2018 23:08 Здравствуйте! Евгений, а можно ли поднять каким нибудь образом уровень звука в декодированном ФМ? Суть в том, что сам дискриминатор ФМ когда нет сигнала шипит громко, но как только люди начинают разговаривать, то уровень их звукового сопровождения гораздо тише чем шип частотного детектора. Хочу заметить АМ USB LSB говорят громко. Да и как я понял ФМ грузит систему, как только включаешь ФМ водопад притормаживать начинает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2382] Автор : R3DI Дата : 16.01.2018 23:30 Приветствую! ФМ детектор - программный PLL, потому и грузит процессор, для подавления шумов используйте SQL, выставите его уровень. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2383] Автор : RW3ACQ Дата : 16.01.2018 23:32 r6yr, Валерий приветствую и благодарю за интересное видео! Расскажите немного, какой корпус, какой дисплей? Увидел еще одно 3.5 мм гнездо рядом с гнездом тангенты, для чего оно? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2384] Автор : Love777888 Дата : 16.01.2018 23:57 Приветствую! ФМ детектор - программный PLL, потому и грузит процессор, для подавления шумов используйте SQL, выставите его уровень. Про шумодав, давно все ясно, я имел ввиду, что программный фм сама речь корреспондентов тихая, даже при выкрученном на максимум движке громкости, другие режимы работают громко. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2385] Автор : r6yr Дата : 17.01.2018 00:00 r6yr, Валерий приветствую и благодарю за интересное видео! Расскажите немного, какой корпус, какой дисплей? Увидел еще одно 3.5 мм гнездо рядом с гнездом тангенты, для чего оно? Спасибо! Корпус заказывал на али. Метал 150*70*200 (https://ru.aliexpress.com/item/1pcs-150x70x200mm-industrial-case-electronics-enclosure-diy-iron-box-for-electronics-box-enclosures-electronic-metal/2011575532.html?spm=a2g0s.9042311.0.0.LNfaZy). Дисплей 2,8" (https://ru.aliexpress.com/item/Free-Shipping-2-8-240x320-SPI-TFT-LCD-Serial-Port-Module-PCB-Adapter-Micro-SD-ILI9341/32573316100.html?spm=a2g0s.9042311.0.0.nwwa56). Гнездо - дополнительный вход для микрофона компьютерной гарнитуры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2386] Автор : R3DI Дата : 17.01.2018 00:34 Love777888, нет пока возможности заняться этим вопросом, просто выход сигнала плл - умножить толку не будет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2387] Автор : RW3ACQ Дата : 17.01.2018 08:14 Гнездо - дополнительный вход для микрофона компьютерной гарнитуры. Валерий, приветствую! Буду очень благодарен, если поделитесь Вашими наработками для подключения компьютерной гарнитуры к Маламуту (можно плату в layout или от руки, как Вам будет удобнее). Спасибо заранее! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2388] Автор : Love777888 Дата : 17.01.2018 10:52 Евгений добрый день! Скажите пожалуйста, что у меня с автоматикой зеркального канала? И еще обратите на видео разницу фм звук и однополосников, спасибо. https://youtu.be/y9N_8QUgE4U -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2389] Автор : R3DI Дата : 17.01.2018 11:05 Love777888, я так понял что постле тх такой эффект, посмотрю. А про фм не пойму, там и по спектру одна несущаяя. PS.Вот незнаю как еще написать про FM и FM2, видимо ппосто удалить, больше никак -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2390] Автор : Love777888 Дата : 17.01.2018 11:31 Про фм и фм2, это я знаю, в следующий раз буду снимать в фм2, по поводу несущей, там кто то зажал тангенту и слышно было звук радио магнитолы в автомобиле. Вчера сравнивал и фм и фм2, так же тихий звук по сравнению с однополосной модуляцией. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2391] Автор : R3DI Дата : 17.01.2018 12:57 Скажите пожалуйста, что у меня с автоматикой зеркального канала? Сейчас посмотрел - у меня такого эффекта нет. Но у Вас обратил внимания на "ручные" коэффициенты - что то довольно большие установлены на 20ке - возможно причина в этом, что изначально тракт имеет сильную разбалансировку. Какая у Вас картина на 40/20м - авто отключена и коэфф установлены дефолтные 1,0/0,0 ? ( Ручная регулировка на трх что на работе, на 20ке 1,0085/0,0006 - попробовал только что, сигнал основной S9+35дБ - без рег. зерк. 1-2 балла, с регулировкой полностью потерялась в шумах) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2392] Автор : Love777888 Дата : 17.01.2018 13:56 Изначально коэфициенты были 1 и 0, это я уже сам подгонял, может это все из за кодеков? У меня остались проблемы с ару и рисующей центральной риской. Без автомата нет такого дефекта, заметил недавно вот и отписался. Так точно, выставил 0 по мощности, зеркалка нормально в авто режиме работает. Добавлено через 23 минут(ы): Еще вопрос откуда процессор берет информацию для индикации водопада из кодека? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2393] Автор : RA6ANR Дата : 17.01.2018 14:36 Возникла небольшая проблема с дисплеем 2.8" с АлиЭкспресс.отсюда вопрос-у всех ли нормально работают дисплеи 2,8"???? проблема заключается в том,что дисплей запускается не всегда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2394] Автор : RD3Q Дата : 17.01.2018 14:47 RA6ANR, 3 дисплея 2.8 - не было проблем! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2395] Автор : ut2uf Дата : 17.01.2018 14:54 Прошерстил тему и не нашел описания трансивера (имею ввиду описание функций меню и проч.). Такое есть? Если я пропустил, ткните носом. С ув. Олег. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2396] Автор : R3DI Дата : 17.01.2018 15:59 фм и фм2, так же тихий звук по сравнению с однополосной модуляцией. да проверил так и есть, пересчитал коэффициенты и все же ввел AGC - уровни выровнялись. Готовой версии пока нет - нет времени ее "причесать". откуда процессор берет информацию для индикации водопада из кодека? Кодек - Оконная функция - Функция преобразование Фурье - Подготовка к отображению - Отображение Прошерстил тему и не нашел описания трансивера Как токового полного подробного описания нет. Что то есть на ядиске (по ссылке в первом посту) в папке 1.3 , кратко по меню. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2397] Автор : Love777888 Дата : 17.01.2018 17:55 Евгений еще раз добрый день, хочу еще заметить насчет фм2, так вот на фм2 при прослушивании радиопередач на частотах укв, как то совсем не то, как будто полоса фильтра еще меньше стала по сравнению с просто фм.хотя и там и там по 24кГц стоит. Это вам на заметку, вы говорили, что хотите убрать обычный фм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2398] Автор : R3DI Дата : 17.01.2018 18:22 насчет фм2, так вот на фм2 при прослушивании радиопередач на частотах укв, как то совсем не то, как будто полоса фильтра еще меньше стала по сравнению с просто фм Так и есть, так и задумано, фм2 полоса в 2 раза меньше ( только LSB), ПЧ определяется половиной полосы пропускания (показания частоты корректируются автоматически), для фм есть возможность слушать все полосу частот, ПЧ 0, с этим связаны некоторые моменты приема и передачи сигнала, делал чтобы слушать "УКВ Вещалки" при 96к (выставив полосу фм и кодек в 96к ), но это только доставляет больше вопросов - потому хочу убрать этот режим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2399] Автор : Love777888 Дата : 17.01.2018 21:57 Евгений тогда не стоит, я имею ввиду убирать в последующих прошивках режим FM, думаю можно просто местами аббревиатуры поменять, так сказать FM для работы на р\л, fm2 для вещалок укв. Еще вопрос, об ару, а нельзя ли программно отключать кодек приемника при передаче? Или это и так происходит(предусмотрено)? Я по поводу своей АРУ(забиваемости тракта приемника после нажатия на передачу), интересуюсь. Сейчас слушаю р\л на 7 Мгц, очень классно! Спасибо вам за трансивер! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2400] Автор : ua3ycv Дата : 18.01.2018 08:52 нет!ФМ должно быть-пускай не очень комфортное -но пускай будет-пригодится!надо признать и у конкурента оно так бы сказать-не очень:shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2401] Автор : Serg Дата : 18.01.2018 13:40 так сказать FM для работы на р\л, fm2 для вещалок укв. Во всей нормальной аппаратуре чм для вещалок называется WFM. Для радиосвязи, соответственно, просто FM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2402] Автор : R3DI Дата : 18.01.2018 15:33 Serg, вчарась изменил на FMW и FMN :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2403] Автор : Love777888 Дата : 18.01.2018 15:43 Евгений здраствуйте, кодек приемника отключается на время передачи? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2404] Автор : R3DI Дата : 19.01.2018 11:13 Приветствую, отключается, кроме режимов Moni и CW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2405] Автор : Love777888 Дата : 19.01.2018 11:33 Я извиняюсь, что докучаю вопросами, как происходит его включение в работу, так же как и при запуске всего трансивера, инициализация и.т.д? Или у кодека есть типа stand by режим и он ждет команды с контроллера включится опять в работу? Я к чему свожу разговор, проблема с затыками приемника после передачи присутствует в моих кодеках, поэтому и спрашивал, находится ли кодек в дежурном режиме в момент передачи, но если вы говорите что отключается, то мне не понятен вопрос с затыком. Единственно, если кодек включается в работу на прием после передачи также как и при включении трансивера, то все ясно там тоже присутствует этот дефект кратковременного молчания приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2406] Автор : R3DI Дата : 19.01.2018 11:55 Не думаю что дело в кодеке, скорее всего до кодека, может ПЕ рхтх или фст бывает канал пробит (но тогда зеркалки были бы), проверьте трак на присутствие/скачки пост.состовляющей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2407] Автор : Love777888 Дата : 19.01.2018 12:04 Со мной связался один радиолюбитель, по вопросу автозеркалки, он мне сказал, что поведение точь в точь как у меня, выяснилось что серия YFNABLCU1635 кодеков один в один как у меня, но продавцы разные, а симптоматика та же, то есть кодеки, сам ремонтирую аппаратуру и уже есть уверенность в том, что это кодеки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2408] Автор : R3NC Дата : 19.01.2018 19:09 Всем участникам доброго времени. Подскажите пожалуйста, можно ли и где приобрести готовый аппарат? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2409] Автор : RW6HCH Дата : 19.01.2018 20:01 где приобрести готовый аппарат? http://www.qrz.ru/classifieds/detail/malamut_248637 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2410] Автор : R3DI Дата : 19.01.2018 20:34 RW6HCH, Борс, если админы не удалят, то сама ссылка со временем может стать закрытой... - а фото там оч красивые :super: . Если Вы не против, может прикрепите сюда фото, с картинками читать интереснее - что из этого выхотит, как вариант исполнения, а уж с такими то :super::super::super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2411] Автор : R7KGA Дата : 19.01.2018 20:41 На фото в объявлении явно видна надпись Made in R6YR, наверняка автор он. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2412] Автор : RW6HCH Дата : 19.01.2018 20:48 [QUOTE=R3DI;1488275]RW6HCH прикрепите сюда фото] я свой еще не закончил, остался усилитель и фнч -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2413] Автор : R3DI Дата : 19.01.2018 21:07 R7KGA, точно, и видео в теме от R6YR! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2414] Автор : r6yr Дата : 19.01.2018 22:11 На фото в объявлении явно видна надпись Made in R6YR, наверняка автор он. R7KGA, точно, и видео в теме от R6YR! Да, моих рук дело... :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2415] Автор : R7KGA Дата : 19.01.2018 22:13 Да, моих рук дело... Правильнее было бы написать Made by R6YR, но по сравнению со всем остальным эти мелочи меркнут :) Отличная работа! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2416] Автор : RW3ACQ Дата : 19.01.2018 22:21 Посмотрел картинки, спасибо! Возник следующий вопрос: "Разъемы на задней панели PTT и коммутация PA" - как и на основе чего реализовано? "Предусмотрен разъем выхода коммутации диапазонов" - как и на основе чего реализовано? "PA-PTT" и "PTT" - как и на основе чего реализовано и какая разница? Благодарю заранее за разъяснения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2417] Автор : R3NC Дата : 19.01.2018 22:23 Впечатляет! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2418] Автор : r6yr Дата : 19.01.2018 23:42 Правильнее было бы написать Made by R6YR, но по сравнению со всем остальным эти мелочи меркнут :) Отличная работа! Спасибо... Посмотрел картинки, спасибо! Возник следующий вопрос: "Разъемы на задней панели PTT и коммутация PA" - как и на основе чего реализовано? "Предусмотрен разъем выхода коммутации диапазонов" - как и на основе чего реализовано? "PA-PTT" и "PTT" - как и на основе чего реализовано и какая разница? Благодарю заранее за разъяснения. Здесь все просто. Сигнал PTT с тангенты, параллельно. Коммутация PА - дополнительное реле, параллельно реле коммутации. На разъеме PA - группа контактов на "замыкание", гальванически не связанно вообще ни с чем в трансивере. Все работает как часы. Ни наводок ни сбоев. Усилитель на 2-х Гу74Б. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2419] Автор : denska Дата : 22.01.2018 06:25 Приветствую!с какими настройками dds кроме кварца,можно поигратся в меню??менял кварцы 25,27 на выходе ничего нет,кварцы генереруют -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2420] Автор : R6BK Дата : 22.01.2018 10:19 с какими настройками dds кроме кварца,можно поигратся в меню А что там играться? Там надо установить значения, раз и до .... (конца эксплуатации). 1. Какой чип у Вас стоит в качестве синтезатора? 2. Какая частота кварца (генератора)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2421] Автор : Sergey_Lapin Дата : 22.01.2018 10:55 denska, R6BK прав. Ставите в настройках DDS - Si5351 если применена она. Далее выставляете или 25 или 27 мГц. Все. Осциллографом или частотомером проверяем генерацию на выходе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2422] Автор : us8igt Дата : 22.01.2018 18:06 http://www.qrz.ru/classifieds/detail/malamut_248637 Что то с ценой перебор.У нас за 350 у.е. можно взять.За 700 у.е. можно найти приличного буржуя.Хотя-на любителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2423] Автор : RK3AQW Дата : 22.01.2018 19:12 Со мной связался один радиолюбитель, по вопросу автозеркалки, он мне сказал, что поведение точь в точь как у меня, выяснилось что серия YFNABLCU1635 кодеков один в один как у меня, но продавцы разные, а симптоматика та же, то есть кодеки, сам ремонтирую аппаратуру и уже есть уверенность в том, что это кодеки. Был у меня 1 кодек,левый, так же 2- полосы одновременно принимал,боковые не переключал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2424] Автор : zubr.1961 Дата : 22.01.2018 20:37 Просмотрел тему не нашёл где или у кого можно заказать печатку? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2425] Автор : Алекс88 Дата : 22.01.2018 20:45 У автора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2426] Автор : zubr.1961 Дата : 22.01.2018 20:50 Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2427] Автор : Love777888 Дата : 22.01.2018 22:55 Был у меня 1 кодек,левый, так же 2- полосы одновременно принимал,боковые не переключал Не тут все переключает как нужно. А левых кодеков и ПЕ полно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2428] Автор : DeXter Holland Дата : 23.01.2018 00:05 а stm32f407vet6 можно применить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2429] Автор : UT0UM Дата : 23.01.2018 00:12 vet6 vgt6 в Биакоме дешевые -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2430] Автор : R3DI Дата : 23.01.2018 00:28 stm32f407vet6 можно применить Можно, прошивка ~240 kБ занимает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2431] Автор : denska Дата : 23.01.2018 06:49 А что там играться? Там надо установить значения, раз и до .... (конца эксплуатации). 1. Какой чип у Вас стоит в качестве синтезатора? 2. Какая частота кварца (генератора)? кварц 27!чип 5351BBZ5 547 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2432] Автор : Love777888 Дата : 23.01.2018 06:57 Евгений здравствуйте! Когда релиз новой прошивки состоится с громким ФМ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2433] Автор : R3DI Дата : 23.01.2018 10:43 Love777888, приветствую, ничего не могу сказать, работы .... и еще командировка предстоит... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2434] Автор : Александр Ф. Дата : 25.01.2018 17:59 Первое включение, тишина полная, но на дисплее пульсирующая помеха. Сигнал синтезатора напрямую идет на вход А SN65LVDT34, вход В подтянут емкостью на массу.Сигнал синтеза нормальный, Si из проверенной рабочей партии.УНЧ на TDA, рабочий. Реакции на подкл. ант.. к смесителю нет. Где может прятаться проблема? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2435] Автор : Love777888 Дата : 25.01.2018 18:30 А вы проверили что идет до и после 74ас74, что на сами смесители приходит? Clk-i, clk-q? En-rx? Проверяли? Если есть осциллограф, все можно проверить. Кстати, что идет после fst3253 тоже осциллографом видится. Если все идет и до кодека доходит, то кодек внимательно на пропай прозвонить, просмотреть. Если условие выполнено, а приему ноль, кодек на замену. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2436] Автор : Александр Ф. Дата : 25.01.2018 18:49 до и после 74ас74 Нет, не смотрел. Сигнал синтезатора смотрел. Кодек осмотрел и прогрел феном. Можно кратко описать, что д.б. на входах смесителя и после. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2437] Автор : Love777888 Дата : 25.01.2018 20:12 Не в обиду, аппарат спрятан, осциллограф тоже доставать, места лишнего на столе нет. Пока отложил маламута в сторону, есть что по паять и без этого. А обьяснить осциллограмму, ну никак не передать в словах, только фото. Начните проверку с простейшего, наличие питания и прохождения наличия сигналов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2438] Автор : R3DI Дата : 25.01.2018 20:39 Александр Ф., начните с промывки и пристального осмотра монтажа, неоднократно и причиной флюс был. Питание, сигналы кодек-процессор хоть просто прозвонить, на прохождение и кз ”межусобойчики”... Смеситель оставьте на потом, там и в работающем смотреть нечего. Касаясь входов операционников должен быть фон как на унч... И про лвдс не совсем ясно, входы должен обьединить резистор вроде как, проверьте что на выходе, меандры должны быть, стабильные, без срывов, после триггеров частота в 2 раза меньше.... но все же сначала фон на кодеке от касания, без этого не имеет смысла смеситель ковырять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2439] Автор : Александр Ф. Дата : 25.01.2018 20:48 Евгений, спасибо за ответ. Именно этим и занят сейчас. Резистор, обьединяющий входы лвдс есть в самой м\с sn65lvdt34. По входам операционников реакции нет. Грешу на кодек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2440] Автор : R3DI Дата : 25.01.2018 20:58 Александр Ф., чистку памяти делали, шумодав выключен? Затем 5 цифр линий кодека, 12мгц, 3мгц, 48к, вход и вых. везде должны быть логические уровни, на вх/вых тоже должно чтото быть, в24 бита полной тишины не должно быть )....хм, хотя если ацп 0и выдаеть.... тогда режим МОНИ и Тон, в динамике работу цапа послушать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2441] Автор : Александр Ф. Дата : 25.01.2018 21:14 Чистку не делал. Где-то было на форуме. Шумодав выкл. Не могу фото и видео вставить. Лучше сделать чистку памяти (режим очистки FRAM - ON+(C+D)key), FRAM-ON не понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2442] Автор : Sergey_Lapin Дата : 25.01.2018 21:56 Здравствуйте! То же пока речь идет не далеко от SI5351/ Ребят у меня просто мало опыта в построении данной технике. Просто как то смотрел осциллографом , что на выходе SI5351. Форму сигнала. Далеко не синус. Синус четкий лишь с 27 мгц на ослике. Ниже форма сигнала портится. Может так оно и есть у Si5351. ? По частотам все совпадает и частоту выдает именно ту что надо. Прошу не пинать. Это просто мое первое творение получается.....с которым я могу посмотреть осциллограммы. Осциллограф не так давно в моем парке появился. Может кто снимет осциллограмму если есть возможность скажем на частоте 7.100кгц просто интересно у всех так или только у меня форма сигнала с SI5351.(поже могу скриншот на выходе Si предоставить в студию, что у меня) За ранее благодарю! Не надо именно прям сейчас, а по возможности, может под рукой будет раскрытый аппарат и ослик включен. Немного поясню. Si5351 работает. Все как надо вроде. Просто для проверки стал снимать осциллограммы с выхода. и смотрю. Что именно не синусойда ....сигнал. Корявенький такой. Синус с 27 мГц получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2443] Автор : Love777888 Дата : 25.01.2018 22:07 Там синус далек от идеала, у меня так же, когда выяснял свои неисправности, но работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2444] Автор : Sergey_Lapin Дата : 25.01.2018 22:15 Love777888, Спасибо! Успакоили. А то там действительно когда включаю скажем 20м или 80м . То ЕК Макарек по форме сигнал ...как будто шим контроллер))) За то частоты тютелька в тютельку. А вот с 27мгц, может меньше +-2мГц синус становится))) как синус. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2445] Автор : R3DI Дата : 25.01.2018 23:00 Александр Ф., включить трх с зажатыми кнопками С и D. Про Си5351- синуса на выходе и недолжно быть, на выходе меандр. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2446] Автор : Sergey_Lapin Дата : 25.01.2018 23:18 R3DI, Жень! Там не меандр...а не знам что...но..но. Рабоает же у людей...значит И у меня будет работать. Завтра братцы не поленюсь сделаю скриншоты на разных диапазонах. Просто Жень, повтарюсь, знании много, а практики в моем случае наглядной было мало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2447] Автор : Love777888 Дата : 25.01.2018 23:20 Александр Ф. Как сказал Евгений если касаешься входов кодека приемника должен быть фон с соответственным изображением на дисплее. Если не фонит динамик, замените кодек. Подделок много из китая, для меня уже пройденный этап. Сергей Лапин, не парьтесь, в ютубе есть как человек снимал осциллограммы с сишки, посмотрел свои такие же корявые, но ведь трансивер работает и это самое главное -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2448] Автор : Sergey_Lapin Дата : 25.01.2018 23:42 А вОобще.... Всем на заметку и мне в том числе... Ребят во первых...тут монтаж очень полотный. Это не SW аппарат. За что простите, пользуясь случаем огромную благодарность в свое время выражаю автору. И тут ....где Евгений свел для себя именно конструктив минимизации. Как изначально хотел. И это у него отлично , РАБОТОСПОСОБНО получилось. Так вот к чему я .... Эти аппараты.... Что SW, что Маламут, ПРАКТИЧЕСКИ НЕ ТРЕБУЮТ НАСТРОЙКИ. ВСЕ ВЫСТАВЛЯЕТСЯ В МЕНЮ. И работает..если нет ..ищи косяк в монтаже или детали с алиэкспоесса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2449] Автор : UN7GDZ Дата : 26.01.2018 09:01 Так и есть на выходе SI5351 меандр. вот осциллограммы с выхода си после lvds и после триггера 280372 280373 280374 но то что сигналы с иголками не обращайте внимания это так щупы работают в высокоомном режиме. приемная часть почти полностью собрана так что могу осциллограммы с нужных точек сделать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2450] Автор : Александр Ф. Дата : 26.01.2018 09:22 Спасибо за рекомендации. Вот видео https://yadi.sk/i/FkrLAUvV3RngUQ (https://yadi.sk/i/BnpD8Pfx3RngTH) https://yadi.sk/i/BnpD8Pfx3RngTH Фото платы. Одновременно разместить не получилось. (https://yadi.sk/i/BnpD8Pfx3RngTH) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2451] Автор : RA6ANR Дата : 26.01.2018 09:28 Александр Ф., а где резисторы по шинам данных кодеков?????(на месте второго контроллера) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2452] Автор : R3DI Дата : 26.01.2018 09:29 Александр Ф., на фото кодек к процессору вообще не подключен?, а на видео? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2453] Автор : RA6ANR Дата : 26.01.2018 09:29 Форум тупит что то -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2454] Автор : Александр Ф. Дата : 26.01.2018 09:36 кодек к процессору вообще не подключен?, а на видео? Не ставил, упустил, спасибо. Посмотрите пож., может еще где явный косяк. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2455] Автор : UU5JPP Дата : 26.01.2018 09:43 У меня аналогичное было, помогло заменой сишки (это я по поводу видео из поста #2450) https://yadi.sk/d/nbDtOKq_3RoDK3 и резисторов нет по 100 Ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2456] Автор : ut29641 Дата : 26.01.2018 10:12 280382 Доброго времени.Конденсатор должен стоять вроде?И два резистора... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2457] Автор : Александр Ф. Дата : 26.01.2018 10:33 И два резистора... Трансформатор не ставил, r10,r14,c26 также. Установил резисторы, аппарат ожил. Пульсирующая помеха на экране исчезла, на касание вход.фильтра реагирует водопадом и звуком. Правда реакции на входе FST нет, но это уже проще. Потребление выросло до 430мА, было 330мА. Спасибо за помощь, буду двигаться дальше. Синтезатор подключил как в #1883 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-Маламут-quot&p=1463384&viewfull=1#post1463384) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2458] Автор : Love777888 Дата : 26.01.2018 11:11 Вы антенну ко входу смесителя подкидывали? К самому трансформатору? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2459] Автор : Александр Ф. Дата : 26.01.2018 11:26 Уважаемый UR4QBP был не совсем прав, когда писал, что **При использовании SN65LVDT34 резистор R1 не устанавливать** Либо я не понял. В общем вернул на место выравнивающий резистор R16 200ом и все заработало. Прием на кусок провода 10м, подкл. к 6TR1.https://cloud.mail.ru/public/DC49/werzdKoPA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2460] Автор : R3DI Дата : 26.01.2018 11:39 Александр Ф., при таких уровнях приема на зеркалки и намека не должно быть, отключите автокомпенсацию, ручные на 1.0/0.0, все по дефолту и начните проверку.... все 4 канала идентичны, а там уже и к смесителю переходить можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2461] Автор : Александр Ф. Дата : 26.01.2018 11:51 Евгений, я извиняюсь конечно, но нельзя ли по подробней. С SDR ранее дело не имел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2462] Автор : R3DI Дата : 26.01.2018 13:09 Александр Ф., самое простое, для начала, приподнять проходные танталы(7С1 7С9 7С10 7С18) от смесителя к операционникам и проверить все 4 НЧ канала на прохождение сигнала (от входов NE5532 до кодека)(должны быть равные амплитуды сигналов поступающие на кодек, возможно не пропай и один из каналов просто не работает), но именно это сделать, потому как немного не понял как после рекомендации проверить - ...сигналы кодек-процессор хоть просто прозвонить, на прохождение ... на фото после этой рекомендации соединение просто отсутствует :-P .... Затем проверить сигналы CLK_I CLK_Q на смеситель, они должны быть и быть сдвинуты на 90 град. относительно друг друга. .... Если 1е и 2е в норме - то просто заменить FST (ну или проверить для начала) PS. Чистку FRAM делали? ( часто р/л пробуют загружать разные версии (и не делая чистку памяти) - в надежде что дело именно в этом, а не в монтаже и деталях - хотя разница в версиях только в "плюшках" а не в основных принципах работы - но разные версии имеют разные структуры в памяти(из-за этих "плюшек") и данные от одной версии могут попасть в совершенно другие поля памяти другой версии (например коэф коррекции I Q , которые изначально должны быть 1,0/0,0)...хотя структуры и имеют CRC - что-то кде-то като-то так... за неимением времени полностью разобраться и наличие старых версий которые уже прошивают, в последних просто ввел чистку (переинициализацию) памяти (структур) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2463] Автор : denska Дата : 26.01.2018 13:50 del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2464] Автор : RD3Q Дата : 26.01.2018 14:01 denska, ну прочитайте назад пару страниц! Не ужели так все запущено! Записывайте умные советы для себя (стр. и № сообщения) - легче найти потом!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2465] Автор : denska Дата : 26.01.2018 14:04 denska, ну прочитайте назад пару страниц! Не ужели так все запущено! Записывайте умные советы для себя (стр. и № сообщения) - легче найти потом!:-P а уже разобрался:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2466] Автор : RW3ACQ Дата : 26.01.2018 16:35 Александр Ф., приветствую! Не могу посмотреть Ваш файл на мейл.ру (https://cloud.mail.ru/public/DC49/werzdKoPA) - ошибка 404. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2467] Автор : Александр Ф. Дата : 26.01.2018 17:38 , самое простое, для начала, Евгений, все это сделал, в т.ч. чистку памяти. Сейчас занимаюсь АТТ и вход.фильтрами, есть проблемы. Сергей, видео удалил, вот другое.https://yadi.sk/i/3eQmlREH3RpA4Q -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2468] Автор : R3DI Дата : 26.01.2018 17:47 все это сделал, в т.ч. чистку памяти. А сделали что, какой результат ? Т.е. все НЧ каналы работаю с одинаковыми амплитудами, кз между каналами нет ? Клоки на смеситель в норме ? И FST полностью рабочая ? - и при этом полное зеркало - нее, таких чудес не бывает... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2469] Автор : Love777888 Дата : 26.01.2018 18:04 Александр Ф, у вас автозеркалка работае? Или коэффициент 1.000 и 0.000 стоит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2470] Автор : RK3AQW Дата : 26.01.2018 18:25 Love777888, авто выставляйте и все будет норм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2471] Автор : R3DI Дата : 26.01.2018 18:38 у вас автозеркалка работае? Или коэффициент 1.000 и 0.000 стоит? после чистки должна быть выключена, и коэффициент 1.000 и 0.000 авто выставляйте и все будет норм вот уж не стоит, - авто - это уже погрешности поправить - эт когда основной 9+60 а зеркало от него 3..5 балов - а у Александр Ф на уровнях основного сигнала 0..3 бала полное зеркало идет с такими же уровнями - сначала нужно исправить косяки монтажа/деталей и вот когда будет "​основной 9+60 а зеркало от него 3..5 балов" тогда можно и авто включать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2472] Автор : Александр Ф. Дата : 26.01.2018 19:05 какой результат ? Евгений, похоже клоки не имеют сдвига 90 град. Уровни примерно одинаковые, к.з. нет. К смесителю и кодекам пока вопросов нет. Занимаюсь и одновременно изучаю. Владимир. автозеркалка в меню OFF коэф. 1.000 и 0.000 там же. Поставил авто, но результат такой же. Но при вращении валкодера идет шум. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2473] Автор : RK3AQW Дата : 26.01.2018 19:25 R3DI, пост2471,Хм, я в ручную пробовал зеркало уменьшал и увеличивал,далее ставил авто и все гуд делалось автоматом, странно,буду дома на следующей неделе еще раз попробую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2474] Автор : R3DI Дата : 26.01.2018 19:54 Александр Ф., похоже это как? Речь не идет о полной точности 90град , на глаз с осциллографа не определить, но то что они не 0 и не 180, и оба есть прошу по возможности фото экрана (сделайте на диапазоне 1.8 мгц), к стати с фазой в 180 град сигналы с лвдс должны выходить, с удвоенной частотой, после триггеров сдвиг 90 град и частота та что на дисплее трансивера. Добавлено через 24 минут(ы): ......К смесителю и кодекам пока вопросов нет.. А у меня есть, я так и не понял и Вы не написали о результате проверки -все ли 4канала работают как нужно. П телефону то, как говориться - ”зубы лечить”... а через чат и без тоных ответов... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2475] Автор : Александр Ф. Дата : 26.01.2018 20:02 оба есть прошу по возможности фото экрана (сделайте на диапазоне 1.8 мгц) Кто бы мне еще объяснил как. :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2476] Автор : R3DI Дата : 26.01.2018 20:07 Кто бы мне еще объяснил как. :-( Пардон, обьяснить что, как на телефон, или на что Вы видео снимали, сделать фотоь экрана осциллографа? Осциллограф 2х канальный у Вас? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2477] Автор : Александр Ф. Дата : 26.01.2018 20:09 Утром был 2 канальный, сейчас нет, буду искать. Разбил. А нельзя ли как-то косвенно проверить. Либо однолучевым? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2478] Автор : Александр Ф. Дата : 26.01.2018 22:49 Осциллограмма сигнала на кодеке, уровни всех 4 каналов одинаковы. Для оценки сдвига фаз, вывел 2 коротких проводка от линий лвдс и припаял к контактам макетки. Поочередно касаясь, наблюдал совершенно одинаковые осциллограммы, т.е. сдвиг под сомнением.Буду разбираться.https://yadi.sk/i/mdmCRnMU3RpaPm Р.С. Размерность 20mV/дел., щуп 1:1., диапазон 1,8мгц (https://yadi.sk/i/mdmCRnMU3RpaPm) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2479] Автор : Love777888 Дата : 26.01.2018 23:00 Евгений доброй ночи, а еще информация по амплитуде подаваемых сигналов на кодек, они должны быть одинаковыми, перемеряю у себя как мне показалось, что немного разные. Посмотрим что там с ОУ идет на кодек, перепроверю себя еще раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2480] Автор : ialexs Дата : 26.01.2018 23:36 К сожалению не могу открыть фотки на яндекс диске, но по выложенной фотке посоветовал бы сначала навести порядок в цепи компаратора , вы посадили один из входов на массу через резистор 50 ом сишки . Привяжите один к делителю, а второй через развязывающую емкость к сишке. Компаратор в вашем включении может не работать, на вход нужно подавать противофазный сигнал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2481] Автор : chet Дата : 27.01.2018 05:46 Александр Ф., синхронизацию внешнюю с одного канала,на остальных можно фазу сравнить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2482] Автор : Александр Ф. Дата : 27.01.2018 09:01 Здравствуйте. Александр, us4ijr, 19R20 убрал давно, фото осциллограммы без него. Зеркалка, конечно, огромная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2483] Автор : ialexs Дата : 27.01.2018 12:19 Резистор можно было и не убирать. Если у Вас исполнение точно как у QBP ,то вопрос снят, если нет, повторюсь на входе компаратора должно быть 1.5 вольта постоянки и через конденсатор вч от сишки или трансформатор как у автора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2484] Автор : Александр Ф. Дата : 27.01.2018 13:07 Делитель поставил, 1,5в есть. Частота сигналов лвдс удвоена, после триггера как на дисплее. Фазу измерить не могу. Заменил кодек тем, что стоял в TX, изменений нет. Буду дальше смотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2485] Автор : ialexs Дата : 27.01.2018 13:51 Проверим 3253 , 6R8 -6R7 по 1 ком поставьте и померяйте цешкой напряжение на выходе ключей, будет почти такое как и на входе, минус падение на ключах, главное чтобы было одинаковое на всех, если сильно отличается то искать причину . Метод конечно относительный, но результат часто положительный в случае" дохлой" фст. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2486] Автор : Александр Ф. Дата : 27.01.2018 14:13 Александр, проверил, одинаковые на выходах ФСТ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2487] Автор : ialexs Дата : 27.01.2018 14:25 Если есть генератор, то на вход трансивера сигнал и смотрим осциллографом амплитуду тона на выходах 5532 или входах кодаков , амплитуда должна быть везде одинаковая. Если генератора нет, то можно использовать тональник другого трансивера работающего на эвивалент или на антенну ,настроить приемник приблизительно на тон 1000герц и мерять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2488] Автор : Love777888 Дата : 27.01.2018 14:27 Может у вас Александр в ОУ что то неисправно после FST или один канал на другой накладывается, проверьте отсоединением тантала на каждый канал поочередно, если есть сигнал в канале после снятия тантала значит ищите где утечка в этот канал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2489] Автор : Александр Ф. Дата : 27.01.2018 18:56 ОУ что то неисправно Владимир вы правы. Заменил операционник вместе с обвязкой и все нормализовалось. Нашел стабильную помеху и смотрел осцилом. Сейчас вот так.https://cloud.mail.ru/public/Mvac/RGpijaPyE -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2490] Автор : Love777888 Дата : 27.01.2018 20:15 Владимир вы правы. Заменил операционник вместе с обвязкой и все нормализовалось. Нашел стабильную помеху и смотрел осцилом. Сейчас вот так.https://cloud.mail.ru/public/Mvac/RGpijaPyE Да нет проблем, только меня Олег зовут, что не нахожу как подпись изменить в личном профиле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2491] Автор : AlexJ Дата : 27.01.2018 21:07 Подскажите, как можно проверить FM24СL64?, сегодня получил с Али, поставил, результата нет, все выставляю, после откл.питания снова вижу перевернутую картинку..., т.е. не запоминает установки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2492] Автор : rt6dz Дата : 27.01.2018 23:04 Получил программатор ST-LINK V2 установилл программу .Программатор определился в диспечере.Новыходит вот такая бяка.280526 Что неправильно сделал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2493] Автор : UA4FTA Дата : 27.01.2018 23:11 Что неправильно сделал Контроллер подключите.А для начала обновите прошивку программатора.Нажмите ST-Link-update firmware-я только вчера через это прошёл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2494] Автор : R7KGA Дата : 27.01.2018 23:14 ST-Link разные бывают. В фирменном белом надо питание на него подавать с таргета. Именно такое и выбрасывает, когда питание не подано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2495] Автор : ua9xos Дата : 27.01.2018 23:59 Подскажите как себя должен вести процессор stm32f407vgt6 при первом запуске. Кварцы не работают и светодиод не мигает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2496] Автор : autosat Дата : 28.01.2018 00:30 ua9xos, Только что стер прошивку контроллера — черный экран... Контроллер прошился нормально? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2497] Автор : ua9xos Дата : 28.01.2018 00:41 ua9xos, Только что стер прошивку контроллера — черный экран... Контроллер прошился нормально? Да прошился нормально. Сделал так как выше UA4FTA рекомендовал. Но светодиод не горит и не мигает. Но я еще дисплей не подключал и кнопок управления нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2498] Автор : UA0BHC Дата : 28.01.2018 06:59 на версии платы 1.31 8С28 какой номинал лучше будет? (указано не полярный от 1 до 10 мкф) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2499] Автор : RX9UAO Дата : 28.01.2018 09:19 ua9xos,светодиод без кодека гореть не будет.А вообще он ни когда не моргает-горит постоянно.Просто при сьемки нет синхронизации вот на видео так и получается-как будто моргает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2500] Автор : UA4FTA Дата : 28.01.2018 09:28 Но я еще дисплей не подключал Подключите и увидите.Диод то-же молчит,теперь понял,кодек ещё не паял-думаю,какой подпаять-с Ростова или с Китая. (указано не полярный от 1 до 10 мкф Где-то встречал,для начала 1 мкф. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2501] Автор : Love777888 Дата : 28.01.2018 09:45 Здравствуйте! Парни, не уделяйте сильного внимания светодиоду, у меня его вовсе нет,дисплей подключите и увидите прошился или нет. Все этапы прошивки написаны постами выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2502] Автор : rn4haq Дата : 28.01.2018 10:31 280544 Вчера прошил через USB dfu. Затраты два сопротивление 1кОм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2503] Автор : ua3ycv Дата : 28.01.2018 13:08 AlexJ, Подскажите, как можно проверить FM24СL64?,в начале темы давал-только программатором-начали косые слать "перемаркировку":-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2504] Автор : RW3ACQ Дата : 28.01.2018 14:04 Друзья, поделитесь, пожалуйста подробным алгоритмом настройки токов покоя выходного каскада Маламута, так сказать "для начинающих". Что к каким точкам на плате подключать и так далее. Напряжение питания планирую не более 14 вольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2505] Автор : RW6MQ Дата : 28.01.2018 14:24 1. Снимите джемпер со стоков. 2. В режиме ТХ, вращая подстроечники, добиваемся минимального напряжения на обоих затворах 3. Джампера ставим на место, подключаем измеритель тока в цепь питания всего вых каскада либо всего трансивера в целом 4. Переводим в режим тх (тангентой) (на всяк случай ставим не mic, а lin). Фиксируем ток, к имеющимуся значению, вращая подстроечник рег тока покоя добиваемся увеличения тока на 150мА, затем вращая второй подстроечник еще добавляем 150мА. ктото ставит по 100мА, ктото по 250мА, у кого какие цели по экономичности и требования к качеству (классу работы) каскада. да, не забудьте про ток покоя доайвера, я ставлю 45 мА на пару, что соответствует классу А. ток контролировать можно оторвав 4L1 и в разрыве включить мА., регулировка подбором 4R4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2506] Автор : Владимир_К Дата : 28.01.2018 14:40 Коллеги, подскажите кто в теме (все же искать долго). Интересует вопрос плат, как решилась проблема и можно ли купить здесь, в Украине? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2507] Автор : UA0BHC Дата : 28.01.2018 16:14 Так понял, что у вас разработан аналог. Подробности здесь - http://ur4qbp.ucoz.ua/forum/5-231-1#4199 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2508] Автор : RA6AMP Дата : 28.01.2018 16:33 И ещё есть http://ur5yfv.com.ua/index.php/forum/sdr-konstruktsii/13-osnovnaya-plata-sdr-trx-malamut.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2509] Автор : Сармат Дата : 28.01.2018 18:20 280625В этом узле на вход ОУ идет сигнал TXIMc, а выход этого ОУ указан как TXIP и наоборот, вх TXPc...вых TXIM. Подскажите, пожалуйста, это так должно быть или опечатка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2510] Автор : AlexJ Дата : 28.01.2018 20:17 в начале темы давал-только программатором-начали косые слать "перемаркировку" Похоже это как раз тот случай, (брал тут: https://ru.aliexpress.com/item/FM24CL64B-GTR-FM24CL64BG-FM24CL64-64-Kbit-8-K-times-8/32822241108.html?spm=a2g0s.9042311.0.0.NQ8Pwq ), достал свой Postal3, когда то много им программировал флешки 24/25 серии в телевизорах и др.технике, не видит он этот кристалл. Хотя с другой стороны этот тип в его списке не заявлен. Хотел спросить Евгения, чем обусловлено применение этой памяти? в общем то ничем она не лучше обычных 24С, 24LC применительно для данной конструкции, или может я чего то не понимаю? А в связи с увеличившимся спросом цены на нее вздули неимоверно! В чипе 370р., для сравнения контроллер 410р! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2511] Автор : UA4FTA Дата : 28.01.2018 21:45 контроллер 410р! Правильнее 610р. https://www.chipdip.ru/product/stm32f407vgt6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2512] Автор : AlexJ Дата : 28.01.2018 21:55 Правильнее 610р. Неделю назад у меня были проблемы с индикацией, (писал выше), грешил на контроллер, взял у чипов новый за 410, результат тот же, оказалось был битый кодак, а т.к. управление индикатором и кодаками идет общими сигналами (SPI MOSI, SPI MISO и др.), то сдув один из кодаков (он грелся и давил шину упр.) восстановил индикацию, теперь проблемы с памятью... т.е. неделю назад контроллеры у чипов были дешевле чем в китае, следят они за темой, реагируют. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2513] Автор : UA0BHC Дата : 29.01.2018 04:55 неделю назад контроллеры у чипов были дешевле чем в китае, не были они дешевле, в ноябре 2017 были дороже, 750 руб, сейчас 610 руб -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2514] Автор : UN7GDZ Дата : 29.01.2018 06:12 Ещё немного осциллографом потыкал в плату))) выход LVDS34 280659 выход 74AC74 280660 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2515] Автор : ra6ljm Дата : 29.01.2018 09:13 AlexJ, У меня память оттуда же. Сейчас проверил- запоминает и время и др. настройки. Насчёт 24С и24CL- у них, по-моему, очень ограниченный ресурс перезаписи, для наших целей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2516] Автор : AlexJ Дата : 29.01.2018 10:02 Сейчас проверил- запоминает и время и др. настройки. Временем заведует контроллер, флешь тут ни при чем, ресурс? сомнительно, полазил по сайтам, вроде как эти м/с имеют какой то упрощенный протокол по сравнению со старыми, большее быстродействие..., но это только автор может ответить почему так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2517] Автор : RW3ACQ Дата : 29.01.2018 12:37 UN7GDZ, спасибо большое за картинки! Если у Вас есть возможность еще что-нибудь отснять осциллографом, буду очень признателен! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2518] Автор : UN7GDZ Дата : 29.01.2018 12:52 Возможность есть. Говорите в каких точках и в каких режимах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2519] Автор : rw9Alex Дата : 29.01.2018 14:40 Всем привет , подскажите а где можно печатку скачать для этого чудо аппарата ? и прошивки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2520] Автор : us5evd Дата : 29.01.2018 14:47 Подскажите, а в режиме АМ глубина модуляции регулируется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2521] Автор : РУСИЧ Дата : 29.01.2018 19:24 Всем привет , подскажите а где можно печатку скачать для этого чудо аппарата ? и прошивки? Да! Евгений я Вам не завидую, прихожу с работы почитать что то новенькое, а тут БАЦ! С небес БАБАХ!!! Терпенья Вам! Только терпенья))):ржач::ржач::ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2522] Автор : rn4haq Дата : 29.01.2018 20:48 280711 us5evd,возможно Вы это имели ввиду. У меня тоже возник вопрос: в том месте где значек VFO A/B у меня отображается мелкая цифра 2 (на фото видно) это нормально? в данный момент запаян контроллер и его обвязка, дисплей, кнопки, памяти пока нет. Замечено что она появляется когда подгружается цифры рабочей частоты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2523] Автор : us5evd Дата : 29.01.2018 20:54 Может не совсем правильно спросил, не могу выставить, вернее выставляю ам мод 100% , а реально больше 70 не выходит. И в режиме тон ТХ тоже до 100 не дотягивает, регулировка работает, от 0 и примерно до 70%. Может так задумано или у меня что не так... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2524] Автор : R3DI Дата : 29.01.2018 21:29 rw9Alex, прошивки в теме и по ссылке на Ядиске. Топологи плоного транисивера в свободном доступе нет, для заказа плат в л/с. Добавлено через 11 минут(ы): AlexJ, про фрамку, она быстрее, не страничная запись, и самое главное, неограниченно циклов перезаписи. Именно то что нужно для алгоритма в Маламуте rn4haq, без память номально, кукушка для отладки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2525] Автор : us5evd Дата : 29.01.2018 21:34 в данный момент запаян контроллер и его обвязка, У меня все запаяно, все корректно показывает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2526] Автор : Genadi Zawidowski Дата : 29.01.2018 22:42 по ссылке на Ядиске более новый архив чем Source_Malamute_SDR_V2.0.zip планируете выложить? Хотел подсмотреть алгоритм ТАКОЙ ШУСТРОЙ панорамы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2527] Автор : R3NC Дата : 31.01.2018 13:19 Андрюха, привет! Еще не начинал делать, а уже практически люблю! Читаю 217 стр.:-P:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2528] Автор : AlexJ Дата : 31.01.2018 22:02 Подскажите, должен ли быть звук на выходе при не запаянной памяти? Пока нет РЕшек и памяти, если цепляюсь щупом к смесителю, вижу водопад, но в динамике тишина, хотя на вх.8406 вижу шумовой сигнал, на 98 ноге контр. (AF_MUTE) постоянно 0, так и должно быть без флешки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2529] Автор : R3DI Дата : 31.01.2018 22:19 AlexJ, в сетап меню измените mute_level, для разных мс унч он разный, для РАМ сделал пометку на схеме. Только вот без памяти придется это делать каждый раз по включению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2530] Автор : AlexJ Дата : 31.01.2018 23:03 в сетап меню измените mute_level, для разных мс унч он разный Я конечно извиняюсь, но в каком пункте меню это находится? Все перерыл, не вижу:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2531] Автор : R3DI Дата : 31.01.2018 23:13 Далеко от трансивера и программ, если не ошибаюсь, то setup-sdr-mute_level. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2532] Автор : AlexJ Дата : 31.01.2018 23:20 R3DI, Спасибо, нашел таки!!!:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2533] Автор : RW3ACQ Дата : 02.02.2018 01:13 Друзья, что-то я подвис на простом моменте: каково взаиморасположение кнопок KEY1-KEY10, конденсаторов 11С23-11С31 относительно выводов процессора на 11J5? Кому несложно, бросьте схемку включения, пожалуйста, хотя бы для одной кнопки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2534] Автор : RX9UAO Дата : 02.02.2018 03:27 RW3ACQ. Конденсаторы 11С23-11С31 параллельно контактом кнопок. на платке с кнопками придусматрите место для кондеров.Кнопки KEY1-KEY10- один контакт кнопки на разьем 11j5,другой на землю.И еще -иногда надо немного отдыхать.А то можно совсем "замаламутится" (по себе знаю).Удачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2535] Автор : UA0BHC Дата : 02.02.2018 05:00 конденсаторы эти на версии 1.31 установлены с обратной стороны платы рядом с разъёмом. Идут параллельно кнопкам одним концом на каждую кнопку, вторым к земле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2536] Автор : UA4FTA Дата : 02.02.2018 07:14 Друзья,подскажите,какая частота на выходе Si? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2537] Автор : denska Дата : 02.02.2018 07:31 в 2 раза больше чем на дисплее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2538] Автор : RX9UAO Дата : 02.02.2018 07:32 Должно быть в два раза выше (Евгений писал), да и сам проверял;например для диапазона 7.100мгц будет 14.200мгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2539] Автор : RW3ACQ Дата : 02.02.2018 18:56 pin 4(gnd) и 3(ENC_K) разъема 11J2 по схеме. https://i.ebayimg.com/images/g/bA0AAOSwSdZWe8Rj/s-l300.jpg Друзья, у кого энкодер EC-11 (фото), подскажите, пожалуйста, какие выводы куда. Где А, B, C - понятно. В разъёме 11J2 A,B,К и земля :-| -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2540] Автор : Jozef55 Дата : 02.02.2018 20:48 http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11_list.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2541] Автор : RW3ACQ Дата : 03.02.2018 09:53 Возвращаясь к своему вопросу про энкодер, судя по всему должно быть вот так: https://raw.githubusercontent.com/petervflocke/rpitvheadend/master/res/rotary-switch.png -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2542] Автор : UN7GDZ Дата : 05.02.2018 10:48 Опять осциллографом ткнул в "Маламута")))) коллектор транзистора 4Q4 мощность 100%, частота 28500 кГц, режим ЧМ, без микрофона. 281311 коллектор транзистора 4Q3(4Q5) мощность 100%, частота 28500 кГц, режим ЧМ, без микрофона. 281312 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2543] Автор : RW3ACQ Дата : 05.02.2018 11:28 UN7GDZ, приветствую и спасибо большое за графики! Подскажите, пожалуйста, такой синус такой нормален в принципе, не "грязноват"? Я просто новичек в этом деле, смотрю публикацию UR4QBP (http://ur4qbp.ucoz.ua/forum/5-231-1) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2544] Автор : UN7GDZ Дата : 05.02.2018 11:48 UN7GDZ, приветствую и спасибо большое за графики! Подскажите, пожалуйста, такой синус такой нормален в принципе, не "грязноват"? Я просто новичек в этом деле, смотрю публикацию UR4QBP (http://ur4qbp.ucoz.ua/forum/5-231-1) Приветствую! Может и грязноват, но я еще не доделал выходной каскад. Как доделаю буду анализатором спектра смотреть, может и придется почистить сигнал. Просто без спектра не понятно надо ли бороться с этим. Ну и я измеряю высокоомным входом так как там постоянка есть, а в таком режиме щупы набирают сток хлама что не факт, что там есть этот шум. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2545] Автор : R3DI Дата : 05.02.2018 11:49 RW3ACQ, смотреть на синус в ЧМ не лучшая идея даже без микрофона, есть и собственные шумы... А еще ”чистота” измерений будет зависеть и от метода и способа этих измерений, особо на таких частотах и с малыми сигналами даже на НЧ. От того, в какое место на плате ”крокодил” земли щупа осциллографа подцепите. Лучшие показания получаются, если в качестве землю щупа использовать отрезок одножильного провода обмотанного вокруг земляной ”юбки” щупа осциллографа, которая находится около центрайльной иглы щупа, и его свободная длина соизмерима с ней, те оч короткий. Канечно такой щуп не очень удобен, но именно такой метод позволяет увидеть меандр на выходе Si на ВЧ, а не синус, ну многое другое... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2546] Автор : UR7HBP Дата : 05.02.2018 13:21 RW3ACQ, От того, в какое место на плате ”крокодил” земли щупа осциллографа подцепите. 281336 https://encrypted-tbn0.gstatic.com/images?q=tbn:ANd9GcT-cNSCIhKzVIwpp_mzYmlXFT_fWJFPii2vXGv8PPh8wJA0hM9OFg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2547] Автор : UN7GDZ Дата : 05.02.2018 13:26 RW3ACQ, смотреть на синус в ЧМ не лучшая идея даже без микрофона, есть и собственные шумы... А еще ”чистота” измерений будет зависеть и от метода и способа этих измерений, особо на таких частотах и с малыми сигналами даже на НЧ. От того, в какое место на плате ”крокодил” земли щупа осциллографа подцепите. Лучшие показания получаются, если в качестве землю щупа использовать отрезок одножильного провода обмотанного вокруг земляной ”юбки” щупа осциллографа, которая находится около центрайльной иглы щупа, и его свободная длина соизмерима с ней, те оч короткий. Канечно такой щуп не очень удобен, но именно такой метод позволяет увидеть меандр на выходе Si на ВЧ, а не синус, ну многое другое... Приветствую Евгений! Да с измерениями таких сигналов сталкиваюсь (часто по работе). И понимаю что эта картинка мало говорит о сигнале. И с землёй на щупе в комплекте к щупу насадочка есть там какраз земля поближе подводится вот фото 281337 и осцил 281338 . И вопрос по спектру сигнала. Подключил анализатор спектра и в режиме ssb на выходе трансформатора 4TR2 вот такой спектр 281339 чтот очень похож на dsb. Причем что нижняя что верхняя боковая, картинка одна. Так и должно быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2548] Автор : R3DI Дата : 05.02.2018 13:42 Причем что нижняя что верхняя боковая, картинка одна. Так и должно быть? Не должно. Но у меня такого прибора нет, могу оценивать или по контрольному приемнику или FFT в осциллографе по НЧ составляющей сигнала. Скорее всего причина как всегда банальна - 1 Плохая деталь 2 Непропай 3 Флюс(реже) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2549] Автор : RX9UAO Дата : 05.02.2018 14:59 R3DI,тоже грешу на плохую деталь(кодек).Скоро подойдут оригинальные.Думаю все исправится.А пока вот так выглядит тональник на web sdr в километре от меня.Хотя не на сдрах(не видят сигнала) принимают нормально в ссб,в фм конечно полная лажа.Поставил 2-ой комплект кодеков.С первым не было приема,передача тоже была корявенькая,но фм работало худо-бедно281344 https://cloud.mail.ru/public/7LCa/iMd1b1QznТак на всякий случай утрешнее qso. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2550] Автор : RK3AQW Дата : 05.02.2018 15:28 UN7GDZ, попадались такие, битые кодеки,обе полосы одновременно воспроизводят,вот сегодня еще пришли ,буду пробовать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2551] Автор : UN7GDZ Дата : 05.02.2018 16:07 UN7GDZ, попадались такие, битые кодеки,обе полосы одновременно воспроизводят,вот сегодня еще пришли ,буду пробовать Может быть и кодек, хотя у меня больше подозрения в сторону FST, ладно завтра проверю переключатель если норм, попробую кодек пересадить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2552] Автор : RK3AQW Дата : 05.02.2018 20:06 UN7GDZ,FST либо работает ,либо нет,а кодеки просто на прием ставил,феном сдувал,и один такой попался:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2553] Автор : R4CDG Дата : 05.02.2018 20:31 Приветствую всех! Не могу победить кнопки тангенты. При нажатии "А" на выв. 1 13U2 0В, на выв. 4 0В, без нажатия на выв. 1 3,1В, на выв. 4 2,1В. Это так и должно быть? Микросхемы с маркировкой AF4H. Нулевку поменял на 240 Ом как в #1642. Кнопки все не работают. На плате замыканий и обрывов нет. Прошу совета у собравших трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2554] Автор : R3DI Дата : 05.02.2018 20:35 R4CDG, а без тангенты напряжения на вх. и вых. операционников равные ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2555] Автор : R4CDG Дата : 05.02.2018 20:38 Такие же, как без нажатия. 13U1 и 13U2 ведут себя подозрительно одинаково. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2556] Автор : R3DI Дата : 05.02.2018 20:58 R4CDG, получается, что для начала Вам с операционниками нужно разобраться, может не тем буквенным индексом, а может и не пропай... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2557] Автор : R4CDG Дата : 05.02.2018 21:13 Значит скорее всего не тот буквенный индекс. Я заказывал на Ebay MCP6001UT-I/Ot MCP6001 SOT23-5 AF4H. Попробую заказать еще. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2558] Автор : UA4FTA Дата : 05.02.2018 22:37 AF4H У меня такие же-всё работает с резистором 220 ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2559] Автор : Алексей с UR4NWW Дата : 06.02.2018 01:50 А есть ли схема маламута в CAD программе не в pdf а то разбираться искать что куда идет по 14 страницам печати согласитесь не очень -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2560] Автор : UA0BHC Дата : 06.02.2018 03:01 Есть pdf-овский файл, в котором названия выделяются и ищутся, выкладывали ранее тут 281399 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2561] Автор : R4CDG Дата : 06.02.2018 05:25 UA4FTA,а напряжение на выходе операционников 2В или 3В ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2562] Автор : UA4FTA Дата : 06.02.2018 12:01 При не нажатой кнопки А напряжение на 1 и 4 ножке у меня 3.2 в-при нажатой везде 0 в.Не пойму,как работает кнопка 6 (SLPE)-кто подскажет? При нажатии вижу,что меняются %- с 31 до 33-это так должно быть,а то думаю одна кнопка не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2563] Автор : R3DI Дата : 06.02.2018 12:13 UA4FTA, наклон АЧХ ФОС к области ВЧ на заданное через меню количество децибелок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2564] Автор : RW6HCH Дата : 06.02.2018 12:18 наклон АЧХ ФОС к области ВЧ на заданное через меню количество децибелок. влияет только на прием или на передачу тоже? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2565] Автор : R3DI Дата : 06.02.2018 13:33 SLPE - только на прием. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2566] Автор : UA9olb Дима Дата : 07.02.2018 10:17 То:R3DI Евгений подскажите что может быть на всех диапазонах формирователь Clk_i и Clk_q нормально работает кроме 24 мгц здесь нет Clk_i, Clk_q нормальный как на других диапазонах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2567] Автор : R3DI Дата : 07.02.2018 11:05 UA9olb Дима, смотоите цепи от lvds до триггеров, видимо у Вас все скрыто там... С тикми не сталкивался, проверьте выходы lvds, замените триггер -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2568] Автор : UA9olb Дима Дата : 07.02.2018 11:19 замените триггер Самое непонятное это почему 24 мгц выпадает) ведь остальные диапазоны работают( и 28 всё хорошо. Евгений вопрос про обнуление фрам ,когда делаешь эту процедуру это как то отображается на экране или нет)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2569] Автор : R3DI Дата : 07.02.2018 11:23 На дисплее будет предложенно ввести подтверждение или отмена действия, затем информация о перезаписанных структурах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2570] Автор : UN7GDZ Дата : 07.02.2018 11:41 Приветствую всех! Разобрался с полосами))) эт я накосячил с полосами обзора))) ВЫХОД 6TR2 Вот спектр: частота 7100 кГц, LSB, TONE. 281495 частота 7100 кГц, USB, TONE. 281496 Картинка просто супер, что боковая, что несущая подавлены, дальше некуда!!! По маркерам около 60 дб на уровне чувствительности прибора. (анализатор поверен) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2571] Автор : Алексей с UR4NWW Дата : 07.02.2018 18:41 Есть pdf-овский файл, в котором названия выделяются и ищутся, А как в нем искать, я даже выделить не могу. Подскажите как это делается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2572] Автор : RW3ACQ Дата : 07.02.2018 18:49 Распаял процессор, память, кварцы Проходит заставка и появляется такая картина... 281543 Где у меня косяк? ra6ljm, да нет косяков! Нажмите SET (Меню) 3 раза! Подскажите, пожалуйста, какая это кнопка на панели кнопок? 281541 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2573] Автор : ialexs Дата : 07.02.2018 19:00 Не опознается память , три раза на первую кнопку M.VODE -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2574] Автор : R3DI Дата : 07.02.2018 19:00 RW3ACQ, KEY1 , да и прошейте версией посвежее ( уж больно древняя у Вас), с Ядиска в папке 1,3 версия 4,9 лежит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2575] Автор : R7KGA Дата : 07.02.2018 20:22 Алексей с UR4NWW, http://dropmefiles.com/wiiXY Здесь файл в котором ищется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2576] Автор : Алексей с UR4NWW Дата : 08.02.2018 02:34 Это конечно не электронный вид в САD но хоть что то -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2577] Автор : UA0BHC Дата : 08.02.2018 03:20 А как в нем искать, я даже выделить не могу. Подскажите как это делается. Поиск по F3, или просто вводите в окно поиска, в зависимости от вашей программы. Чтобы выделить, надо нажать кнопку на панели "выделение текста, объектов". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2578] Автор : ra6ljm Дата : 08.02.2018 08:32 ialexs, Если такая заставка, то память не работает? Сброса при on+С,D тоже не наблюдаю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2579] Автор : UA0BHC Дата : 08.02.2018 10:13 у меня сначала тоже сброс не наблюдался. Причина была в импульсном блоке питания, на котором нажимал кнопку подачи напряжения, из-за этого почему-то не происходил сброс процессора. Вручную проц сбрасывался, но нам-то надо при включении держать кнопки. Потом к запущенному импульснику начал его подключать, и при нажатии-удержании кнопок C+D, стал он выходить на это меню, где надо было ответить yes или no на операцию сброса. Но правда у меня заставка была не такая при первом перезапуске. Он показывал s-метр, цифры частоты, и в нижней части экрана ругался уже на FRAM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2580] Автор : RA1CAC Дата : 08.02.2018 10:30 ...Причина была в импульсном блоке питания, на котором нажимал кнопку подачи напряжения, из-за этого почему-то не происходил сброс процессора...В моей практике такое бывало. Причина - медленное нарастание напряжения БП при включении. При этом скорость заряда конденсатора 11С3 совпадает или почти совпадает со скоростью установки напряжения питания - как следствие, слишком малая или нулевая длительность сформированного сигнала NRST. Как лечить? - можно попробовать увеличить емкость 11С3 до 0.5 - 1.0мкф. Но здесь возникает вопрос - как будет отрабатывать программатор ST-LINK сигнал NRST при увеличенной емкости? Короче говоря, надо попробовать. В выходные допаяю процессорный модуль - проведу эксперимент - о результатах отпишусь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2581] Автор : us5evd Дата : 08.02.2018 11:05 To R3DI, Евгений, замечательный аппарат! Вопрос, а декодирование телеграфа и телетайпа не работает, или я что то не знаю. Версия 4.8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2582] Автор : EW2MS Mikhail Дата : 08.02.2018 11:21 Вот и у меня признаки жизни на передачу)) Работы ещё с ним хватает. https://youtu.be/v2TzSfdOf1k -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2583] Автор : RK3AQW Дата : 08.02.2018 11:29 us5evd, читайте тему,тут все про это написано -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2584] Автор : us5evd Дата : 08.02.2018 12:34 А про CW_Decode - метод не адаптивный (по скорости), только при совпадении скорости работает. Ок, спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2585] Автор : RW3ACQ Дата : 08.02.2018 15:19 Вот и у меня признаки жизни на передачу)) Работы ещё с ним хватает. https://youtu.be/v2TzSfdOf1k Михаил, спасибо за видео! Выглядит вполне законченной конструкцией. Интересно, что еще доводите до готовности в аппарате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2586] Автор : EW2MS Mikhail Дата : 08.02.2018 15:37 Внешне всё законченно. А вот внутри ещё надо поработать. Не все индуктивности в ДПФ поменял на проволочные. УМ на ВЧ диапазонах возбуждается. ПЕ-шки поставил от SW-радио, работают отлично. Затухания в обходе получилось 3.96 dB, это с кабелями вместе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2587] Автор : Love777888 Дата : 08.02.2018 18:12 Приветствую! Прислали мне ПЕ, рабочие, все нормально, но прием "сел" заметно с ДПФ, включаю байпасс все работает как и раньше. Поставил era-3sm, прием появился-нормальный, это что у меня такие дерьмовые индуктивности? Померять точно индуктивность нечем, но по замерам точно не резаны с одной ленты. Антенна сибишная 5/8, но и на сиби тоже стало туго с приемом. В общем везде просел приемник.Подскажите где копать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2588] Автор : EW2MS Mikhail Дата : 08.02.2018 18:24 Олег, приветствую! АЧХ фильтров не смотрели? Там картинка ужасная и затухания очень большое. Надо ставить проволочные индуктивности, тогда будет получше, но не совсем красиво. Если раньше слушали прямо с трансформатора. То сейчас у Вас приём как через АТТ на 8 dB а то и больше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2589] Автор : UA4FTA Дата : 08.02.2018 18:27 АЧХ фильтров не смотрели? А можно картинку на любом бэнде,чем мерили и как подключались? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2590] Автор : Love777888 Дата : 08.02.2018 18:33 Парни приветствую! АЧХ не смотрел, т.к нечем, буду покупать хотя бы nwt-7, Михаил верно подмечено прием стал как через аттенюатор, правда чище, фильтры работают, но и давят то чего не должны. Где брали индуктивности? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2591] Автор : EW2MS Mikhail Дата : 08.02.2018 18:38 Вот два снимка OSA 103mini. Выпаивал реле приём/передача и отпаивал вывод от трансформатора. Один снимок режим байпаса, проходит через все 10 ПЕ-шек, и второй диапазон 10М на нём все индуктивности проволочные. КСВ получилось 1,1-1.2 Я вот смотрю многие картинки АЧХ , вылаживали сюда, а некто не показал какое КСВ получаеться, ДПФ и ФНЧ. Индуктивности часть купил на чип и дип. Остальные надо ещё искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2592] Автор : UA4FTA Дата : 08.02.2018 18:43 Выпаивал реле приём/передача и отпаивал вывод от трансформатора Немного не понял-а что,ДПФ просто подключив прибор к входу/выходу,вынув перемычки,нельзя прогнать каждый диапазон,а вы про ФНЧ выше писали-или я ошибаюсь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2593] Автор : EW2MS Mikhail Дата : 08.02.2018 18:48 А Вы форум читайте, и не будете лишних вопросов задавать. У меня версия платы 1,2 а если знаете как подключать, то и спрашивать не надо. Если есть вопросы, то лучше всегда в личку спрашивать, а не на форуме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2594] Автор : R7KGA Дата : 08.02.2018 18:52 ДПФ просто подключив прибор к входу/выходу,вынув перемычки,нельзя прогнать каждый диапазон, Вполне можно, я так и делал. Правда только на версии 1.3 Причем отдельно можно LPF и BPF настраивать. Когда выкладываете картинки с АЧХ неплохо бы точно описать как происходит снятие. Потому как картинки совсем разные будут на разных методах снятия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2595] Автор : UA4FTA Дата : 08.02.2018 18:55 Если есть вопросы, то лучше всегда в личку спрашивать, а не на форуме. Вот поэтому и спрашиваю на форуме,что если все в личку,то другие опять будут спрашивать,очень мало инфо,поэтому каждую мелочь приходится вытягивать,а если почитать форум о СИ,то там и расписано раз в 10 больше,а всё равно спрашивают,и самое главное-получают ответы.Извините,если напряг. R7KGA Да,в 1.2 версии подпаиваться нужно попробовать-имею оба варианта плат,попробую,когда подойду к этому этапу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2596] Автор : EW2MS Mikhail Дата : 08.02.2018 19:01 Да не однократно тут это обсуждалось, и видео снимали с рассказами как всё делали. У меня стандартная методика измерения как везде. Нечего нового не придумывал. ДПФ и ФНЧ смотрел и настраивал раздельно поэтому и выпаивал реле. Графики всех фильтров не сохранял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2597] Автор : R7KGA Дата : 08.02.2018 19:12 EW2MS Mikhail, Как снимать вполне понятно. К вам претензий никаких. Вы то как раз все описали конкретно. Просто сейчас разные версии плат. Подключать измеритель АЧХ тоже можно в нескольких вариантах. Как пример подать на антенный разъем, а снимать на выходе BPF. Или подать на вход BPF, а снимать на выходе его же. Насчет проволочных индуктивностей, полностью согласен. Потому как на SMD индуктивностях получается чуть лучше чем ужас-ужас... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2598] Автор : UA0BHC Дата : 08.02.2018 19:55 Впаиваю УНЧ (на PAM8406 у меня). По входным цепям всё понятно. 1. Если не ставлю 12U1 и 12U2, то из обвязки остаётся только 12C5, 12R4, 12C4? 2. Если поставить 12U1 или 12U2, и 12U3, то можно будет в настройках выбрать УНЧ, они не будут друг на друга негативно влиять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2599] Автор : R3DI Дата : 08.02.2018 20:27 UA0BHC, для пам 8406 - С5, R4 - можно не устанавливать, С4- уст. обязательно, R1 - емкость 1 мкФ, и не забудьте изменить уровень mute в меню... все согласно схеме. 2. Так делать не нужно и выбора нет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2600] Автор : ua9xos Дата : 10.02.2018 20:18 Так же смотрите диоды ВАТ54С. Мне прислали c буквой S.https://www.diodes.com/assets/Datasheets/ds11005.pdf Сидел перелистывал форум, набрел на это. Думаю дай гляну запаянный диод. Посмотрел маркировку KL4 - BAT54S блинн, а надо KL3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2601] Автор : RD3Q Дата : 10.02.2018 20:30 Я вот смотрю многие картинки АЧХ , вылаживали сюда, а некто не показал какое КСВ получаеться, ДПФ и ФНЧ. Михаил какие графики КСВ, Вы какое КСВ хотите там увидеть? Вам что известно сопротивление полосовых фильтров или ФНЧ? Да выход ФНЧ 50 Ом, а все остальное? Какое входное сопротивление вашего прибора? По этому выкладывают АЧХ фильтров, а не графики КСВ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2602] Автор : AlexJ Дата : 10.02.2018 20:34 ua9xos, На верняка есть старые материнки и проч.комповый хлам, посмотрите, они там попадаются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2603] Автор : ua9xos Дата : 10.02.2018 20:45 ua9xos, На верняка есть старые материнки и проч.комповый хлам, посмотрите, они там попадаются. Да есть такое. Блин надо смотреть за продавцами. Диоды в Чип и Дип брал также и MCP6001UT которые оказались потом MCP6001T -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2604] Автор : RW3ACQ Дата : 10.02.2018 21:46 Да есть такое. Блин надо смотреть за продавцами. Диоды в Чип и Дип брал также и MCP6001UT которые оказались потом MCP6001T ua9xos,приветствую! А маркировку не подскажете на ваших MCP6001UT , которые липовые из Чид. Брал там, хочу проверить. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2605] Автор : ua9xos Дата : 10.02.2018 23:13 ua9xos,приветствую! А маркировку не подскажете на ваших MCP6001UT , которые липовые из Чид. Брал там, хочу проверить. Спасибо! Липовые AAEH и AA0G. А нужны с первыми буквами AF http://www.cqham.ru/forum/attachment.php?attachmentid=280749&d=1517309478&thumb=1 (http://www.cqham.ru/forum/attachment.php?attachmentid=280749&d=1517309478) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2606] Автор : RW3ACQ Дата : 10.02.2018 23:18 ua9xos, спасибо! У меня AF5J из ЧиД (не обманули, стало быть). Кстати, откуда черпаете инфу по правильным кодам? Прошу прощения у модератора, что не в теме слегка, но "раз уж пошла такая пьянка".. UPD: Нашёл Вашу картинку на стр.19 из 42 даташита по MCP. Но всё же напишите, где и как ищете. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2607] Автор : UA4FTA Дата : 10.02.2018 23:26 http://ecworld.ru/support/sdd/smdcod.htm -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2608] Автор : ua9xos Дата : 10.02.2018 23:38 ua9xos, спасибо! У меня AF5J из ЧиД (не обманули, стало быть). Кстати, откуда черпаете инфу по правильным кодам? Прошу прощения у модератора, что не в теме слегка, но "раз уж пошла такая пьянка".. UPD: Нашёл Вашу картинку на стр.19 из 42 даташита по MCP. Но всё же напишите, где и как ищете. Спасибо! Из даташитов, а насчет MCP6001UT уже было здесь (http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0/page61) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2609] Автор : ua9ylu Дата : 12.02.2018 01:42 Тоже уперся в "зеркало", поднял танталы всех входов и выходов операционников, по очереди подключая один из выходов касаюсь пинцетом входов получил такую картину, усилители находящиеся в одном корпусе , касаясь любого входа сигнал появляется на обоих выходах и такое на обоих микросхемах, такого понимаю быть не должно? Поднял ноги входов, все равно сигнал проходит с обоих выходов. Может что то не так понимаю? Добавлено через 25 минут(ы): Немного подумав, видимо не корректное "измерение" , слушать через кодак . Там скорее всего эти сигналы складываются на каком то своем диф усилителе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2610] Автор : RA1CAC Дата : 12.02.2018 07:29 to R3DI, Евгений, а что означает маленькая красная двоечка, появляющаяся в левом верхнем углу экрана, поверх буквы А (черная на белом)? Память пока не установлена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2611] Автор : R3DI Дата : 12.02.2018 09:39 RA1CAC, #2524. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2612] Автор : us5evd Дата : 12.02.2018 10:28 R3DI, Чем больше слушаю, тем больше нравится этот трансивер! На мой взгляд, было бы удобнее расположить функции кнопок в меню так: Мод-, Мод+, Ф-,Ф+.Что скажете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2613] Автор : RA1CAC Дата : 12.02.2018 10:35 ...#2524.Спасибо, Евгений, и прошу пардона на тупой вопрос - за темой слежу, но тут почему-то прохлопал... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2614] Автор : Сармат Дата : 12.02.2018 12:11 Приветствую всех. Никто не пробовал прикрутить к Маламуту автоматический антенный тюнер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2615] Автор : RX9UAO Дата : 13.02.2018 04:11 Сармат,пробовал,правда самодельный,все нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2616] Автор : RA1CAC Дата : 13.02.2018 08:31 ...прикрутить к Маламуту автоматический антенный тюнер?У меня вот такой (http://www.ldgelectronics.com/assets/manuals/Z-100PlusManual.pdf) имеется. Прикручивается к чему угодно без всяких проблем. Управление одной кнопкой. Или Вы имеете в виду, управление от трансивера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2617] Автор : RX9UAO Дата : 13.02.2018 11:21 RA1CAC, http://yl2gl.ucoz.net/news/?page2 (это так для справки,пока тихо....) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2618] Автор : RK3AQW Дата : 13.02.2018 13:49 RX9UAO, я такой уже сделал для 857го,теперь уменьшил габариты плат релейного отсека под рэс 49,для маламута, соберу маламут до конца(комплектующие жду) и попробую в корпус затолкать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2619] Автор : SVd2004 Дата : 13.02.2018 14:46 это так для справки,пока тихо....) Антивирус Касперского говорит ссылка вредоносная... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2620] Автор : RA1CAC Дата : 13.02.2018 16:02 ...это так для справки,пока тихо...Антивирус Касперского говорит ссылка вредоносная...Это типа "ща начнется!" ?:ржач: ..я такой уже сделал ...под рэс 49,для маламута, соберу маламут до конца(комплектующие жду) и попробую в корпус затолкатьВнутрь Маламута? Или в отдельный корпус? А управление как? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2621] Автор : DeXter Holland Дата : 13.02.2018 17:13 можно наверное взять часть кода Genadi Zawidowski (http://www.cqham.ru/forum/member.php?1595-Genadi-Zawidowski) , который в трансивер SW (https://www.youtube.com/watch?v=OnslW9jD39g) 20xx добавил тюнер, тогда б трансивер R3DI стал универсальным карманным с большими возможностями (при этом, сейчас места в контроллере- хоть конем ходи...) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2622] Автор : R3DI Дата : 13.02.2018 17:32 можно наверное взять часть кода Genadi Zawidowski Не знаю что там у Геннадия, но у меня была такая идея - в Маламуте и I2C выведен - установить на платке 8 индуктивностей и 8 емкостей (-1(L или С) на переключение емкостей в Г-тюнере горячий/холодный) и 2 копеечные мс типа PCF8574 ( c реле конечна), SWR метр ужо есть - далее дело за кодом - жмак кнопу TUNE - по и пошел сам "тарахтеть".... но дома антенна ксв1, а в походе , в худшем случае питать 16 реле от батарейки - нужны "латч" реле, управление чуть другое, и дороги они, - а потому нет острого желания, и как обычно времени - сейчас занят другими проектами, и для Маламуту тоже... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2623] Автор : UA9olb Дима Дата : 13.02.2018 17:41 и для Маламуту тоже... Интересно а что для Маломута новенькое будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2624] Автор : RuleZZZZ Дата : 13.02.2018 17:42 и дороги они Да вроде и не особо дорогие: https://ru.aliexpress.com/item/electronics-HFD2-012-M-L2-555-DS2-Integrated-circuit/32587904339.html Или китайские реле, как и китайские ПЕшки, лотерея? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2625] Автор : R3DI Дата : 13.02.2018 18:04 Интересно а что для Маломута новенькое будет? Не хочу пока ничего загадывать... доработки по мелочи ... проробатываю доп. выйдут вообще за "копейки" ну не то что бы прям совсем копейки ))), таких 3 лота нужно, хотя по сравнению с готовыми (пром)решениями наверное да, и вот - такой же тюнер получатся совсем QRP? есть ли в нем такая необходимость? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2626] Автор : RA1CAC Дата : 13.02.2018 18:24 ... тюнер получатся совсем QRP? есть ли в нем такая необходимость?ИМХО - нет такой необходимости. Разве что в полях, антенну прямо в антенное гнездо Маламута присовывать... Как вы это себе представляете? Наверняка кусок кабеля от трансивера до антенны есть у каждого, кто в полях работает. А с кабелем и так все согласовано - 50 ом. Ну а что на другом конце кабеля, возле антенны делается - ну уж наверняка Маламуту до этого никакого дела не должно быть - пусть хозяин отдувается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2627] Автор : RK3AQW Дата : 13.02.2018 19:02 RA1CAC,управление по нажатию тангенты в тональнике,пока так думаю,конечно хотелось бы сделать автоматическое снижение мощности до 10 ватт,ну и в корпус затолкать,если не влезит так небольшой ящик)прилеплю на магнитах неодимовых,съемный тюнер пока так выглядит,надо плату с релюхами переделать,уменьшить,реле и катушки на бок положить,габариты по высоте уменьшить и еще блок удвоителя напряжения впихнуть,у меня корпус под 2,8 сделан и плюс я его на 3 см шире сделал ,заказал 3000 вольтовые СМД конденсаторы реле вот такие можно ставить давно лежат https://ru.aliexpress.com/item/relay-G6S-G6S-2-2-12-v-DC12V-G6S-2-12-VDC-12-v-8/32420211302.html?spm=a2g0s.9042311.0.0.A8J9zy ватт 50-60 выдержат по 2м контактам или такие как в ФНЧ,но они греметь будут при переключении,хотя мощность поболее вытянут -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2628] Автор : R3DI Дата : 13.02.2018 19:11 RK3AQW Дмитрий, заметил КСВ метр, такой он... мне кажется что про такой же думаю, можно поподробнее ? ( Исполнение, настройка, показания, дост/недостатки ) Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2629] Автор : UA9olb Дима Дата : 13.02.2018 19:17 Друзья подскажите как подкорректировать частоту ,где то видел описание не могу найти( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2630] Автор : RK3AQW Дата : 13.02.2018 19:29 R3DI, Жень добрый вечер, это обычный Tandem mach ксв вот тут о нем немного http://yl2gl.ucoz.net/news/sobiraem_avtomaticheskij_antennyj_tjuner/2013-01-24-121,по 10-15 витков в каждой обмотке,подбирается по линейности,работает до 50-60 МГц без всякой настройки,везде его ставлю,ксв макс 1,1 на низах выходит и плюсом защита от статики http://yl2gl.ucoz.net/news/ehksperimenty_s_tandem_mach_dlja_izmeritelja_ksv/2011-07-24-86 и еще,если питать от 24 в оконечник,оптимальное количество витков вых тр-ра получается 2 первичка ,3 на выход,для выравнивания амплитуды вых.транзисторов ставим трансформатор на колечке 400-600 ,8-10 витков,начало с концом соединяем,в середину питание и мощи поболее и гармошки уменьшаем,на ВЧ можно конденсатор поставить для выравнивания АЧХ 100-200 пик,на фото видно,если питать от 12 вольт,то обмотки 1-3 и патание также через транформатор в середину,мне так больше нравится,чем бинокль на трубках,на выходе все чистенько получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2631] Автор : RA1CAC Дата : 13.02.2018 19:36 ...обычный Tandem match ксв ... работает до 50-60 МГц без всякой настройки,везде его ставлю...Кстати да. Весьма достойный SWR датчик (http://www.cqham.ru/forum/showthread.php?32404-%CD%E0%EF%F0%E0%E2%EB%E5%ED%ED%FB%E9-%EE%F2%E2%E5%F2%E2%E8%F2%E5%EB%FC-Tandem-Match). Я как его попробовал, с тех пор во все свои конструкции только его и ставлю. Кстати, в Маламут тоже думаю поставить, когда до УМ дело дойдет. Евгений, весьма рекомендую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2632] Автор : RK3AQW Дата : 13.02.2018 19:56 UA9olb Дима, в меню где кварц выбираете для сишки,там есть функционал в кГц и Гц,разберетесь,ставите эталонную частоту на генераторе или на 9999.00 USB настраивайте (эталонная частота)и крутите RA1CAC, самое главное линеен и настраивать не надо:smile: если напряжения не хватает то либо удвоитель по 1-му диоду в плече,либо операционник -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2633] Автор : R3DI Дата : 13.02.2018 20:09 UA9olb Дима, разве что добавлю, удбно так - в меню сдр для АМ уст SAM PLL, в меню дсплей - дисп доп , вроде(сейчас я в дороге), уст отображение SAM(тм где выбор агц дсп и тд), настроится на АМ вещалку по нулям частоты, и уже в меню ддс корректировать частоту опорника к показаниям ноль растройки фапч SAM на экране. Если мудрено обьяснил, то видео ток завтра смогу сделать уже... РS оставляю ошибку 5..10 Гц, а то DC схема не пускает, ПЧ то 0 ;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2634] Автор : EW2MS Mikhail Дата : 13.02.2018 20:20 Добрый вечер! Скажите что у меня не правильно настроено? Работаю в цифре с настройками напрямую в WSJT-X. Частота в трансивере перестраивается от выбора промежутка на водападе в режиме передачи. На скрине подписал, какая частота получается в кГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2635] Автор : amator Дата : 13.02.2018 23:40 РS оставляю ошибку 5..10 Гц, а то DC схема не пускает, ПЧ то 0Отстроится на 1 кгц от несущей эталона, и выставить ровно 1 кгц с любой точностью. Я так настраиваю SW на 4.997 в начале каждого часа, и в половине часа излучается чистая несущая на частоте 4.996 мгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2636] Автор : R3DI Дата : 14.02.2018 00:19 amator, а нее :smile: , и тот метод позволяет точно в 0 настроится, ошибку оставляю для того чтобы слушать АМ станции, особо когда ручкой SEL вести настройку, она же точно в 0и ставит, к примеру 7.255.000, и если при этом точность то герца, то станция может притихнуть, можно и валкодер тронуть, для себя для АМ выставил шаг 100 и уйти на 7.255.100, sam pll захватит как нужно и будет все хорошо но это речь о ручки sel - потому и добавил то что оставляю несколько герц ошибку, но это кому как нравиться... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2637] Автор : RD3Q Дата : 14.02.2018 08:59 EW2MS Mikhail, какая боковая в трансивере, USB или LSB выставлена? На всех диапазонах должна быть USB или с журналом баг какой то! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2638] Автор : EW2MS Mikhail Дата : 14.02.2018 10:20 Боковая автоматом ставиться всегда USB. Лог тут не причём, он не подключён к Маламуту. WSJT-X настроен напрямую к трансиверу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2639] Автор : RD3Q Дата : 14.02.2018 10:31 У меня и лог и JTDX сохраняет правильно! Уровень приема уменьшите - перегрузка входа заметна! А с частотой все нормально, 7073.5 - 2096 - 7075.3 что не так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2640] Автор : EW2MS Mikhail Дата : 14.02.2018 10:41 Тут дело не в сохранении. В обед сниму на видео. Будет понятнее, в чём проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2641] Автор : EW2MS Mikhail Дата : 14.02.2018 12:40 Вот теперь может понятнее будет, что у меня не корретно работает. https://youtu.be/lGyRtP8DaTc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2642] Автор : RD3Q Дата : 14.02.2018 12:45 Видео не доступно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2643] Автор : EW2MS Mikhail Дата : 14.02.2018 12:47 Сейчас смотрите -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2644] Автор : RD3Q Дата : 14.02.2018 13:11 EW2MS Mikhail, Михаил мощность какая на выходе? Попробуйте 20% выставить, на других диапазонах то же самое происходит? Подозрение на ВЧ наводки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2645] Автор : EW2MS Mikhail Дата : 14.02.2018 13:25 На всех диапазонах. И это не от ВЧ наводок. Только в настройках причина. Или же в самой программе WSJT с работай протокола FT817. FLDIGI работает без проблем. И от ВЧ наводок не было бы такой зависимости от включения на передачу по частоте. Игорь Вы можете изменить настройки, подключить свою программу на прямую к трансиверу без логов омниригов и т.д.? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2646] Автор : RD3Q Дата : 14.02.2018 13:42 Сейчас не могу, на работе! Но на сколько помню работал и напрямую и через OmniRig в UR5EQF - такого не было! Попробуйте установить WSJT-X 19. Вот https://cloud.mail.ru/public/3neB/dDzdrcGea . Может в настройках проблема. Можно тут почитать http://forum.qrz.ru/6-cifrovye-vidy-radiosvyazi/44060-proekt-wsjt-x-mody-jt65-jt9-wspr-jt4-jtmsk-rabota-s-testovym-softom.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2647] Автор : R3DI Дата : 14.02.2018 13:42 EW2MS Mikhail, запустил WSJT(v1.8.0), выбрал 80m - 3,573,000 - частота на трансивере не меняется чтобы я не выбирал на RX и TX - только аудио в пределах 1450 Гц - видимо что то в настройках. Но более подсказать не могу, с программой не знаком, потому как душа к цифре не лежит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2648] Автор : EW2MS Mikhail Дата : 14.02.2018 13:59 Всем спасибо за помощь. Сделал ресет настроек, потом по новому всё настроил. И проблема пропала, видимо где то галочка ненужная стояла))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2649] Автор : RK3AQW Дата : 14.02.2018 21:10 снял сквозную АЧХ на прямую с антенного входа без ДПФ в режиме BYPASS,не пойму никак где косяк,режет после 25 МГц, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2650] Автор : R7KGA Дата : 14.02.2018 21:21 RK3AQW, У меня так ФНЧ подрезал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2651] Автор : R3DI Дата : 14.02.2018 21:21 RK3AQW, и без ФНЧ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2652] Автор : RK3AQW Дата : 14.02.2018 21:34 R3DI, Вот голова садовая,точно:ржач: спс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2653] Автор : UA9olb Дима Дата : 15.02.2018 11:02 Приветствую всех! Подскажите какая чуствительность получается у аппарата? Хотя бы слышу не слышу с УВЧ и без. У меня пока получается что сигнал с генератора 0.5 мкв не вижу и не слышу( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2654] Автор : RD3Q Дата : 15.02.2018 11:32 UA9olb Дима, Попробуйте подать на вход смесителя на прямую, может с фильтрами проблема! Желательно по всем диапазонам посмотреть! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2655] Автор : UA9olb Дима Дата : 15.02.2018 11:43 Желательно по всем диапазонам посмотреть! Да конечно по всем смотрю примерно одинаково с байспасом начинаю слышать 0.5 мкв .вобщем пока плоховато) А как у Вас Игорь интересно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2656] Автор : RD3Q Дата : 15.02.2018 11:56 Нет у меня такого генератора, калибратор СДР - XG1 слышно и видно, там всего 3 диапазона 80-40-20 и выход 50мкВ и 1мкВ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2657] Автор : UA9olb Дима Дата : 15.02.2018 21:09 Подскажите что может быть получается зекалка на экране через 48 кгц https://cloud.mail.ru/public/ry7g/yJ8TPd534 Уже все операционники перепроверил все до кодека доходит ровненько с одинаковыми уровнями( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2658] Автор : R3DI Дата : 15.02.2018 21:32 UA9olb Дима, это не зеркала, то что пропадая в одну сторону основной сигнал начинает вот так отображаться на другом краю и обратным направлением, не знаю, краевой эффект его что ли называют, зеркалка это если бы палки эти относительно центра схолились разходились. Включите оконную функцию для отображения спектра, подчистит утечку. Это без антенны такое ловит ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2659] Автор : UA9olb Дима Дата : 15.02.2018 21:46 Вот такие сигналы на кодек приходят I и Q Это без антенны такое ловит ? Это я генератор кручу) Сразу не написал( Точнее приёмник кручу относительно сигнала с генератора. То есть это нормальное явление? Добавлено через 8 минут(ы): Включите оконную функцию Что то не могу найти в каком пункте меню(? не подскажите -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2660] Автор : R3DI Дата : 15.02.2018 21:59 UA9olb Дима, Display- Spect Filtr - yes -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2661] Автор : UA9olb Дима Дата : 15.02.2018 23:05 Display- Spect Filtr - yes Да спасибо .Спектр почистился но этот эффект остался с противоположного конца палка ещё тянется 1.5 клетки потом исчезает( Помню в монке программеры тоже боролись с этим и сделали как бы наоборот по бокам не палка появлялась а как бы закругление. Евгений вопрос какой уровень чуствует трансивер у Вас с Увч и без? у меня визуально и на слух примерно с 1 мкв. Добавлено через 54 минут(ы): А так с эфира получается вещалка справа и видна и справа и слева. https://cloud.mail.ru/public/KJju/S9avtC9Aj -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2662] Автор : R3DI Дата : 16.02.2018 00:27 Помню в монке программеры тоже боролись с этим и сделали как бы наоборот оно не мешает и не вникал в подробности, возможно нужно "придушить" АЧХ на операционниках, они ж и 96к пропускают, Георгий как то тоже о подобном писал и вроде как он просто спектр по краям обрезал и не выводил на дисплей. какой уровень чуствует трансивер у Вас с Увч и без? UA9olb Дима Дмитрий, замерить то и не чем, есть генераторы самодельные, не юстированные, сделать делитель до микровольта, можно ли так? Вот записал видео работы АМ https://www.youtube.com/watch?v=dz-oZE4_-w8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2663] Автор : chet Дата : 16.02.2018 02:54 Добрый день всем.После прошивки нету 8 Мгц,период секунды на часах 6-7 секунд,грузит картинку 7-8 минут ,вывод валкодера индикация через 3-4 секунды ,по разному,у кого были подобные проблемы,поделитесь опытом .RN0QA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2664] Автор : UA9olb Дима Дата : 16.02.2018 06:28 нету 8 Мгц, У меня из 5 кварцев выдернутых из различной аппаратуры заработал только 1(. Кто то писал что и часовые кварцы тоже не все работают проверяйте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2665] Автор : RA1CAC Дата : 16.02.2018 07:39 ...нету 8 Мгц,период секунды на часах 6-7 секунд,грузит картинку 7-8 минут ,вывод валкодера индикация через 3-4 секунды ,по разному,у кого были подобные проблемы,поделитесь опытом .RN0QAЕсли нет 8 МГЦ, могу предположить только, что проц аварийно стартует от внутреннего источника с предделителем - ИМХО. Уверены, что проц некетайский? Тогда ищите с лупой залипуху. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2666] Автор : chet Дата : 16.02.2018 08:25 RA1CAC, Спасибо ,все нашёл свой косяк,все есть,Не могу в меню выставить 27 мгц для5351,она прописывается но на выходе при 14000 на дисплее 30200,а должно 28000. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2667] Автор : Sergey_Lapin Дата : 16.02.2018 08:31 А сброс делали?. Вернее отчистите Fram там я уже сейчас не помню. Сочетание клавиш на тангенте. Может просто очистку теперь сделать и все пойдет как надо?. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2668] Автор : RX9UAO Дата : 16.02.2018 11:43 chet, если у вас на вых.Si-шки 14000кгц,то на дисплее должно 7.000мгц и никак ни 28000 и тем более 30200 или еще что то там. Внимательно читаем форум и много вопросов отпадут сами собой.Удачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2669] Автор : chet Дата : 16.02.2018 11:52 RX9UAO, на дисплее 14000 на выходе должно 28000 ,а там другая частота .кварц на си 27мгц не прописывается в меню,так 25мгц и стоит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2670] Автор : Sergey_Lapin Дата : 16.02.2018 11:57 Ну если не прописывается в меню....Вы имеете введу не сохраняет прописанные значения или вообще не прописывается? Скорее всего что то с fram памятью...ну это лишь мои подозрения. Хотя у меня без памяти вроде прописывалось. Когда работу Si5351 у себя проверял. Просто без памяти все настройки при передергивании питания возвращались в исходные. Тогда логически рассудить то где то , почему то идет сброс настроек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2671] Автор : Love777888 Дата : 17.02.2018 14:54 Евгений приветствую! Скажите пожалуйста, что еще должно быть включено в ресивере для комфортного приема, скажем про spectr filtr, даже вообще не слухом не духом, тут прочитал включил и картинка радует глаз. Может еще чего должно быть включено в меню. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2672] Автор : Genadi Zawidowski Дата : 17.02.2018 15:20 Евгений приветствую! Скажите пожалуйста, что еще должно быть включено в ресивере для комфортного приема, скажем про spectr filtr, даже вообще не слухом не духом, тут прочитал включил и картинка радует глаз. Может еще чего должно быть включено в меню. Спасибо! Глаз или ухо? Или на фос тоже влияет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2673] Автор : R3DI Дата : 17.02.2018 15:53 Глаз или ухо? Или на фос тоже влияет? Геннадий, то что включили только на глаз, но есть отдельно оконная и на ФОС, так же есть раздельный выбор этих оконных функций. Добавлено через 13 минут(ы): что еще должно быть включено в ресивере для комфортного приема, скажем про spectr filtr, spectr filtr это на картинку, по приему эквалайзер и есть функция SLPE (slope) делает наклон АЧХ на заданные в меню дБ (SDR-SSBFiltSlope-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2674] Автор : ra6ljm Дата : 17.02.2018 18:29 R3DI, Евгений подскажите, пожалуйста, помнится в первой версии платы разьем тангенты был в зеркале. Тоже сейчас не пойму,как правильно. Отсюда вопросы: 1) Где первый вывод на разъеме- левый или правый? 2) Правильная цоколевка на схеме или в факе? Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2675] Автор : ur4qij Дата : 17.02.2018 20:10 А подскажите кто -нибудь, где почитать о настройках в меню трансивера. Что нажимать и что выставлять:shock: ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2676] Автор : UA0BHC Дата : 18.02.2018 16:54 А подскажите кто -нибудь, где почитать о настройках в меню трансивера. Что нажимать и что выставлять:shock: ? Немного есть в файле Malaut_miniFAQ_v1.5.pdf, на последних 3-х страницах 282475 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2677] Автор : Love777888 Дата : 19.02.2018 15:19 Приветствую! Вопрос, сгорели у меня 591-е в драйвере, я так понимаю резистор 4R4 задает рабочую точку транзистора вместе с диодом 4D1, у меня там 0.77 вольта, наверное много, после 4tr1 амплитуда на базах снятых транзисторов около 0.5 Вольт, мерял осциллографом. на коллекторе 4q4 1вольт, в режиме передача 100%. Из за чего могли сдохнуть? какой ток выставить в коллекторах драйвера? Что б не сдохли. Еще оконечника нет, тобишь нажал на мгновение без нагрузки. Может из за этого? Еще сколько вольт ВЧ должно сниматься с драйвера? после трансформатора 4TR2? 2SC2314 временно можно поставить, вместо сгоревших? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2678] Автор : chet Дата : 19.02.2018 15:52 Love777888, Аналогично без нагрузки затворами рд16 сразу пробой к-е 591,пару раз по невниманию было -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2679] Автор : Love777888 Дата : 19.02.2018 16:06 Love777888, Аналогично без нагрузки затворами рд16 сразу пробой к-е 591,пару раз по невниманию было Теперь ясно, какой суммарный ток через два транзистора? Мне посоветовали 25 мА, кажется маленький совсем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2680] Автор : Love777888 Дата : 19.02.2018 17:42 Еще вопрос уже Евгению, скажите пожалуйста почему в ФМ мощность гораздо больше чем в АМ? Наблюдаю амплитуды на коллекторах драйвера в ФМ больше как минимум в 2 раза по отношению АМ. Если например на 28 Мгц в ФМ на осциллографе я вижу 10 вольт, то на АМ режиме всего 5 вольт. Это как? Тоже самое в SSB TONE и D. TONE всего 5 вольт ВЧ, в ФМ больше в 2 раза. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2681] Автор : R3DI Дата : 19.02.2018 17:51 в ФМ мощность гораздо больше чем в АМ? Было такое дело, буде исправлено в последующих версиях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2682] Автор : Love777888 Дата : 21.02.2018 14:54 Здравствуйте! Помогите с вопросом почему в байпас скажем на 10 ваттах в АМ идет возбуд, проходя через ДПФ на тех же 10 ваттах возбуда нет. Звенит вся плата, даже на общем проводе присутствует эта бяка, снимаешь перемычки с выходного каскада все чисто. Еще при ваттах 10-20 греется трансформатор 3312, первичка трубки из меди, вторичка 4 витка как по схеме. Просветите, сам пока не понял где собака зарыта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2683] Автор : RD3Q Дата : 21.02.2018 15:20 Love777888, на каком диапазоне все это происходит? Почему у Вас все измерения происходят в АМ или ЧМ - любите эти модуляции? Вы уверены что в bypas на выходе 10 ватт? Измерьте, а лучше осциллографом посмотреть что выходит! Поставьте ССБ и сразу видно будет - возбуждается аппарат! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2684] Автор : R3DI Дата : 21.02.2018 15:30 почему в байпас скажем на 10 ваттах в АМ идет возбуд потому, что после смесителя мы имеем полный спектр частот, их уровень это другой вопрос, но то что нечетные гармоники (для ключевого смесителя) имеют очень большой уровень это факт(как на прием так и на передачу) ( по приему, в Москве спокойно принимаю УКВ FM на седьмой гармонике смесителя 106,2/7 = 15,17 мГц ) и включая ТХ без ДПФ можно остаться без транзисторов... да без трансивера.... да и рядом техника загнуться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2685] Автор : Love777888 Дата : 21.02.2018 17:43 В ссб, как то мало работал, пока идет настройка на 50 ом. Происходит это на всех диапазонах. Так как дпф у меня совсем дерьмовый, и пройти колебанию от смесителя на первый каскад с 591очень трудно, просто включил байпасс, а там кошмар, но зато усилитель проверить уже можно. Нужно достать контрольный приемник и послушать в ссб на байпасе. Еще заметил, что то туго с выходом усилителя, когда алекаешь в ссб, в ам и фм громко, в ссб как будто слабая чувствительность микрофона. Мне не совсем ясно как измерять ток покоя рд16, дело в том, что мои цифровые мультиметры дуркуют от вч. Как измерять токи покоя в ссб? Или фм, ам? Или стрелочную ц-шку искать? Подкидывал амперметр последовательно плюсу трансивера, на как то думаю не достаточно это точно выставлять так токи покоя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2686] Автор : RD3Q Дата : 21.02.2018 18:42 Love777888, ток покоя выставляется очень просто - снимаете перемычки с выходных транзисторов, на эту клему подключаете амперметр. Выставляете в меню мощность 10%, отключаете микрофон и в ССБ, включив передачу, подстроечным резистором выставляете ток ~ 150мА. Таким же образом и на остальных транзисторах. В последствии можно поднять ток до 200 - 250 мА на транзистор. Да и не забываем нагрузить выход на эквивалент 50ом!!! Измерения можно проводить и цифровым прибором, важно смотреть чтоб не было возбудов без сигнала - можно даже включить линейный вход вместо микрофона. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2687] Автор : UN7GDZ Дата : 21.02.2018 19:03 Здравствуйте! Вопрос появился, можно ли подружить Маламута с RaspberryPI??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2688] Автор : R3DI Дата : 21.02.2018 20:07 Love777888, ток покоя выставлять только в ссб и без всякх сигналов, на то он и покоя, ам и фм со своей несущей никак не годятся. К тому что написал Игорь добавлю, лучще источник выбрать усб, но шнур не подключать, и еще в меню тхмиксераут выставить 0 на время выставления токов покоя. Провода для замера использовать как можно короче. И 4 витка во вторичке, мне кажется, многовато. И забудьте про байпас на передачу. UN7GDZ, Вы о чем ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2689] Автор : RD3Q Дата : 21.02.2018 20:26 Здравствуйте! Вопрос появился, можно ли подружить Маламута с RaspberryPI??? Ответ - зачем??? Есть готовые платы для трансивера, есть желание - пробуйте!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2690] Автор : Love777888 Дата : 21.02.2018 23:05 И 4 витка во вторичке, мне кажется, многовато. И забудьте про байпас на передачу. Сколько у вас витков? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2691] Автор : RX9UAO Дата : 22.02.2018 04:41 Love777888,Где-то раньше давал ссылку и фото, да ладно повторюсь.Вам точно пригодится-http://ut3mk.at.ua/forum/2-39-5 Почитайте,очень полезно и в тему.У меня вот так сделано:282764282765 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2692] Автор : UN7GDZ Дата : 22.02.2018 06:13 UN7GDZ, Вы о чем ? Я о том, чтоб в той-же программе Xlog не писать частоту а получать её с трансивера.... Ну и на будущее возможно цифровыми видами связи работать(есть нэтбук с убунтой) а возможно и на малине цифрой работать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2693] Автор : Sergey_Lapin Дата : 22.02.2018 08:19 Всем здравствуйте! Помогите побороть полосу в центре. И частота скажем сигнала двойная. Начинаешь перестраивать частоту , на водопаде она отображается двойная, справа и слева. И сводится к центру. Дальше перестраиваешь центр проходит и убегает за границы водопада раздвоенная. Что это кривой кодек? Где копать? Менял смеситель. Не помогает. Так же заменил операционники. Все то же самое. Прием есть...но мешает в центре полоса. Как ее убрать? Это возбуд? извините просто мало опыта в построение SDR за ранее благодарен за советы и помощь. https://youtu.be/0xZpo4aWRos -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2694] Автор : rn4haq Дата : 22.02.2018 08:34 А где диапазонные полосовики фильтры? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2695] Автор : Sergey_Lapin Дата : 22.02.2018 08:39 rn4haq, Здравствуйте! Да я их еще не запаивал. У людей смотрю и без дпф прием идет . Главное центральная полоса почему? На водопаде. От нее рокат блин. И частота сигнала, приема двойная. Сегодня ДПФ запаяю на диапазоны на которые есть индуктивности. Грешу на танталовые кондеры. И кодек. Пока заменить то не чем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2696] Автор : ua3ycv Дата : 22.02.2018 08:51 Sergey_Lapin,Серёж-вроде как по теме рассматривали такую проблему-полистай:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2697] Автор : rn4haq Дата : 22.02.2018 08:53 Sergey_Lapin, я думаю это из-за дпф у меня тоже самое, жду недостающие элементы на дпф. Сегодня попробую дроздовские поставить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2698] Автор : Sergey_Lapin Дата : 22.02.2018 08:57 ua3ycv, Спасибо! Вот сейчас займусь этим. Пока время немного есть. Обидно...все было хорошо. С Si -ки сигналы и с АС74 четкие. Осликом смотрел. Частота соответствует. А дальше вот на тебе заковырка. rn4haq, Вечером ДПФ буду впаивать. Может Евгений (R3DI) появится на форуме поже, подскажет что это..... И что с этим делать.... Может быть такое что надо очистить Fram? Две прошивки ранее разные загонял. А очистку не делал. Потому как тангенты нет еще в наличии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2699] Автор : RD3Q Дата : 22.02.2018 09:24 Sergey_Lapin, полосовые фильтры не причем, явно проявляется зеркалка, покажите видео приема станций на 7 или 3.5 Мгц. Должен принимать без полосовиков и ФНЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2700] Автор : R6BK Дата : 22.02.2018 09:26 И что с этим делать.... Читать и думать!!!!! За Вас этого никто не сделает, а только ерунду советуют. 1. Полоса по центру - это фликер шум. 2. То что бегает при перестройке - это неподавленный зеркальный канал. Исходя из этого ищите причины. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2701] Автор : Sergey_Lapin Дата : 22.02.2018 09:30 RD3Q, Вот прием https://youtu.be/EW6VEpw7ao0 сигнал раздвоенный. И центральная полоса почему то очень жирная, она и шумит. Буду вечером осликом все обследовать дальше до кодека. Будем разбераться. R6BK, 1. Полоса по центру - это фликер шум. А что это такое и где копать. Извините что задал глупый наверное вопрос. Уже прочел про фликер шум. А вот как его убрать? Где копать? Осциллографом буду смотреть вечером. Спасибо за направление и точное разьяснение моего эффекта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2702] Автор : RD3Q Дата : 22.02.2018 09:39 Sergey_Lapin, покажите видео приема, настройтесь на станцию чтоб звук был слышен. Пока только видно не подавленную боковую, готовьтесь кодек менять, если остальное проверено! Сброс можно и без тангенты сделать, кнопками - бывает помогает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2703] Автор : RA1CAC Дата : 22.02.2018 09:40 R6BK, 1. Полоса по центру - это фликер шум. А что это такое и где копать... Я до этого места пока не дошел - кодеки еще не пришли. Но по опыту сборки и настройки SDR1000 попробую предположить. У меня такое было с SDR1000, когда я пытался для настройки использовать встроенную звуковуху компа - на нулевой ПЧ мощный пик, как у Вас, Сергей. При замене звуковой карты на EMU0202 пик нулевой пч превлащался в очень маленький, почти незаметный пичок, который в общем-то и не мешал. Так что, скорее всего какие-то проблемы с кодеком. Но это только предположение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2704] Автор : Sergey_Lapin Дата : 22.02.2018 09:51 До кодека вечером буду смотреть сигналы осликом. Спасибо! Вечером на станцию настроюсь. Сейчас не дома. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2705] Автор : R3DI Дата : 22.02.2018 10:44 Я о том, чтоб в той-же программе Xlog не писать частоту а получать её с трансивера.... Ну и на будущее возможно цифровыми видами связи работать(есть нэтбук с убунтой) а возможно и на малине цифрой работать... Так в трансивере реализован USB CAT & USB AUDIO в одном проводе и работают и цифрой. Нужно знать частоту - спросите САТ командой, все есть. Скорее вопрос к софту под убунту и вряд ли это к этой теме относится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2706] Автор : UA0BHC Дата : 22.02.2018 10:45 Love777888,Где-то раньше давал ссылку и фото, да ладно повторюсь.Вам точно пригодится-http://ut3mk.at.ua/forum/2-39-5 Почитайте,очень полезно и в тему.У меня вот так сделано:282764282765 Это кольцо под биноклем добавлено без изменений в схеме? Сергей RX9UAO, подскажите сколько намоточные данные для биноклей 2402 в трансформаторах 6TR1,6TR2 и 4TR1, 4TR2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2707] Автор : R3DI Дата : 22.02.2018 11:05 Sergey_Lapin, Сергей Вам все привильно подсказали, добавить особо и нечего. Действуйте методом исключения. Фликер с антенной/без - 1.с ант. значит установить хоть какой дпф, хоть навесом для пробы, у меня год назад на партизанской антенне тож появиля да и еще и модулирова- рукой разьема коснулся и все в норму приходило, оказалась пошла наводка 50 Гц. 2.Без антены- копаем монтаж и детали, опять же метод исключения... Но судя по проблескам станции на Вашем видео, у нее есть зеркалка, а при таких то уровнях бвть не должно. А потому похоже схему проверять по НЧ нужно. А будут вопросы обязательно пишите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2708] Автор : Sergey_Lapin Дата : 22.02.2018 12:04 Евгений! Спасибо огромное! И Вам ребят то же! Буду вечером досканально, покаскадно проверять осциллографом где наводки идут. На вскидку то же как бы 50 гц еще присутствует. И ДПФ спаяю на некоторые диапазоны детали имеются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2709] Автор : UA9olb Дима Дата : 22.02.2018 12:48 Всем привет! то r3di Евгений подскажите как откалибровать S-метр?Вопрос калибровка 1 на все диапазоны или раздельна? Cтавлю на генераторе уровень 9+60 дб =50 мВ регулирую в меню ставлю что бы показывало +60 Снижаю уровень до 50 мкВ должно быть 9 балов показывает 8 ,cтавлю 25мкВ должно быть 8 балов показывает 5-6. Вобщем как то не получается-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2710] Автор : RX9UAO Дата : 22.02.2018 12:49 UA0BHC,,да без изменений. Трансформатор намотан на кольце 1000нн диаметр.12мм-7витков проводом 0.7мм в три скрученых провода(смотрим схему ut3mk).Запаеваем прямо на место бинокля,а потом бинокль сверху.У меня вторичка выходного бинокля получилась 2витка (пробовал и 3 и 4витка).Лучшей ачх показала обмотка в 2 витка (у вас может немного по другому получится(провод и как намотано).Среднее значение вых.напряжения получилось 32вольта(в режиме тональника).минимальное 30в и максимальное 34в. Намоточные данные для биноклей 2402 в трансформаторах 6TR1,6TR2 и 4TR1, 4TR2 как у Евгения на кольцах.Смотрите на схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2711] Автор : R3DI Дата : 22.02.2018 14:07 подскажите как откалибровать S-метр?Вопрос калибровка 1 на все диапазоны или раздельна? Cтавлю на генераторе уровень 9+60 дб =50 мВ регулирую в меню ставлю что бы показывало +60 Снижаю уровень до 50 мкВ должно быть 9 балов показывает 8 ,cтавлю 25мкВ должно быть 8 балов показывает 5-6. Вобщем как то не получается Вполне возможно, дело в том что у меня нет приборов и алгоритм соответственно отладить нет возможности. Smet_ofset - выставляю число для показания S0 без антенны на 40ке ( хотя 20 потише будет ), Smet +60 - для показаний +60 при 50 мВ, все конечна посредственно :-( Добавлено через 31 минут(ы): PS Еще по поводу диапазона S0..+60 тут и сам кодек такой не имеет, если преамп настроить для возможности от "S0" то +60 только с АТТ. Но у меня городские условия и S0 редкость, потому Ку преампа настроил для приема +60 без перегрузки кодека (Видно на последнем видео про АМ) - получается что S0 и быть не может даже без антенны. А по поводу S0 без антенны была такая история... ( история начинающего радиолюбителя, без приборов для оценки ) Собрал супергетеродин с 2ым преобразованием, радовался в городе, слушал эфир ))... без антенны слабый собственный шум, с антенной больше - как то выехал за 100 км от города, включаю вечерком, тишина полная ??? антенну подключил - едва изменилось... полез монтаж смотреть - думал не довез, развалился, ничего не нашел, - крутанул валкодер - станция едва качнула Sметр, но с/ш такой, будто корреспондент рядом за столом сидит в ночной кухне... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2712] Автор : UN7GDZ Дата : 22.02.2018 14:23 Тут вопрос к драйверам на убунту, они есть где ни будь??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2713] Автор : R3DI Дата : 22.02.2018 14:44 Тут вопрос к драйверам на убунту, они есть где ни будь??? CAT - стандартный USB CDC класс, USB AUDIO - USB UAC класс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2714] Автор : Павел Непийвода Дата : 22.02.2018 15:15 Евгений подскажите как откалибровать S-метр?Делал так - в меню настроил чтоб показывало реальное измерение ( второй подпунт S-метра ) , далее подал на вход 9+60 дБ , потом коротко нажать кнопку чтоб обновить показания . У меня показало цыфру 104 , далее ниже по меню настройка 9+60 - валкодером набрал 104 . Далее выход. Начальное показание у меня выставлено число 17 ( в первом подпунте ) . вот так калибровал. Показания совпадают с аналоговым трансивером калиброваным этим же ГСС. Прошывка 4,9. С уважением Павел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2715] Автор : R6BK Дата : 22.02.2018 17:24 А вот как его убрать? Где копать? Запитайте от АКБ, если уменьшится, значит фонит БП. Еще может быть внешняя наводка, рядом источник магнитного поля в районе 50 Гц. Проверить на "свист" стабилизаторы по питанию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2716] Автор : Sergey_Lapin Дата : 22.02.2018 17:36 R6BK, Ок! Спасибо! Не как не займусь Маламутом. Ремонтами завалили. Разгребаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2717] Автор : deDEvils Дата : 22.02.2018 21:17 Скажите где купить такой и сколько стоит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2718] Автор : Love777888 Дата : 22.02.2018 22:25 UA0BHC,,да без изменений. Трансформатор намотан на кольце 1000нн диаметр.12мм-7витков проводом 0.7мм в три скрученых провода(смотрим схему ut3mk).Запаеваем прямо на место бинокля,а потом бинокль сверху.У меня вторичка выходного бинокля получилась 2витка (пробовал и 3 и 4витка).Лучшей ачх показала обмотка в 2 витка (у вас может немного по другому получится(провод и как намотано).Среднее значение вых.напряжения получилось 32вольта(в режиме тональника).минимальное 30в и максимальное 34в. Намоточные данные для биноклей 2402 в трансформаторах 6TR1,6TR2 и 4TR1, 4TR2 как у Евгения на кольцах.Смотрите на схеме. RX9UAO (http://www.cqham.ru/forum/member.php?4736-RX9UAO) здравствуйте! Скажите пожалуйста о намотке этого колечка под биноклем, 7 витков вижу, от какого отводы не ясно, на фото вижу только два скрученных вместе.... Я так понял это для разгрузки по постоянному току бинокля, что бы он меньше грелся, при токах от 4..5А. Скажите а кольцо М1000НМ подойдет? И еще проконсультируйте пожалуйста о STEP UP преобразователе, в железной коробке надпись TUSOTEK, его покупали одни говорят шумит, RD3Q Игорь ставил, если не ошибаюсь, утверждал что не шумит в режиме RX. вот ссылка https://www.ebay.com/itm/5A-8A-10A-150W-200W-DC-DC-Boost-Converter-Charger-Step-up-Step-Down-Power-Module/222448478440?hash=item33caf668e8:m:mEXTIVAi2fWRGy8848NZPSw Или посоветуйте любой другой надежный, не шумящий или коммутацию от тангенты либо, по питанию либо шим "будить" по ножке STAND BY. Извините, что не в этой теме, по поводу преобразователя. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2719] Автор : RK3AQW Дата : 23.02.2018 00:51 Love777888, подойдет,правда у меня некоторые кольца грелись и отбирали мощность,а вот 600НН нормально работают,намотка 8-10 витков скрученного провода,начало одной обмотки с концом другой,питание на средний вывод,для компенсации на ВЧ надо подобрать емкость (порядка 100-200 пик)между обмотками этого тр-ра,таким образом сделаны вых тр-ры военных передатчиков, в том числе Кедр и не только(если память не изменяет)можно также завести ОС для ALC,при питании от 24-28 вольт на выходе порядка 30-35 Ватт(можно и больше,но грязновато получается) про стабилизатор соберите как в SW,там при ТХ, питание +12в на шим подается и ни каких помех на прием,ток порядка 5-7 А держит и радиаторов не нужно Добавлено через 16 минут(ы): deDEvils, стоит порядка 35 р в объявлениях поищите -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2720] Автор : RX9UAO Дата : 23.02.2018 04:57 Love777888,конечно же в 2-а провода,извиняюсь,сбуробил черти-что.Писал на работе,маленько замотали. Думаю откуда взял,что в три провода и вспомнил: параллельно собираю "прибомбас" к Маламуту в автомобиль,а там этот трасформатор в три провода.282838А на счет преобразователя-это не ко мне.У меня питание 13.8в. Бинокль при моих ваттах не греется,а вот мощность заметно поднялась да и ачх стала поровней. М1000НМ думаю подойдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2721] Автор : EW2MS Mikhail Дата : 23.02.2018 08:44 Всех с Праздником!!! Кто не будь пробовал транс симетрирующий мотать на FT82-43? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2722] Автор : UA0BHC Дата : 23.02.2018 08:56 в блоках питания АТХ стоит искать такие кольца? (для симметрирующего трансформатора, аналоги М1000НМ, 600НН, 1000нн) Или эти кольца в связной технике стоят? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2723] Автор : Сармат Дата : 23.02.2018 23:16 В блоках питания АТХ не стоят такие кольца. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2724] Автор : UN7GDZ Дата : 24.02.2018 08:14 С похожей проницаемостью колца стоят на материнских платах, в понедельник на работе попробую померить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2725] Автор : UA0BHC Дата : 25.02.2018 06:03 Доброе утро, товарищи! Пробовал поиском найти ответ в теме, FAQ почитал, но так что-то и не нашлось ответа. Может глупые вещи спрашиваю, уж простите. Обратил сегодня свой взор на полосовые фильтры, которые работают на передачу. По схеме там в параллель два конденсатора, допустим 2C3 и 2C3-1, ставить ведь только один из них надо? И напряжение этих кондёров - 2kV. А в реале сколько будет? (будет два транзистора RD16, и ничего не буду разгонять) У планарных 1206 по-моему 50 вольт всего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2726] Автор : chet Дата : 25.02.2018 07:33 UA0BHC, один ставить 2С3. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2727] Автор : RX9UAO Дата : 25.02.2018 08:24 М-дааа http://sw-radio.ru -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2728] Автор : RA6ANR Дата : 25.02.2018 09:56 RX9UAO, а что вас так смущает?) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2729] Автор : RX9UAO Дата : 25.02.2018 12:23 RA6ANR, цена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2730] Автор : RA6ANR Дата : 25.02.2018 13:18 RX9UAO, на qrz.ru есть и дороже -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2731] Автор : Love777888 Дата : 25.02.2018 21:12 Доброе утро, товарищи! Пробовал поиском найти ответ в теме, FAQ почитал, но так что-то и не нашлось ответа. Может глупые вещи спрашиваю, уж простите. Обратил сегодня свой взор на полосовые фильтры, которые работают на передачу. По схеме там в параллель два конденсатора, допустим 2C3 и 2C3-1, ставить ведь только один из них надо? И напряжение этих кондёров - 2kV. А в реале сколько будет? (будет два транзистора RD16, и ничего не буду разгонять) У планарных 1206 по-моему 50 вольт всего. Ищите у этого продавца планар на большее U, это если поставите повышающий DC-DC https://ru.aliexpress.com/item/30pcs-SMD-capacitor-1808-22PF-3000V-220J-4520-NPO-5-high-pressure22PF-3KV/32636183156.html?spm=a2g0s.13010208.99999999.283.9KACi2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2732] Автор : RK3AQW Дата : 25.02.2018 23:04 EW2MS Mikhail, этот транс ставят для выравнивания токов в плечах тр-в,он служит так называемым анодным дросселем(если на лампы перекладывать),самое главное чтобы он не стал отсасывающим энергию(и такое было на некоторых диапазоных при использовании непонятно каких колец,так что кольца для его изготовления так же критичны по параметрам,надо пробовать)индуктивность должна быть достаточно высокой,насколько помню порядка 25-35мкГн и выше.Трансформатор греться не должен. UA0BHC, можно вот эти заказать тут ссылку давали https://ru.aliexpress.com/item/30pcs-SMD-capacitor-1808-22PF-3000V-220J-4520-NPO-5-high-pressure22PF-3KV/32636183156.html?spm=a2g0s.13010208.99999999.269.IcWFqG RX9UAO, цена как цена,комплектующие + работа+булка с маслом и не более того,я тут прикинул около 20 т.р +/- выходит если самому делать 1 шт.с учетом того что некоторые(большинство) деталюхи берешь только оптом Валкодер,процессор,кодаки,монитор,бинокли,кольца,RD16 и т.д. и тп,вот и набирается...Поэтому сами и собираем)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2733] Автор : UN7GDZ Дата : 26.02.2018 15:54 Приветствую всех! Возник вопрос по настройке S-метра. Подаю 50 мВ на вход трансивера, настраиваю S-метр на 9+60. Все ОК. Снижаю амплитуду в 10 раз(20 дБ), что равно 5 мВ, а S-метр показывает 9+20. В чём причина такой нелинейности? Причем снижал уровень как настройкой генератора так и включением аттенюатора на 20 дБ, результат один, на экране снижается уровень не на 20 дБ а на 40 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2734] Автор : skn Дата : 26.02.2018 16:23 Добрый день! Подскажите, пожалуйста, микросхема 10U6 SN65LVDS048A в каком корпусе должна быть, а то в BOM неизвестный мне SOG-16 указан, в даташите только soic или tssop. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2735] Автор : UN7GDZ Дата : 26.02.2018 16:30 Подскажите, пожалуйста, микросхема 10U6 SN65LVDS048A в каком корпусе должна быть, а то в BOM неизвестный мне SOG-16 указан, в даташите только soic или tssop. Спасибо. tssop на плате 1.2 под этот корпус разведено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2736] Автор : skn Дата : 26.02.2018 16:48 Спасибо, надеюсь в 1.3 так же -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2737] Автор : R7KGA Дата : 26.02.2018 17:23 skn, так же -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2738] Автор : stari4ok Дата : 26.02.2018 20:50 Подскажите какая разница по входной мощности при установке 4 RD-16-х при 14v питании.И ещё-на плате Ver.1.2 УНЧ на pam8406 не ставится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2739] Автор : RD3Q Дата : 26.02.2018 21:30 stari4ok, Александр смысла нет при 14 вольтах ставить 4 транзистора! При 24 вольтах можно получить 60-70 ватт, но ВЧ начинает гулять по плате и возникают другие проблемы! Да и эта мощность не линейна будет! Наиболее приемлемый вариант 2 транзистора и 40 ватт при 22 вольтах с преобразователя! Более - менее стабильно все работает! Моё мнение такое!;-) Да и выходной трансформатор - на кольцах! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2740] Автор : UN7GDZ Дата : 27.02.2018 11:33 И ещё-на плате Ver.1.2 УНЧ на pam8406 не ставится? Да на плате v1.2 разведено только под tda7233 в дип8 или соик8. И так вопрос и остался с S-метром! Это у меня что-то не работает или может быть в расчёте значений??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2741] Автор : UA9olb Дима Дата : 27.02.2018 11:51 или может быть Евгений выше писал ,что нет у него генератора что бы точненько все настроить поэтому пока так ) И выше писали как настроить что бы было более менее) Все равно точно не получается и у меня примерно как и у Вас. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2742] Автор : UA7KJ Дата : 27.02.2018 11:53 И так вопрос и остался с S-метром! Попробуйте так как делал Павел. Делал так - в меню настроил чтоб показывало реальное измерение ( второй подпунт S-метра ) , далее подал на вход 9+60 дБ , потом коротко нажать кнопку чтоб обновить показания . У меня показало цыфру 104 , далее ниже по меню настройка 9+60 - валкодером набрал 104 . Далее выход. Начальное показание у меня выставлено число 17 ( в первом подпунте ) . вот так калибровал. Показания совпадают с аналоговым трансивером калиброваным этим же ГСС. Прошывка 4,9. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2743] Автор : RW6HCH Дата : 27.02.2018 12:48 для Евгения R3DI: подскажите как можно откорректировать точность хода часов, у меня за 1.5 мес. отстали на 2 мин. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2744] Автор : R3DI Дата : 27.02.2018 13:00 RW6HCH, у меня так же, одни спешат другие отстают, не заморачивался этим вопросом. По простому , возможно емкости подобрать у кварца часового. Чем больше плюшек тем больше вопросов )))). Я так для оценки времени их сделал. По хорошему возможно нужно вводить в меню корректировку приделителя, но на это пока времени нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2745] Автор : RA1CAC Дата : 27.02.2018 13:43 ...как можно откорректировать точность хода часов, у меня за 1.5 мес. отстали на 2 мин. RW6HCH, у меня так же, одни спешат другие отстают,... По хорошему возможно нужно вводить в меню корректировку приделителя, но на это пока времени нет.А еще может от заряда батарейки зависеть - с новой батарейкой спешат, со старой отстают, или наоборот. Евгений, а САТ командой такую плюшку (корректировка времени и даты) можно сделать? Разумеется когда время будет. (во сморозил! :) ). Для некоторых цифровых видов связи нужны очень точные часы, а так можно было бы корректировать их от какого-нить NTP сервера прямо в процессе работы. Хотя... действительно сморозил - для "цифры", важнее время, которое в компе, наверное. Ну так... получается перфекционизм своего рода - шоб время в Маламуте не отличалось от времени компа - не заморачивайтесь, Евгений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2746] Автор : R4CDG Дата : 27.02.2018 15:20 R3DI, Евгений, есть подозрение, что у меня не работают кодеки. Datasheet читал. Ставил CZZ. Входные сигналы на них есть. Водопад на приеме есть, реакция на антенну по уровню на водопаде и по звуку в динамике есть, но демодуляция отсутствует. На передающем выход синуса, частота совпадает с индикатором, но есть какой-то посторонний фон. Прошивка последняя была залита на чистую STM. Очистку FRAM не делал. Хочу заказать кодеки в другом месте. Возможно применение любых CZZ, CZZR, DZZ, DZZR или есть какие-то предпочтения? Цена на CS4272 слишком разная...283265 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2747] Автор : RA1CAC Дата : 27.02.2018 15:58 ...Водопад на приеме есть, реакция на антенну по уровню на водопаде и по звуку в динамике есть, но демодуляция отсутствует.... Как Вы определяете наличие/отсутсвие демодуляции, при наличии звука в динамике? ...Прошивка последняя была залита на чистую STM. Очистку FRAM не делал... Евгений же писал, что это первое, что надо сделать после заливки прошивки. ...Хочу заказать кодеки в другом месте. Возможно применение любых CZZ, CZZR, DZZ, DZZR или есть какие-то предпочтения? Цена на CS4272 слишком разная...Если меня не обманывают глаза - это картинка с "Электронщика". Я им писал на эту тему - цены разные, потому, что от разных поставщиков. Если Вы щелкнете мышкой на одной из приведенных на картинке позиций - откроется список возможных вариантов. Годятся все, которые в корпусе TSSOP-28. Там можно найти 2шт. по 602 рубля. Я именно такие заказывал. Правда что-то долго идут - второй месяц пошел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2748] Автор : UB9HCE Дата : 27.02.2018 16:24 Планируется ли публикации исходников свежее версии 2.0? (Ранее Genadi Zawidowski (http://www.cqham.ru/forum/member.php?1595-Genadi-Zawidowski) задавал этот вопрос, но ответа я на него не увидел...) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2749] Автор : R4CDG Дата : 27.02.2018 16:49 RA1CAC, Звук - это просто шипение, а на счет очистки FRAM - я же прошивку заливал в чистую STM. Возможно, что я не прав. А какая форма сигналов должна быть на входах RX и выходах TX кодеков? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2750] Автор : RA1CAC Дата : 27.02.2018 17:01 ...Звук - это просто шипение,...Если аппарат реагирует шипением на подключенную антенну - это нормально, так и должно быть - шум эфира слышен. А валкодер/енкодер покрутить? И что на водопаде видно при этом? Станции видны? А что будет, если валкодером загнать полоску станции (на водопаде) между двумя вертикальными сплошными линиями на клетчатом поле? Не пробовали? ...а на счет очистки FRAM - я же прошивку заливал в чистую STM. Возможно, что я не прав.Вы заливали прошивку в STM, но не в FRAM. Новая, ни разу не шитая FRAM, может содержать в себе т.н. "цифровой мусор", а это чревато. Поэтому ее надо очищать сразу же, при первом включении, после прошивки. ... А какая форма сигналов должна быть на входах RX и выходах TX кодеков? Я пока не могу сказать точно - мне кодеки еще не пришли. Но подозреваю, что синус с передающего кодека у Вас - это правильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2751] Автор : R4CDG Дата : 27.02.2018 17:14 RA1CAC, Про цифровой мусор не понял. Вся память изначально с завода чистая. А вот шум у меня -это не шум эфира, станций никаких не слышно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2752] Автор : ua3ycv Дата : 27.02.2018 17:18 Вся память изначально с завода чистая.в процессе записи яб не был так уверен-сам автор написал-чистим фрам уж потом "телодвижения". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2753] Автор : R4CDG Дата : 27.02.2018 17:23 А с тангенты можно это сделать? Сейчас попробовал, но ничего не изменилось. Или только кнопками? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2754] Автор : RA1CAC Дата : 27.02.2018 17:31 ...Про цифровой мусор не понял. Вся память изначально с завода чистая.Еще раз: Вся память с завода содержит в себе "цифровой мусор" - произвольные значения в ячейках, при создании кристалла. Никто их там специально не стирает. Ну может выборочно, для контроля. Кроме того, очистка FRAM - это не просто запись в память нулей, это еще запись рабочих переменных - их значений по умолчанию. ... А вот шум у меня -это не шум эфира,...Но ведь он появляется после подключения антенны? ...станций никаких не слышно.Значит надо просто валкодер покрутить. Диапазонами пощелкать. ЗЫ. С тангенты не пробовал... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2755] Автор : R4CDG Дата : 27.02.2018 17:52 Значит надо просто валкодер покрутить. Диапазонами пощелкать. Вы считаете, что я это не делал? Валкодер и тангента подключены и работают нормально. Была проблема с MCP6001U с алиэкспресса - напряжение на выходе операционников не поднималось выше двух вольт, пришлось заменить, сейчас все в порядке. Кнопок пока нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2756] Автор : RA1CAC Дата : 27.02.2018 17:56 ...Вы считаете, что я это не делал?Создалось такое впечатление. И про водопад Вы не ответили - видны ли станции на нем?. ... Кнопок пока нет.Надо срочно, хотя бы на соплях, чтобы FRAM очистить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2757] Автор : R4CDG Дата : 27.02.2018 18:02 видны ли станции на нем?. Нет, видны как бы какие-то несущие, демодуляции нет, изменяется только уровень шума. хотя бы на сопляхНадо нормальные кнопки делать. Попробую перекинуть кодеки. Может хотя бы прием будет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2758] Автор : RA1CAC Дата : 27.02.2018 18:14 Нет, видны как бы какие-то несущие,... И что, эти несущие в моде SSB или CW в динамике не пищат? Даже пораженные точки, без антенны, должны пищать потихоньку. ЗЫ. Не знаю, как еще Вам помочь. Телепата своего я уволил нахрен - много кушал, сволочь - а без него, чота-какта не получается окинуть разумом всю картину. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2759] Автор : UN7GDZ Дата : 27.02.2018 18:17 Попробую перекинуть кодеки. Может хотя бы прием будет... Зачем так поспешно детали в помойку??? То что станций не слышно еще ни о чем не говорит, попробуйте хоть какой-нибудь тестовый генератор сделать и поймать его несущую, услышать. Если есть осцил нормальный то можно посмотреть прохождение сигнала по всем трактам, до кодека, и если все сигналы в норме а приема нет то только после этого на кодек смотреть. Могу завтра покаскадно осциллограммы отснять(от антенного входа до кодека). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2760] Автор : R4CDG Дата : 27.02.2018 18:31 Зачем так поспешно детали в помойку??? Я хочу поменять кодеки RX c TX. Про помойку пока не говорил. Могу завтра покаскадно осциллограммы отснять(от антенного входа до кодека) А вот за такое огромное спасибо! Я смотрел осциллографом на самом низком диапазоне - не так искажается форма импульсов из-за входной емкости щупа и прибора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2761] Автор : ur7hfo Дата : 27.02.2018 18:57 Могу завтра покаскадно осциллограммы отснять(от антенного входа до кодека). Думаю, это было бы полезно для многих. И в поиске неисправностей помогло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2762] Автор : R3DI Дата : 27.02.2018 19:00 R4CDG, буду краток. - чистка ФРАМ (только кнопки) - DAC кодеков - режим MONI,TONE. синус на выходах обоих кодеков? (уровни могут быть разные, но проверяем наличие ) - Синусы есть на обоих, а в динамике тихо? - проверить уровень AF Mute. - ADC кодеков - по приему все на водопаде будет видно (можно потыркать в преамп НЕ5532 - 50 Гц должно загудеть, (осторожно статика!)), передача - тх в мic так же на спектре прыгать будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2763] Автор : ua9ylu Дата : 27.02.2018 19:13 можно все четыре канала проверить, по очереди выходной тантал операционника подключать на унч аппарата , все прекрасно слышно что принимается из эфира. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2764] Автор : AlexJ Дата : 27.02.2018 21:16 Приветствую! Вот такую грязь наблюдаю на индикаторе, (антенна не подключена) так понимаю это спуры с СИшки, как с этим бороться? попробовать заменить м/с? есть участки где еще хуже, на втором фото это при повороте ручки валкодера, метки сходятся-расходятся... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2765] Автор : UA9olb Дима Дата : 27.02.2018 21:31 грязь наблюдаю Интересно есть такие у кого такой грязи нет? У меня не везде но нет нет где то тоже выползают такие полоски( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2766] Автор : Sergey_Lapin Дата : 27.02.2018 21:39 AlexJ, У меня так же. Пока то же не нашел решение этому. Сильно то не разбирался. Но у меня станции есть. Правда оценить пока не могу. Потому как Валкодер только завтра наверное получу. Прибыла посылка ко мне, но еще на сортировке. Так что я не один такой. UA9olb Дима, Приветствую Дмитрий! Да еще хочу добавить, что полосовые диапазонные фильтры так и не впаял еще. Руки все не как не дойдут. А вообще " Маламут" класс! Огромное еще раз СПАСИБО! Евгению за разработку и труды. Просто где то или деталька косячная или что то...разобраться надо. Пока времени нет и ДПФ впаять. А там может и станет как у Всех , нормально работать. Поже видео выложу приема. В SSB. Правда настроился не точно. Нет Вылкодера. Пока. И Прием без ДПФ. Включен естественно Бипасс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2767] Автор : UR4QBP Дата : 27.02.2018 21:51 Интересно есть такие у кого такой грязи нет? У меня не везде но нет нет где то тоже выползают такие полоски( У IC-7300 и IC-7610 нету. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2768] Автор : RA1CAC Дата : 27.02.2018 22:01 ...грязь наблюдаю на индикаторе, (антенна не подключена) так понимаю это спуры с СИшки, как с этим бороться?...В СДРах построенных по образу и подобию SDR1000 - это неотъемлемая проблема. Обычно народ успокаивался на том, что спуры маскируются шумом эфира. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2769] Автор : UA9olb Дима Дата : 27.02.2018 22:09 У IC-7300 и IC-7610 нету. Н-да Речь то о маломуте:smile::ржач: это неотъемлемая проблема. С антенной вообще редко замечаю) А вот в монке у меня такого нет вообще) и даже и не знал что так бывает) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2770] Автор : rn4haq Дата : 27.02.2018 22:09 AlexJ, И у меня тоже самое, правда пока жду письмо с СИшкой, вместо нее использую AD9851. Думал из-за этого-оказывается нет( Остается грешить на чудо-китайские кодеки:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2771] Автор : Sergey_Lapin Дата : 27.02.2018 22:30 rn4haq, То же все же грешу на кодеки. Буду брать оригинальные. Впаяю , может тогда душа будет спокойна что это не кодак. Вот прием в АМ. Но повторюсь: Валкодела нет настроился так. Антенна не какая. И ДПФ нету еще. Полосу сузил при приеме, разборчивость голоса лучше. https://youtu.be/MJeHnoTk8dE В SSB завтра сделаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2772] Автор : ialexs Дата : 27.02.2018 22:32 А как по мне эта гадость из проца лезет, почему так думаю , при изменении частоты конденсаторами опоры 8 мгц положение их меняется по частоте. У меня была пораженка на 80 ке 3700 ,поменял резонатор другой фирмы и ушла в сторону, потом кондером тот же эффект. Может я и не прав , что видел , то описал. К стати на 1000 ке их было гораздо меньше, хотя тоже в звуковушках используются кодеки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2773] Автор : R3DI Дата : 27.02.2018 22:50 Вот такую грязь наблюдаю на индикаторе Менять мс не нужно, это уже много раз поднималось в теме, почитайте. У Вас и в полосе ФОС S метр на 0-е, а с антенной и эфиром и заметно не будет. Та же кто-то уже писал, что на экране видно много и сразу паника, а закройте экран(водопад и спектр),оставьте S метр и покрутите валкодер, и станет спокойнее ))) ( вроде как про SW речь была, водопада там нет вот и не видно, и + шаг перестройки - посмотрите, там (в Маламуте) эти палки многие быстрее шага перестройки ползут - гармоники - установите шаг 50..100 Гц - и многие просто не попадут в ФОС ) ). У IC-7300 и IC-7610 нету. А у Маламута цены такой нету, думаю, на это можно сделать сносочку ;-) А вот в монке у меня такого нет вообще) и даже и не знал что так бывает) А вот это уже интереснее - куда б оно делось... Просил коллегу сделать список и фотки по параженкам в монке (за что ему еще раз большое спасибо ) ( когда этот вопрос в очередной раз возник ).... "мама не горюй" там мне отчет прислали, мне показалось что в Маламуте столько нет. Но почему то про монку никто не пишет, то ли кроме как у того человека их действительно нет, то ли не хотят признавать - купив ее за ХХкило руб. Может дело в отображении, "задавить" картинку и тут пропадут. И wm8731 пробовал подключать... А вообще, я б с удовольствием монку покрутил( да и собрал бы), так сказать для сравнения, но вот не задача - почему-то Маламута клонируют, а монку нет, имею ввиду pcb ( забугор не в счет ):-|. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2774] Автор : AlexJ Дата : 27.02.2018 22:52 У IC-7300 и IC-7610 нету. А на Вашей версии платы тоже такое наблюдается? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2775] Автор : UR4QBP Дата : 27.02.2018 22:53 А на Вашей версии платы тоже такое наблюдается? Есть конечно. Оно и будет такое, это все побочный эффект компромисса в виде одноплатной конструкции. Все шумит начиная от шин кодеков, SPI дисплея, I2C, кварцевых генераторов и т.д. и все это на одной плате. Тут не помогут ни раздельное питание, ни развязка земляных шин. Идеальный вариант все раздельно и в экранированных коробках. И я бы сказал так: а что вы хотите от аппарата себестоимостью в 100$? Ответ знаю: все хотят получить характеристики IC-7300 или IC-7610. Радио отличное и все "это" при наличии диапазонных антенн абсолютно не мешает работе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2776] Автор : AlexJ Дата : 27.02.2018 22:56 В СДРах построенных по образу и подобию SDR1000 - это неотъемлемая проблема Не знаю, на СДР разработки UT3MK на Si570 такого не наблюдал, не без спрутов конечно, но не так густо и мощно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2777] Автор : R3DI Дата : 27.02.2018 22:57 из проца лезет, почему так думаю , при изменении частоты конденсаторами опоры 8 мгц положение их меняется по частоте. ну еще бы, изменив немного частоту опоры - изменяется MCLK кодеков - соотв. они оказываются в другом месте ( То же и с опорой СИшки будет) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2778] Автор : Sergey_Lapin Дата : 27.02.2018 22:58 R3DI, Евгений! Все нормально. Не принимайте ни чего близко к сердцу. Аппарат замечательный. Это просто например я его еще до конца не собрал. Соберу обязательно. Мне он нравится. Спасибо Вам! Вот только подскажите, дайте совет.... При приеме на SSB принимает и на верхней и на нижней боковой полосе. Потому как сигнал раздвоен Одна боковая совсем не подавленна. Какие элементы отвечают за подавление на диапазоне боковой полосы ненужной? Сейчас видео приема SSB выложу. Вот как то так....Одна боковая не подавленна.https://youtu.be/_DwqOXiaok0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2779] Автор : AlexJ Дата : 27.02.2018 23:02 Есть конечно. Ну значит примем как неизбежность...:пиво: Общее впечатление от аппарата это не портит. Отличная разработка! Спасибо Автору! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2780] Автор : R3DI Дата : 27.02.2018 23:17 При приеме на SSB принимает и на верхней и на нижней боковой полосе. Сергей приветствую! Давайте так поступим - чтобы тема не превращалась в "день сурка", не однократно это в теме обсуждалось. но понимаю что найти сложно - сделаем так.... - последняя версия - чистка памяти - никакие автоподавления не включать - проверка монтажа - 90% непропай/залипуха - 10% неисправная деталь (поднять танталы после смесителя и проверить все 4 канал по НЧ) а я в свою очередь подготовлю описание "что делать если DSB...", с перечнем куда лезть,что смотреть ( постараюсь в ближайшее время ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2781] Автор : Sergey_Lapin Дата : 27.02.2018 23:27 R3DI, Спасибо! Сделаю все как вы советуете. Извините . Просто в SDR технике нет совсем опыта. Только осваиваю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2782] Автор : R3DI Дата : 27.02.2018 23:35 Какие элементы отвечают за подавление на диапазоне боковой полосы ненужной? за подавление на диапазоне боковой полосы ненужной - наверное точнее сказать "разделение" относительно -F /+F, отвечает баланс амплитуд (точное совпадение) и фаз( строгий разнос 90 град (канал I и Q)) - а за это, в свою очередь, отвечает от формирователя квадратурного клока для смесителя начиная с LVDS - триггер - смеситель - преампы на NE5532 - и заканчивая самим кодеком. Вот как то так... дааа, никуда не годится.... вылечим ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2783] Автор : amator Дата : 27.02.2018 23:39 Идеальный вариант все раздельно и в экранированных коробкахНаводка между узлами в пространстве имеет мизерное значение, так что экранировка не поможет, и разницы не заметите. Все лишнее что попадает в 1-й смеситель подводится напрямую за счет ВЧ токов в плате, и с выхода Си-шки. В Мини SW с цветным дисплеем чистой работы добивались с Геннадием Завидовским изменениями в прошивке. Остальные манипуляции не давали вообще ничего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2784] Автор : R3DI Дата : 28.02.2018 00:12 amator, приветствую! А что в прошивке делали, опоры двигали, чтобы пораженки в ФОС не попадали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2785] Автор : amator Дата : 28.02.2018 00:27 А что в прошивке делалиЭто лучше у Геннадий Завидовский (http://ric.cqham.ru/search.php?c=UA1ARN&x=8&y=4) UA1ARN спросить. Выбор делителей, выбор умножения и все такое... И прочие параметры. Но разница большая. Из кучи прошивок одна выбрана, и сейчас используется. Остальные гораздо грязнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2786] Автор : Genadi Zawidowski Дата : 28.02.2018 04:50 Основная идея была в том, чтобы использовать максимально возможный выходной делитель для возможного диапазона перестройки ГУН и требуемой выходной частоты. Исходники выложены, милости прошу покопаться. Файл в проекте внезапно называется si5351.c в каталоге chips. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2787] Автор : UN7GDZ Дата : 28.02.2018 07:09 Приветствую всех! С утра на измерялся ))) Для начала: Народ, когда суётесь чем-нибудь металлическим в плату (в моём случае щуп от осциллографа), при возникновении стойкого и непреодолимого желания чихнуть, да да именно чихнуть, первое что нужно сделать, это отвести все предметы что вы держите в руках на безопасное расстояние от исследуемого предмета(Маламут). Так как в процессе чихания я так понял, руки совершают какие-то непонятные движения, слабо контролируемые ЦНС. В следствии чего утром при проведении измерения в процессе чихания мною были нищадно спалены два кодека и стабилизатор на 5 вольт!!! О как))) Будьте осторожны ПЖЛСТО!!! Ну и извините за лирическое отступление, собственно осциллограммы прохождения сигнала частотой 7,1 МГц амплитудой 50 мВ RMS. Сам сигнал с генератора:283324 на нагрузке 50 Ом. Все остальные измерения на высокоомный вход осциллографа, по этому значения амплитуды сигнала не достоверны и носят относительный характер. Сигнал на входе ДПФ если смотреть на схему то 3С19 правый вывод: 283325 Сигнал на выходе ДПФ если смотреть на схему то 3L11 левый вывод:283326 Сигнал на входе 6TR1, тут уже видно влияние работы смесителя и сам сигнал выглядет некрасиво но это норма и скорее всего если тудой глянуть анализатором спектра то картинка будет норм: 283327 Ну и сигналы на входах кодеков, сигналы RXQPc и RXIPc: 283328 ну тут частота зависит от отстройки от частоты генератора. И еще раз аккуратнее с измерениями)))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2788] Автор : rn4haq Дата : 28.02.2018 07:18 UN7GDZ, :ржач: спасибо за созданное утреннее настроение. И за проверенные измерения -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2789] Автор : UR4QBP Дата : 28.02.2018 07:19 Исходники выложены, милости прошу покопаться. Файл в проекте внезапно называется si5351.c в каталоге chips. Юзаю Вашу библиотеку для Si5351 в своем проекте синтезатора для клона SDR-1000 и нет никаких щелчков(выше 112.5 МГц частоты гетеродина) при перестройке в отличие библиотеки Евгения применяемой в "Маламуте". Работает до 225 МГц без всяких проблем. P.S. Но это все равно не спасет от спуров и пораженок в канале приема, а скорее всего спасет разделение цифровой и аналоговой части аппарата как это сделано в той же "монке" или "Тюльпане". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2790] Автор : Sergey_Lapin Дата : 28.02.2018 07:40 UN7GDZ RXIPc - сигнал я так понимаю, снимали с выхода операционных усилителей на кодек? Как раз показывает сдвиг фазы в 90°? Все верно. Поправте если не так. В какие точки были подключены канал1 и канал 2 Осциллографа. P.S. Все разобрался куда подключены. Спасибо за снятые осциллограммы! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2791] Автор : UN7GDZ Дата : 28.02.2018 07:57 RXIPc - сигнал я так понимаю, снимали с выхода операционных усилителей на кодек? Как раз показывает сдвиг фазы в 90°? Все верно. Поправте если не так. В какие точки были подключены канал1 и канал 2 Осциллографа. Так и есть выход операциоников на минусовом контакте конденсаторов 7C2 и 7C11 соответственно, ну и сдвиг фаз ослик намерил ровно 90 градусов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2792] Автор : RA1CAC Дата : 28.02.2018 08:05 ... в процессе чихания ... мною были нищадно спалены два кодека и стабилизатор на 5 вольт!!! ...Ну нельзя же так жестоко чихать, Максим. за подавление на диапазоне боковой полосы ненужной - наверное точнее сказать "разделение" относительно -F /+F, отвечает баланс амплитуд (точное совпадение) и фаз( строгий разнос 90 град (канал I и Q)) - а за это, в свою очередь, отвечает от формирователя квадратурного клока для смесителя начиная с LVDS - триггер - смеситель - преампы на NE5532...А вот такой вопрос, Евгений: Помнится мне, в клонах SDR-1000, для точной регулировки баланса амплитуд, в одном из каналов (не помню, I или Q) в преампе была регулировка усиления в цепи обратной связи, можно было покрутить и задавить зеркалку в 0. А как у Вас этот вопрос решается на аппаратном уровне? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2793] Автор : ialexs Дата : 28.02.2018 08:37 ну еще бы, изменив немного частоту опоры - изменяется MCLK кодеков - соотв. они оказываются в другом месте ( То же и с опорой СИшки будет) ) С опорой сишки наверное нет , при замене резонатора опоры с 25 мгц на 27 мгц и подстройке генератора по нулевым биениям спура оставалась на том же месте, в остальном с вами согласен. Наверное резерв в улучшении есть ,можно попытаться повозиться с разделением цифровой и аналоговой земель ,особенно в районе кодеков и смесителей, но дело это очень хлопотное ,занимает много времени, а результат не всегда положительный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2794] Автор : R3DI Дата : 28.02.2018 09:50 ...и нет никаких щелчков(выше 112.5 МГц частоты гетеродина) при перестройке в отличие библиотеки... Интересно, выше 112.5 МГц одним чудо-делительем не обойтись, приходится ФАПЧ изменять, вот и щелчки - обязательно посмотрю как у Геннадия сделано. ...регулировка усиления в цепи обратной связи, можно было покрутить и задавить зеркалку в 0. А как у Вас этот вопрос решается на аппаратном уровне? Ну если есть желание регулировать именно на аппаратном уровне, то можно установить построечный резистор и крутить. Но в Маламуте тоже усиление для выравнивания каналов можно подстраивать через меню. Добавлено через 17 минут(ы): наверное нет , при замене резонатора опоры с 25 мгц на 27 что нет ??? как опору не двигай все на своем месте чтоли... речь шла об уходе от расченой частоты ... настройте СИ на 25 или 27 в опорнике, потом прижмите пальце или прогрейте хорошо кварц - что все будет на своем месте ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2795] Автор : ialexs Дата : 28.02.2018 10:38 Евгений все правильно, конечно убежит, но ведь и по нулевым биениям произойдет расстройка, когда программно подстроите частоту спура вернется на свое место. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2796] Автор : R3DI Дата : 28.02.2018 10:46 ialexs, о чем мы .... масло масленное :smile:... мой пост - пояснение на сдвиг опоры процессора, да и вообще опор, и почему при этом смещаются спуры. Давайте на этом остановимся, оно и понято что все при точном выставлении все будет на своих местах.:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2797] Автор : R3DI Дата : 28.02.2018 14:50 а я в свою очередь подготовлю описание "что делать если DSB...", с перечнем куда лезть,что смотреть ( постараюсь в ближайшее время ) вот, если это описание поможет, то попрошу админов прикрепить его в ТС. Сообщите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2798] Автор : Sergey_Lapin Дата : 28.02.2018 14:53 R3DI, Спасибо, Евгений! Вечером буду дома и внимательно буду читать и вникать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2799] Автор : R3DI Дата : 28.02.2018 16:30 библиотеку для Si5351 в своем проекте синтезатора для клона SDR-1000 и нет никаких щелчков(выше 112.5 МГц частоты гетеродина) при перестройке в отличие библиотеки Евгения применяемой в "Маламуте". Работает до 225 МГц без всяких проблем Попробовал, на УКВ особо не крутил, но действительно без перестройки ФАПЧ, точнее она есть но очень редко. Но она также есть и HF бендах и это не приятно ( 14068,8 14062,5 7034,4 7031,25 3777,73 3647,47 3517,2 3515,62 кГц - это не все, пробежался по некоторым) щелчки очень громкие. В библиотеке что использована у меня - до 56мГц приема (112 гетеродина) вообще нет обращения к перестройки ФАПЧ (ну кроме инита по включению). Для любителей слушать УКВ на Маламуте ( все что выше 56 мГц) наверное, можно сделать "гибрид" функций, но смысла в этом не вижу тратить на это время которого нет, для УКВ есть более подходящие устройства. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2800] Автор : UA7KJ Дата : 28.02.2018 18:40 Это УКВ не плохо было бы убрать совсем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2801] Автор : Sergey_Lapin Дата : 28.02.2018 19:32 R3DI, Добрый вечер! Сейчас проводил замеры осликом. Сигналы в противофазе соответствуют. Значения частот то же. А вот сдвиг по ходу не тот, у меня не ровно на половину, а на четверть. AC74 под замену да?283387 Соответственно на 6U1 идет такой же сдвиг. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2802] Автор : R3DI Дата : 28.02.2018 19:36 Sergey_Lapin, о как, а 2 щупа в один канал - картика одинаковая ?...АС ,не Lvc ? Хотя на 40 и она должна работать, может перемаркер... но сначала щупы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2803] Автор : UN7GDZ Дата : 28.02.2018 19:40 R3DI, Добрый вечер! ... Соответственно на 6U1 идет такой же сдвиг. А на входе триггеров какая картинка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2804] Автор : Sergey_Lapin Дата : 28.02.2018 19:54 R3DI, Сейчас попробую что то не догадался. Потому как мерил противофазные сигналы ровненько. Как у Вас на осциллограмме. Это как раз и есть вход триггеров если не ошибаюсь. В противофазе приходят. На один канал да одинаковые сигналы. Совместил лучи. А на разные каналы встаю....на четверть сдвиг. Сейчас попробую сдуть AC74 поменять. Брал в чип и дип. Придется с этой же ленты поставить. Других нету. Посмотрю что будет. Добавлено через 5 минут(ы): LVC74A Нашел в столе еще и AC74 новую. Попробую впаять сначало LVC74A если не изменится ни чего потом AC74. Или дальше копать буду. Обьвязку узлов смотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2805] Автор : R3DI Дата : 28.02.2018 20:01 Это УКВ не плохо было бы убрать совсем. А чем он так неугодил? Я вот частенько на работе слушаю. И к тому же это не обязательно УКВ, он сделан как ”плавающий” те сохраняет свою частоту при переключении в отличии от бендовых, на которых при выходе за границы, преключив туда-сюда будет частота середины бенда (если не выходить за границы то та на которой остановились). Это для себя и сделал инициализацию на УКВ - перекрутите на 40ку и будет всегда там. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2806] Автор : Sergey_Lapin Дата : 28.02.2018 20:22 Впаял LVC 74 c этой же ленты. То же самое, сдвиг на четверть.(( Сейчас впаяю AC74. Впаял...то же самое сдвиг на четверть. Где то я накосячил походу. Будем искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2807] Автор : R3DI Дата : 28.02.2018 20:52 Сергей, это уже становиться интересно, там и косячить особо то негде, ладно отсутсвует сигнал, задавлен.... но сдвинут не так :shock:, хотя про косяки - както запаял lvds наоборот, и работала, смотрю выходы странные, малые и со среднем уровнем :ржач:. Вот что посмотрите на триггере, выв 11,9 это просто делитель на 2, выв 3,5 тоже делитель, но вход его с выв.9 а клок в.3 инвертирован относительно в.11- вот и дожен в.5 иметь задержку относительно в.9 на 1/4 их периода. И еще, сбросьте резисторы пока с выходов триггера, те что на входы смесителей идут, на всякий случай. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2808] Автор : Sergey_Lapin Дата : 28.02.2018 21:33 Ок! Но это уже завтра. Сегодня все...голову сломал. Отдыхать надо)) Спасибо ребят Вам всем! Что не бросаете на едине с проблемой. Помогаете!!! Очень благодарен. Интересно. Прием то есть. Даже на FM таксеров послушал, правда не как рация, слабенько. Но ближних разбираю что сказал , нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2809] Автор : RK3AQW Дата : 28.02.2018 22:20 Sergey_Lapin, на входе триггера 74 что у вас на 3 и 11 выводах?должно быть 180 гр,если нет значит приемник не так работает sn65lvds или что там у вас стоит без разницы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2810] Автор : RA1CAC Дата : 28.02.2018 22:30 ...Интересно. Прием то есть...Посидел, тщательно проанализировал схему на LVC74 - ну никак в ней на может быть сдвига не=1/4 периода, при условии, что входные сигналы строго противофазны и соответствуют логическим уровням. Тем более, что массовая замена триггеров показала тот же результат. Такое ощущение, что сигнал LVD_C все же запаздывает. Мне кажется, надо внимательнее исследовать работу LVDS34D, ИМХО. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2811] Автор : RK3AQW Дата : 28.02.2018 23:57 RA1CAC, LVC74 одна из лучших,которая работает на таких частотах,где то видел в инете,как её на стенде пытали по сдвигу фаз,начиная с 25-26 мгц идет незначительный разбаланс,мой ослик до 200-т мгц,так что меандр толком только до 20-30 мгц, да и еще,ставил фильтр поле си-шки,меандр ну очень красивый получается,практически без горбов,но так как фильтр был слеплен из того что было,не подбирал,шел завал после 60 МГц,пока убрал его. п.с. вчера и сегодня поработал на 7-ке)на 15 ватт,отвечают,даже самому интересно стало,надо только релюху на передачу поменятьна типа герконовую,а то щелкает громко) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2812] Автор : Sergey_Lapin Дата : 01.03.2018 03:14 Доброго времени суток Всем! Вечером сниму осциллограмы по точкам и выложу. Будем думать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2813] Автор : RA1CAC Дата : 01.03.2018 07:34 LVC74 одна из лучших,которая работает на таких частотах,..Я хотел было сдуру сначала АС74 поставить, потом все же решил сравнить даташиты - пришлось LVC заказывать, в загашниках только АС были. ...ставил фильтр поле си-шки,меандр ну очень красивый получается,практически без горбов,но так как фильтр был слеплен из того что было,не подбирал,шел завал после 60 МГц,пока убрал его.После ФНЧ это уже кагбэ и не меандр. А горбы - это в щупе осцилла колебательные процессы проистекают - не стоит заморачиваться. Ну и если ФНЧ после си-шки ставить, то, я думаю, с частотой среза > 200мгц. Sergey_Lapin, а мне вот интересно, какая схема по входу LVDS34D у Вас применена? Я встречал три варианта: 1) С транформатором и делителем пол-питания, как у Автора. 2) Без трансформатора и с делителем пол-питания, сигнал сишки подается в точку LVD_A, делитель подключен в LVD_B. 2) Без трансформатора и без делителя, сигнал сишки в LVD_B а LVD_A закорочен по ВЧ конденсатором 0.1мкф. Какой у Вас? И нет ли желания сравнить варианты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2814] Автор : Sergey_Lapin Дата : 01.03.2018 08:02 Вот кстати у меня может не правельно...Может как раз тут и накуралесил. Без трансформатора. К компу доберусь сейчас и опишу что я там напаял. А и В у меня кстати закорочен резистором 200 ом. Делал по этой схеме. 10R10 10R14 10С26 идут как R17 R18 С205 283405 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2815] Автор : RA1CAC Дата : 01.03.2018 09:00 ...Без трансформатора....Т.е. вариант 2). Я вот что думаю - применение LVDS34D (приемник для двухпроводной линии с дифференциальным входом) оправдано в том случае, если после сишки применяем ФНЧ, а после ФНЧ еще и трансформатор - получается практически аналоговый сигнал, с которым LVDS прекрасно справляется. Но на мой взгляд, использование LVDS в качестве логического элемента с прямым и инверсным выходом - не вполне комильфо, тем более, что у LVDS внутри свои соображения по поводу, когда переключать выход 0/1, которые могут не соответствовать требованиям ТТЛ логики. Мне кажется, что для сишки без ФНЧ и трансформатора можно было бы что нибудь попроще использовать. Это просто мысли вслух. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2816] Автор : Sergey_Lapin Дата : 01.03.2018 09:22 RA1CAC, Ну да без трансформатора. По схеме что выше постом. Где то у меня еще наводки фигачат. Потому как без антенны шумит как примус. И на водопаде полоса жирненькая в нуле. Подозрение что где то некачественный кондер. Не обязательно тантал. Шумит да же от аккумулятора . Рокот идет как бы...что ли. Или возбуд где то. Кстати Увч не работает. Включаю, а он наоборот садит. ПЕ проверил переключает как положенно. Вечером буду обследовать осциллографом. По ходу ЕRA 3-sM спалил статикой. Пока ковырял плату. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2817] Автор : RA1CAC Дата : 01.03.2018 09:43 ... Шумит да же от аккумулятора . Рокот идет как бы...что ли.. Кстати, у меня монтаж платы в самом разгаре - ни кодеков, ни преампов, ни LVC64, ни LVDS пока нет. А вот как Вы пишете рокот - есть тоже слабенький в динамике. Если к уху поднести - слышно. Я подумал - от проца наводки? Х.З.? ...По ходу ЕRA 3-sM спалил статикой. Пока ковырял плату.Странно... там палить нечего - два n-p-n транзистора. Надо потребляемый ток проверить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2818] Автор : UA7KJ Дата : 01.03.2018 10:03 А чем он так неугодил? Я вот частенько на работе слушаю Да чтобы не задавали вопросы по приему FM станций. При включении bypass мы обходим дпф а вот фнч со срезом 28.8мгц включен.Нужно его тоже обойти или добавить еще один со срезом 100мгц. Если коснуться каким нибудь щупом к первичной обмотке трансформатора смесителя RX то прием нормальный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2819] Автор : UA9olb Дима Дата : 01.03.2018 10:06 ЕRA 3-sM спалил статикой Было 2 шт из разных мест ) не одна не заработала .Видно вообще брак перемаркировка чего только не понятно. С резисторами как по схеме было 90 ма пробовал уменьшить ток до 30 ма работало как аттюнюатор . Поставил УВЧ на другой микросхемке заработало с пол пинка . с 74ас74 была непонятка с сигналом CLK_I на всех бендах был на 24 мгц нет и даже в голову не приходит почему:( поставил 74LVC74 всё появилось) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2820] Автор : UN7GDZ Дата : 01.03.2018 10:28 По ходу ЕRA 3-sM спалил статикой. Пока ковырял плату. Кстати по УВЧ, не нашел я ERA-3 а заказывать не хотел(ну не нравятся мне эти усилители), и поставил AG603-89, подняв питание до 8 вольт и остальное по описанию. Еще не мерял но по S-метру около 20 дБ усиления дает и норм. Да и по посадочному месту она нормально так встала. 283408 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2821] Автор : Sergey_Lapin Дата : 01.03.2018 10:57 Есть BF93 новые. Оригинал. Ребят кто то говорил за них...что можно два составных сделать. Может кто схемку их обвязки набросает. Попробую их поставить. УНЧ кстати работает хорошо. Проверенно не возбуждается. Пробовал микросхемы по питанию проверить LM те что на кодек, на операционики,. Так выявил та что 5v касаюсь ножки пинцетом, где делитель из резисторов стоит аж затыкается все. Хотя напряжение норма, резисторы номинал соответствует. Где то по этой цепи кондер утечка по ходу. Так же не должно быть? Остальные LM норма. Все в покое ни какой реакции. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2822] Автор : RA1CAC Дата : 01.03.2018 10:59 ...поставил AG603-89, подняв питание до 8 вольт и остальное по описанию...Чем обусловлено +8в? До сих пор живая? У нее же максимально допустимое питание +7в. И оптимальная рабочая точка при питании +5.16в при токе 75ма. Sergey_Lapin, я думаю, LMку эту надо заменить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2823] Автор : ialexs Дата : 01.03.2018 11:37 Смысла нет, нужно только ток резистором подобрать. Не хуже работает и AG604. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2824] Автор : UN7GDZ Дата : 01.03.2018 11:38 Чем обусловлено +8в? До сих пор живая? У нее же максимально допустимое питание +7в. И оптимальная рабочая точка при питании +5.16в при токе 75ма. 283410 ну и 8 вольт по тому что есть стабилизатор на плате а от 3.3 и 5 вольт не работает совсем. При напряжении ниже 5.2 вольта резко падает усиление(практически в 0). Не хуже работает и AG604. Они так то почти близнецы но 604 немного больше усиления имеет чем 603, а по подключению один в один. Просто в столе лежат AG603... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2825] Автор : Sergey_Lapin Дата : 01.03.2018 14:47 Так выявил та что 5v касаюсь ножки пинцетом, где делитель из резисторов стоит аж затыкается все. Хотя напряжение норма, резисторы номинал соответствует. Где то по этой цепи кондер утечка по ходу. Так же не должно быть? Пардон не 5v , a 7v.....обшибся!))) Заменить пока не чем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2826] Автор : R3DI Дата : 01.03.2018 15:10 Сергей, если не затруднит, прикрепите фото этой области ( не5532 и может двдс и74, а может просто 2 фото ), может чего "другим" глазом заметим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2827] Автор : Sergey_Lapin Дата : 01.03.2018 15:35 Вообщем докладываю: Немного было время от времени побыть у Маламута. Что было сделано: Перепрошил последней версией. Сделал сразу чистку Fram. Затем нашел косяк у меня по схеме выше без транса. Схемку сейчас прикреплю.283422 Так вот. Получается R18 R17 C205 которые являются как 10R10 10R14 и 10С26 висели в воздухе. Поставил перемычку с среднего вывода транса, так как транса то нет. Теперь эта точка подключена к LVD B. Как надо. Двоиного приема практически нет теперь. Подавление есть но немного остаточное есть чуток. Надо найти видео Евгении Вы снимали , что в меню можно подавить еще. Далее...осликом пока не смотрел смещение, посмотрю позже. А вот с УВЧ совсем беда. Приложу так же вечером видео. Ну и фото платы мест данных сделаю. То же приложу че я там накуралесил. Да Евгений подскажите, нужен ли резистор 6R7? У меня он не стоит.Какой резистор 6R25 и 6C22 то же ставить при возбуде УВЧ. Сейчас 6R25 не стоит но стоит 6С22- 18пФ Пардон 6R7 поставил для запитки средней точки транса стоит 100 ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2828] Автор : RA1CAC Дата : 01.03.2018 15:45 ...Поставил перемычку с среднего вывода транса, так как транса то нет. Теперь эта точка подключена к LVD B. Как надо. Двоиного приема практически нет теперь. Подавление есть но немного остаточное есть чуток. О! ч.т.д. Можно сделать вывод, что баланс фаз теперь такой как надо. Поздравляю, Сергей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2829] Автор : Sergey_Lapin Дата : 01.03.2018 15:49 ЭТО СПАСИБО ВАМ РЕБЯТ!!!!! Кто помогал и направлял в нужном направлении. ВСЕМ СПАСИБО!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2830] Автор : UA4FTA Дата : 01.03.2018 17:35 Сергей,посмотрите,какой ток потребления на ERA,у меня на 2-х платах при указанных на схеме номиналах,ток был 14 мА и увч был аттенюатором.В конечном результате на обеих платах убрал сопротивление 51 ом(ставил и 10 и 15 ом-не помогло) и поставил индуктивность 10 мкГн,меньше не было и ток стал на одной плате 24 мА,а на другой 30 мА-и всё стало нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2831] Автор : Sergey_Lapin Дата : 01.03.2018 17:41 UA4FTA, Спасибо! Посмотрю. В то то и дело. Когда вкдючишь ATT8 скажем хотя бы. Или по кольцу пробежишь выключив его. Нажимаю следом Увч включение срабатывает. Выключаю. Второй раз уже включается но как Вы заметили садит, т.е. уже срабатывает как антеннюатор))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2832] Автор : РУСИЧ Дата : 01.03.2018 19:35 Sergey_Lapin, У меня так!:oops: https://www.youtube.com/watch?v=xRmmmV3ioDc& t=15s -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2833] Автор : Sergey_Lapin Дата : 01.03.2018 20:03 283450283451РУСИЧ, Ого какой у Вас прием оболденный. Мне только мечтать о таком здесь. Правда антенна никакая у меня. УВЧ у меня работает криво. Мерил ток потребления. 10 ма. Убрал 51 ом. Поставил 10 ом. Поднялся до 24 ма. Поставил дроссель на 33 мкгн. Ни че не поменялось. Все так же работает. При чем если УВЧ включаю на корреспонденте то прием сразу пропадает а шумы и водопад возрастает. Как то так. Вообщем решил сдуть эти участки полностью. УВЧ смеситель NE5532, кодек. Отмыть плату хорошенько. Просмотреть. Заказать комплектующие. Новые. Устанавливать по участкам и сразу буду осликом смотреть. Так наверное лучше будет. Где то косяк... Евгений! Выше то что я напаял блин. Транс может у меня тонким проводом намотан. Хотя сомневаюсь что дело в трансе на смесителе. Добавлено через 6 минут(ы): РУСИЧ, А где в меню спектр так переключается. Без водопада. ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2834] Автор : R3DI Дата : 01.03.2018 20:12 Sergey_Lapin, Сергей, шумы - это на возбуд похоже, транс скорее тут не причем. Каким флюсом пользовались, не ТТ случаем ? А про водопад, в меню дисплея количество линий убрать можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2835] Автор : Sergey_Lapin Дата : 01.03.2018 20:15 R3DI, Флюс не не тт. Читал здесь за него. У меня с китая RMA-223. Или попробовать отмыть и пропаять хорошенько. Участки подозрительные или сдуть. И по новой не торопясь запаять. Сейчас еще выложу видео как стало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2836] Автор : RK3AQW Дата : 01.03.2018 20:36 Sergey_Lapin, Р•RA 3-sM чего там статикой палить?Там типичный дарлингтон причем с резистором межу базами,при его установке надо переменник ставить и ток установить 10-15 мА вроде,уже не помню сейчас.по даташиту ток 35 ма так и не стал ставить шумы полезли,усиление 22 дб выдает,монтаж у вас конечно...надо все мыть хорошо, Сергей не помню ера я вам высылал?Если да то рабочие 100% 2 штуки пробовал у себя п.с. 2 дня боролся с вещалкой на 7-ке,куда валкодер не крутну она стоит как вкопанная,хотя и станции ловило,непропай на 1-й ноге 3253) вот так:crazy:,а до этого 3 месяца работало на прием как надо))) Sergey_Lapin, Сергей я конечно не последняя инстанция,но что то мне кажется у вас ПЕ-шки левые судя по фото,ноги очень длинные или мне так на фото видиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2837] Автор : UA4FTA Дата : 01.03.2018 20:41 Cергей-это мне высылали,как работают,писал выше-всё нормально,подтверждаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2838] Автор : Sergey_Lapin Дата : 01.03.2018 20:55 Да PE как раз переключают чет ко. С китайскими отличаются ровными надписями. Они не паянные. Мне их с Нижнего Новгорода друг прислал. А он нашел на радиорынке у кого то. 120 шт. Похоже на оригинал. Правда я истенный Америкосовскии оригинал лично в руках не держал достоверно. Но осликом смотрел все переключает. Тем более АТТ чет ко переключает то же. А УВЧ переключение идет но...сигнал наоборот и водопад уровень падает. Работает как антеннюатор. А если включить как описывал ранее пройтись по кругу включая АТТ и выключив его. То водопад возрастает на клетку примерно. Но прием тогда пропадает. И да же с водопада корреспондента сигнал. Я и ребятам высылал по 30 шт. именно их. Фуфло непроверенное не стал бы высылать. Так что PE не причем. Вот монтаж скорее всего у меня где то косяк. Или не пропаи или сопля под деталью. Сдувать по участко буду. И впаивать не торопясь. Добавлено через 9 минут(ы): При чем УВЧ включается только один раз. После проделанных выше операции с АТТ. Второй раз уже если выключить его. То работает как АТТ. Если снова сделать то же самое и так по кругу)))Блин...то опять один разок включится но опять же коряво как то. Уровень шумов на водопаде возрастает, а сигнал назову его полезным , пропадает . https://youtu.be/teYZplINsrg Вот прием днем снимал. Так теперь у меня стало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2839] Автор : RK3AQW Дата : 01.03.2018 20:55 Sergey_Lapin, тогда все мыть и искать непропай,если есть фен,то жидкий канифоль на спирту и феном все посадить ровно на места,это не тот аппарат который не должен не запуститься:пиво: о пока писал)вспомнил,6R25 поставьте 10-100кОм у вас 6U4 может не запускается,писали тут об этом,была такая бяка,то же УВЧ через раз включался))вспомнил:lol: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2840] Автор : Sergey_Lapin Дата : 01.03.2018 21:04 RK3AQW, Да я феном и паял. Правда пасты нет. Дак я наносил припой на площадки платы и припаивал феном. Может где то скорее всего или не пропай в результате. Вообщем мыть и еще раз мыть. Не торопясь потом на место все впаивать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2841] Автор : R3DI Дата : 01.03.2018 21:06 Sergey_Lapin, точно RK3AQW заметил, за трансом не сразу разглядел, была така проблема, попадаются ера у которых рабочие напряжение выше (на ера 5 похоже), так вот им коллекорный резистор меньше нужен, а напяжение получается выше ПЕшки, вот она и затыкается, потому в версиях и добавил резистор 6R25. PS .из опыта, о науки о контактах (и не пропае), первая проверка - это легкое постукивание ручкой отвертки по разным местам платы, если при этом что вылазит.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2842] Автор : UT5QBC Дата : 01.03.2018 21:08 Вопрос к автору почему не убрать после si5351 не нужный хлам (DS90LV028A,74LVC74), использовать квадратурный выход с si5351 и подключать непосредственно на на входа смесителя. То что говорю проверено, используется на 9ти диапазоном трансивере прямого преобразования. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2843] Автор : R3DI Дата : 01.03.2018 21:11 UT5QBC, потому что ответ в теме, да и Вас никто не заставляет их использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2844] Автор : RA1CAC Дата : 01.03.2018 21:11 ...вкдючишь ATT8 скажем хотя бы. Или по кольцу пробежишь выключив его. Нажимаю следом Увч включение срабатывает. Выключаю. Второй раз уже включается но как Вы заметили садит, т.е. уже срабатывает как антеннюатор)))Сергей, а если при таком кольцевом переключении контролировать сигналы управления ПЕшек, переключающих АТТ/PRE, причем прямо на 4-тых ногах? Может это как-то прояснит картину? По крайней мере чтобы исключить непропай/залипуху в их управлении. ЗЫ. Я уже однажды здесь на сайте был слегка подвергнут остракизму, за такое замечание, но убеждения своего не поменял. Обратил внимание на видео Русича, что панорама и S-метр меняются при переключении АТТ/PRE. Позвольте, друзья, но ведь сигнал в антенне от переключений АТТ/PRE не изменяется! А назначение панорамы и S-метра - показывать картину эфира как можно ближе к истине.Если мы, принимая станцию на 59, включаем PRE, и при этом получаем показания S = 59+20, то это же не значит, что сигнал станции стал на 20дб мощнее. На мой взгляд, от состояния АТТ/PRE должен зависеть ТОЛЬКО звук в динамике. Собственно, для этого АТТ/PRE и предназначены. Ну а поток данных панорамы и S-метра можно легко корректировать на величины затухания/усиления, т.к. в д.сл. они - константы. Кстати, помнится мне в SDR-1000 так и было - показания S-метра и панорама не зависели от состояния АТТ/PRE. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2845] Автор : UT5QBC Дата : 01.03.2018 21:14 UT5QBC, потому что ответ в теме, Ткните носом. Просто интересен ответ почему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2846] Автор : ialexs Дата : 01.03.2018 21:17 Уважаемые, цеплять кусок проволоки непосредственно на ключи без фильтров,согласования по сопротивлению, с неизвестными наводками на антенну и ждать чего то приличного ? Я вашим ключикам не завидую , это далеко не механические релюшки. УВЧ выполнена на свч микросхеме...., при не правильном монтаже заводится , а вы туда кусок проволоки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2847] Автор : Sergey_Lapin Дата : 01.03.2018 21:20 RA1CAC, Да сегодня уже наверное не смогу, при таком кольцевом переключении контролировать сигналы управления ПЕшек. Максимум на что сил осталось это впаять резистор. Попробовать что изменит. А потом спать.... А завтра отмывать. И контролировать))) Проводить замеры. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2848] Автор : R3DI Дата : 01.03.2018 21:20 RA1CAC, 2 вопроса, - кроме 1000го промтрансиверы какие так делают? Те что были у меня S метр реагировал. И ворой, логика не ясна, вот на ВЧ, спектр в нулях, включаем преамп, слабые станции теперь начинаем слышать , но по прежнему не видеть ?.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2849] Автор : Sergey_Lapin Дата : 01.03.2018 21:24 ialexs, То же думал об этом уже. Что при такой антенне как у меня она весь мусор гребет. По этому возбуды. Ведь нет ДПФ у меня еще. Хотя бы согласующее было бы. А так .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2850] Автор : R3DI Дата : 01.03.2018 21:28 UT5QBC, применяют от ad9850.51.9951..4, si 5351 потому и iq формирователь, iq с сишки ограничение по НЧ (и вроде по ВЧ , вроде точно не до 112) и индивидуальными проектами заниматься некому, может чего еще было, это то что вспомнил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2851] Автор : RA1CAC Дата : 01.03.2018 21:34 ...кроме 1000го промтрансиверы какие так делают? Те что были у меня S метр реазировал...Есть такие, навскидку не скажу, по моему Ten-Tec (в руках не держал). Но Ваша правда - основная масса аппаратов реагирует. В т.ч. и мой базовый - IC7410. Меня это удручает. И кстати, это основной аргумент моих оппонентов. Но это же не значит, что это правильно. ...логика не ясна, вот на ВЧ, спектр в нулях, включаем преамп, слабые станции теперь начинаем слышать , но по прежнему не видеть ?..Ну это скорее вопрос чувствительности и диапазона механизма панорамы. Если станция слышна без преампа, то и видна она тоже должна быть. С преампом она должна звучать громче, но панорама должна остаться такой же. А если без преампа станция не видна на панораме, то и с преампом ее не должно быть видно - знать не судьба. Зато мощность сигнала этой станции не вызывает иллюзий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2852] Автор : RK3AQW Дата : 01.03.2018 21:40 R3DI, 2841 про науку о контактах+++++)так же вещалку ,наводку на смеситель на 7-ке удалял:crazy:теперь уже не знаю сначала феном,а потом паяльником или наоборот:ржач::ржач::ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2853] Автор : EU1SW Дата : 01.03.2018 21:43 без преампа станция не видна на панораме, то и с преампом ее не должно быть видно Не совсем так, при включении преамп станция может появится на панораме и стать слышимой. Это лишь вопрос чувствительности аппарата с/без преамплифаера, диапазона, и антенны. Много переменных, что бы сделать однозначный вывод, как в цитате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2854] Автор : RK3AQW Дата : 01.03.2018 21:45 del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2855] Автор : RA1CAC Дата : 01.03.2018 21:47 Не совсем так, при включении преамп станция может появится на панораме...Да, потому что сейчас показания панорамы зависят от состояния АТТ/PRE и по сути дела показывают сигнал после ATT/PRE, а не в антенне, вернее в антенном гнезде трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2856] Автор : RK3AQW Дата : 01.03.2018 21:55 Sergey_Lapin, впаяйте и все заработает) UT5QBC, в начале темы где-то было,там товарищ хотел еще фильтр вкорячить по пч на 8 мгц:ржач: RA1CAC, 2851 пост,icom756 ft857d из того ,что у меня фирменного,все сделано так же как и у Евгения у 756 так же панорама и S-metr реагируют на ATT &PReam -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2857] Автор : R3DI Дата : 01.03.2018 21:58 RA1CAC, по мне так это излишества, сигнал в антенне.... а в какой антене, они разные бывают, а каким кабелем ? и действительно много переменных, все в программу для крректировок водить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2858] Автор : RA1CAC Дата : 01.03.2018 22:01 ...icom756 ft857d из того ,что у меня фирменного,все сделано так же как и у Евгения у 756 так же панорама и S-metr реагируют на ATT &PReamДа знаю я, говорю же - большинство аппаратов так построено. Но на мой взгляд, это неправильно. Разумеется, последнее слово за Евгением. Как он решит так и будет. Я просто высказал свое мнение по этому вопросу. ...много переменных, все в программу для крректировок водить?Никаких переменных, усиление преампа, затухание АТТ - величины постоянные и известные - константы. Можно просто добавлять поправки в поток данных панорамы и S-метра, усиление - с минусом, затухание с плюсом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2859] Автор : EU1SW Дата : 01.03.2018 22:03 Да, потому что сейчас показания панорамы зависят от состояния АТТ/PRE и по сути дела показывают сигнал после ATT/PRE, а не в антенне, вернее в антенном гнезде трансивера. Да, это не очень хорошо, когда показания зависят от включения АТТ/PRE, на мой взгляд это совершенно элементарно корректируется в ПО. Но вы не задумывались над ситуацией, когда сигнал с антенны на входе трансивера ниже уровня шумов трансивера с выключенным преамп? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2860] Автор : RK3AQW Дата : 01.03.2018 22:08 RA1CAC, А чего же не правильно?Все правильно,прибавил усиление -слышишь и видишь(панорама) на сколько прибавил,убавил -обратная последовательность действий головного мозга:smile: а так можно на панораму смотреть ,особенно на ВЧ и видеть фигуру из трех пальцев и не более,я для себя это так принимаю.Для того и панорама ,чтоб станции вылавливать,которые ухо моГет пропустить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2861] Автор : RA1CAC Дата : 01.03.2018 22:14 ... вы не задумывались над ситуацией, когда сигнал с антенны на входе трансивера ниже уровня шумов трансивера с выключенным преамп?#2851 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1505598&viewfull=1#post1505598) ...Для того и панорама ,чтоб станции вылавливать,которые ухо моГет пропустить.А вот это спорный вопрос - на мой взгляд более вероятна обратная ситуация, когда станцию слышно но не видно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2862] Автор : Serg Дата : 01.03.2018 22:16 >2 вопроса, - кроме 1000го промтрансиверы какие так делают? Делают все "компьютерые СДР" начиная от флекс-1000 и другие с прямой оцифровкой. Делают некоторые трансиверы американских фирм: Элькрафт, Тен-тек, Орион (кстати в одном орионе зеленая шкала частоты очень похожа на вашу маламутовскую http://www.rigpix.com/tentec/orionii.htm ). Делает даже самодельный контроллер трансивера в соседней ветке "синтезатор на си-шке и атмега2560"! Короче, если там место есть в контроллере - можно делать... Немного шаблон в сознании пользователя будет по началу разрывать, но потом станет привычно и удобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2863] Автор : RK3AQW Дата : 01.03.2018 22:17 #2851 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1505598&viewfull=1#post1505598) А вот это спорный вопрос - на мой взгляд более вероятна обратная ситуация, когда станцию слышно но не видно. а водопад для чего тогда? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2864] Автор : ua9ylu Дата : 01.03.2018 22:18 что б убедится в работе увч, нагрузите и вход и выход на 50 ом, без пешек,то что вы рассказываете это возбуды а они бывают от несогласованных нагрузок входа и выхода. Если в таком виде работает ,ищем проблему , скорее пешки в обходе увч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2865] Автор : RA1CAC Дата : 01.03.2018 22:21 а водопад для чего тогда?Дык водопад - неотъемлемая часть панорамы - панорама развернутая во времени. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2866] Автор : RK3AQW Дата : 01.03.2018 22:22 Serg, они ракетный двигатель сделать не могут, а вы про трансиверы)))у них все там за болотом на острове не так:ржач:(шутка конечно) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2867] Автор : RA1CAC Дата : 01.03.2018 22:26 ...а вы про трансиверы)))у них все там за болотом на острове не так:ржач:(шутка конечно)"А вот здесь мы их, батенька и попгавим"(с):ржач: В конце концов, измерять силу сигнала в трансивере после преампа - это тоже оттуда приползло... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2868] Автор : ua9ylu Дата : 01.03.2018 22:27 А вот это спорный вопрос - на мой взгляд более вероятна обратная ситуация, когда станцию слышно но не видно. как раз это не спорный вопрос, опыт работы на луне, что бы найти слабые сигналы еще до цифрового времени применялись визуальные водопады а уж потом ушами сигналы слушались. И сейчас сигналы в цифре чаще не слышны и находятся ниже уровня шумов но прекрасно видны))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2869] Автор : RA1CAC Дата : 01.03.2018 22:34 ...сигналы в цифре чаще не слышны и находятся ниже уровня шумов но прекрасно видны)))Дык то в цифре - узкополосный сигнал. При определенной настройке водопада его действительно легко увидеть. SSB гораздо сложнее увидеть "из под помех". И кстати, Евгений задавал вопрос о ситуации, когда станция слышна с только преампом, но не видна на водопаде. ЗЫ. Все, парни, давайте завязывать оффтоп. Жизнь показывает, что есть приверженцы и того и другого вариантов измерений сигнала. Моих сторонников меньше - ну дык стереотип сложился давно - отказаться от него сложно. Я свое мнение высказал - переубеждать никого не собираюсь. И вообще - как Евгений скажет - так и будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2870] Автор : UA0BHC Дата : 02.03.2018 03:10 Делают некоторые трансиверы американских фирм: Элькрафт В элекрафте К2 S-метр реагирует на УВЧ и аттеньюатор Добавлено через 5 минут(ы): На фото Sergey_Lapin увидел что при сишке не впаивается цепочка 10L1-10L3, 10C28-10C31, 10C34-10C37. А я впаял, потому что мне сказали, что после сишки сигнал должен поступать на LO через конденсатор. Не будет ли хуже теперь, или чего - разбирать всё что-ли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2871] Автор : Sergey_Lapin Дата : 02.03.2018 05:12 UA0BHC, Вот про цепочку с элементами не скажу. Лучше или хуже. Во всяком случае у всех работает без этой цепочки, кто сделал на прямую без фильтра. Просто через конденсатор на LO. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2872] Автор : ut29641 Дата : 02.03.2018 05:26 Sergey_Lapin,Если при включении УВЧ садит прием,эра в мусорку. Тоже одну спалил,не понял только как.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2873] Автор : Sergey_Lapin Дата : 02.03.2018 05:30 ut29641, Да вот и я к этому склоняюсь что пипец ей пришел. Жаль заменить то нечем ее. Одну штуку на сайте выклянчил у ребят. И ту угробил. Буду заказывать в китае. Ждать долго правда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2874] Автор : RA1CAC Дата : 02.03.2018 07:33 ...включишь ATT8 скажем хотя бы. Или по кольцу пробежишь выключив его. Нажимаю следом Увч включение срабатывает. Выключаю. Второй раз уже включается но как Вы заметили садит, т.е. уже срабатывает как антеннюатор)))Вполне возможно, что в этот момент переключающие ПЕшки заперты зарядом на конденсаторах 6С5, 6С6. Сергей, если хотя бы иногда УВЧ получается включить, значит ЕРА - исправна. Тем более, что рабочий ток ее выставился нормально. А смотреть надо сигналы управления. И Евгений подсказывал уже не раз, что ПЕшки в этом месте обладают плохо вычисляемым глюком, который лечится установкой 6R25. Или я бы еще попробовал закоротить 6С7 и 6С19. И 6С5, 6С6 я бы попробовал поставить как положено по даташиту = 1000пф. Как говорил один персонаж из фильма: "Тарапися нэ нада...". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2875] Автор : Sergey_Lapin Дата : 02.03.2018 07:54 Да. ERA не спаленная. Убедился. Работает отлично. Где то косяк в переключении. Сейчас видио загружу на ютуб и выложу Как у меня Работает. Надо рыть какая PE затыкается. 6R25 поставил 75 ком. Не помогло. Так же все. Добавлено через 13 минут(ы): RA1CAC, Выявил замкнул 6С7 ВСЕ РАБОТАЕТ ТЕПЕРЬ КАК НАДО. И че делать? Так и оставить замкнутым или емкость уменьшить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2876] Автор : RA1CAC Дата : 02.03.2018 08:04 Оставить замкнутым. Более того, на мой взгляд, надо замкнуть еще и 6С19. Или как вариант: оставить 6С7 и 6С19 на своем месте, и поиграть значением 6R25 - уменьшить до 10к, или вообще до 1к. (Такое же 6R25 присобачить к 1-й ноге 6U12). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2877] Автор : Sergey_Lapin Дата : 02.03.2018 09:01 Попробую. Потом отпишусь. Спасибо ! И Вам ребят всем спасибо! Что помогаете! Уже становится не так грустно. А то совсем печалька одолела)) Надо будет еще смеситель потом перемотать, а то колечко бог весть какое. Заказал 600 нн как положено. Добавлено через 52 минут(ы): https://youtu.be/0D7Fi1BpBD4 Вот так работал УВЧ. Установленны 6R25 75ком. 6С22 18пф. Не помогало. Все стало переключатся нормально. Решилось временно замыканием ( установил 0) вместо конденсатора 6С7. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2878] Автор : UN7GDZ Дата : 02.03.2018 10:14 Приветствую всех! Нашел таки era3 заменил ag603 и резистор задающий ток. По экрану разницы не вижу, может анализатор чего покажет. Пока так оставлю. Как доберусь АЧХ мерить проверю оба варианта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2879] Автор : Sergey_Lapin Дата : 02.03.2018 10:27 UN7GDZ, Спасибо Вам! Что помогали! Да и Вам всем ребят спасибо огромное! Что тратили свое время и помогали в решение проблем в сборке Маламута! Еще доводить его до ума в МОЕМ случае надо. Но да же как сейчас, уже радует! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2880] Автор : RA1CAC Дата : 02.03.2018 10:33 ...Еще доводить его до ума в МОЕМ случае надо. Но да же как сейчас, уже радует!Погодите, Сергей. В Вашем последнем видео - прием зеркалки просто жуткий, и еще полоса эта посередине. Однако на видео я все же разглядел перемычку от делителя пол-питания на посадочном месте трансформатора. Получается, что подключение делителя к точке LVD_A не сильно помогло? Или я чего-то не понял и это просто устаревшее видео? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2881] Автор : Sergey_Lapin Дата : 02.03.2018 10:44 Нет у меня без трансформатора.... Получается точка А это LO с SI5351 через емкость 0.1 А точка Б подключены цепочки 10R10 10C26 10R14 которые выше я писал и привел схему по какой собирал. Сейчас под рукой нет просто. С телефона не удобно писать и искать. Ранее точка В вообще никуда не была подключенна получается, так как трансформатора нет. Эти цепочки идут на среднии вывод транса. Поэтому установил перемычку. Что бы шли на LVD B. А с подавлением еще надо будет разбиратся. Но было вообще мама не горюй. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2882] Автор : RA1CAC Дата : 02.03.2018 11:14 Нет у меня без трансформатора.... Эти цепочки идут на среднии вывод транса. Поэтому установил перемычку. Что бы шли на LVD B. А с подавлением еще надо будет разбиратся. Но было вообще мама не горюй.Этот момент я помню. Но поскольку зеркалка все же есть, предлагаю попробовать еще один вариант: из делителя 10R10, 10R14, 10C26, - резисторы убрать, оставить только 10С26, предоставив таким образом разбираться со смещением внутреннему делителю LVDS34D. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2883] Автор : ialexs Дата : 02.03.2018 12:49 Не понятно, как без трансформатора или делителя вы обеспечите работу. Этот вопрос уже рассматривали на этом форуме, зачем захламлять тему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2884] Автор : stari4ok Дата : 02.03.2018 13:03 TU Sergey_Lapin вот ознакомьтесь,думаю не лишне http://ur8qp.com/publ/1-1-0-46 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2885] Автор : RA1CAC Дата : 02.03.2018 13:04 Не понятно, как без трансформатора или делителя вы обеспечите работу..При помощи встроенного делителя. ...Этот вопрос уже рассматривали на этом форуме... Можете подтвердить ссылкой? ...зачем захламлять тему.У человека проблема - просто пытаемся помочь. Кстати, непонятно к чему Вы показали таблицу истинности из даташита. Vid - это напряжение МЕЖДУ дифференциальными входами. stari4ok, кстати, да, схема без внешнего делителя - оттуда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2886] Автор : stari4ok Дата : 02.03.2018 13:14 Ну да,так и есть,и оно работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2887] Автор : ua3ycv Дата : 02.03.2018 13:34 парни вопрос на засыпку-как при помощи ст-линка заливать программу-на процессор надо подавать напряжение?-что то на ровном месте "забуксавал":-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2888] Автор : RA1CAC Дата : 02.03.2018 13:40 На Ядиске файл Proc.doc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2889] Автор : ua3ycv Дата : 02.03.2018 13:44 На Ядиске файл Proc.docа где там о "подаче напряжения"?вот и я про то. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2890] Автор : Sergey_Lapin Дата : 02.03.2018 13:44 stari4ok, Спасибо за ссылку на статью! Полистал, почитал. Но пока некогда стало, отложил Маламута в сторонку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2891] Автор : RA1CAC Дата : 02.03.2018 13:45 ua3ycv, подавать напряжение на процессор надо - т.е. просто включить трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2892] Автор : UA0BHC Дата : 02.03.2018 13:56 на процессор надо подавать напряжение? я подавал https://www.youtube.com/watch?v=6o1MFHOeiDM смотреть с 9:00 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2893] Автор : ua3ycv Дата : 02.03.2018 14:34 парни спасибо-уже "залил" прошивку-и сразу велезли "косяки" монтажа-решаю:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2894] Автор : RX9UAO Дата : 02.03.2018 14:44 Del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2895] Автор : EU1SW Дата : 02.03.2018 15:47 И вообще - как Евгений скажет - так и будет. прошу прощения, не читал всю тему, исходники не выкладывались? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2896] Автор : UA0BHC Дата : 02.03.2018 15:56 исходники, но без файл-проекта на Ядиске (Source_Malamute_SDR_V2.0.zip) https://yadi.sk/d/QuyGdEwM3H4HcB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2897] Автор : UN7GDZ Дата : 02.03.2018 15:56 Приветствую всех! По вопросу питания, пробовал ставить и 7805 и модули как у Евгения (оба варианта), и ни один вариант не устроил. По очереди: 7805 ппц как греется, блин не приемлемо, так много тепла в корпусе - не хорошо. модули дц/дц как у Евгения, не синхронный шумноват и тоже ощутимо греется. Синхронный просто шумноват, надо что-то городить.... И тут на глаза попался модуль NSD10-12S5 по мощности может и перебор))) (10 ватт), но как говориться дареному коню, да хоть без зубов)))) Вот Эта железяка практически не греется (25-35 градусов за несколько часов), и по шумам сравнима с 7805. Надо попробовать NSD05-12S5, если тож греться не будет то его и оставлю(вчерась он мне достался). Как-то так) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2898] Автор : ialexs Дата : 02.03.2018 16:10 Кстати, непонятно к чему Вы показали таблицу истинности из даташита. Чтобы человек понял при каких условиях происходит переключение усилителя. Я лично не вижу смысла лишний раз греть плату и убирать делитель ,для данной микросхемы, что с ним, что без- разницы никакой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2899] Автор : RK3AQW Дата : 02.03.2018 17:52 UN7GDZ, поставить перед 7805 -7809 на один радиатор)и греться не будет,или 317-ю,есть и 5А-е ma7805 корпусе из металла,да и еще бы она не грелась,12-14 вольт на вход идет при максимальных 15-ти -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2900] Автор : UN7GDZ Дата : 02.03.2018 18:04 Все относительно, если питать от аккумулятора то напряжение может снизиться и до 10-11 вольт, при таком напряжении 7809 перестанет стабилизировать и на ней будет падать больше 3-х вольт что в свою очередь приведет к падению напряжения на входе 7805 и естественно на выходе получим меньше чем 5 вольт и как следствие неизвестно как себя поведёт схема в целом. При питании от источника на котором напряжение заведомо больше чем 9+3 вольта то да как вариант, хотя тепла выделяться будет столько-же если не больше, только не на одном корпусе а на двух, вся разница. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2901] Автор : dadigor Дата : 02.03.2018 18:21 исходники, но без файл-проекта на Ядиске (Source_Malamute_SDR _V2.0.zip) Посмотрел внимательно, файл проекта там как раз есть - SDR_V2.0.ewp, (the project file (*.ewp)) , нет файла рабочей среды SDR_V2.0.eww (the workspace file (*.eww) ). Так что запустить проект наверное можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2902] Автор : РУСИЧ Дата : 02.03.2018 18:52 Где то косяк в переключении. Сергей прочитал Вашу проблему может поможет, ну я наступал на Ваши-Наши грабли))) Увч работал как АТТ((( Я сделал так!!! Нагрузил вход на 10 ком и вместо 50 ом поставил 5,1 ом, и развязал управление на реле( дополнительный конденсатор 0.1 мкф! Все я обозначил на фото!283541 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2903] Автор : Sergey_Lapin Дата : 02.03.2018 19:13 На реле? У Вас реле...или я чет не догнал про реле. Которое реле вы имеете ввиду? Попробую...Спасибо! Но надо все же сдувать участки и перепаивать. Отмыв предварительно. Пока руки не доходят до Маламута. И танталы заказал не с китая. Ждемс... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2904] Автор : РУСИЧ Дата : 02.03.2018 19:24 Sergey_Lapin, РЕ4259 твердотельные)))) На реле? У Вас реле...или я чет не догнал про реле. Которое реле вы имеете ввиду? РЕ4259 да в роди вот про эти ))))Будь они не ладные))) А вот как развязано конденсаторами управление в Flex- 1500! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2905] Автор : Sergey_Lapin Дата : 02.03.2018 20:10 А то я прочитал... И развязал там управление на реле( дополнительный конденсатор 0.1 мкФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2906] Автор : RK3AQW Дата : 02.03.2018 21:23 UN7GDZ, снизьте напряжение до 6 вольт)и он работает ,правда на прием только:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2907] Автор : RK3AQW Дата : 03.03.2018 00:54 вот так на выходе ,питание 24 вольта,фото выходного тр-ра,первичка 2 витка, вторичка 3 витка,все трансфлюкаторы методом тыка подбирал(на прием даже работали похожие на BN43-2402 ,купленные на ebay у какого-то Грека ,который меня нагрел с ними,проницаемость 20-30 была,сейчас родной амидон от Андрея из Ульяновска) количество витков осталось как у автора и в ТХ и RX,то бишь 3+3Х3 на BN43-2402 самое оптимальное нагрузка резистор 50 Ом 250 ватт до 4 ГГц средне напряжение порядка 100-120 вольт пик-ту-пик имд пока не проверял -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2908] Автор : R3DI Дата : 03.03.2018 01:24 RK3AQW, а я так и не пробовал 24 подать, БП не позволял, теперь есть хороший БП,нужно будет попробовать (хотя там где бп, на работе, у меня рд15е). А чего ИМД сразу не посмотрели, таким осциллографом ведь сразу можно это сделать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2909] Автор : RK3AQW Дата : 03.03.2018 02:14 R3DI, Жень ,ночи доброй,завтра выложу,никак не пойму как на этом ослике изображение сохранить в читаемом виде,чтоб телефоном не щелкать, Как подал 24 В, сразу АЧХ выравнилась :ржач:,надо еще конденсатор подобрать,компенсация на ВЧ. Добавлено через 37 минут(ы): ну как-то так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2910] Автор : UA0BHC Дата : 03.03.2018 02:22 RK3AQW BN43-2402, купленные на ebay у какого-то Грека ,который меня нагрел с ними,проницаемость 20-30 была,сейчас родной амидон от Андрея из Ульяновска) количество витков осталось как у автора и в ТХ и RX,то бишь 3+3Х3 на BN43-2402 самое оптимальное об каких трансах речь? Если об 4TR, то у автора 6+3х3, если 6TR - то там на кольцах 7+7х7, вроде так. У вас тоже после сишки нет фильтра из емкостей, индуктивностей (коаксиал вместо них). Интересно, какова его функция, и можно ли оставить, если уже сделал? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2911] Автор : RK3AQW Дата : 03.03.2018 03:18 вот тут 2-я гармошка ,примерно 25-30 дБ подавление(50 Ватт),ну показометр не более того:-( поправка,10 дб аттенюатор тоды 15 -18((((маловато Добавлено через 22 минут(ы): UA0BHC, Про фильтр-можно оставить,хуже не будет,даже лучше(скорее всего буду ставить обратно ФНЧ, УКВ нафиг не нужен)но у меня он как-то после 60 мгц(именно после Сишки замерял) резал сильно(индуктивности Китайские разброс в ленте большой,а такую мелочь мерить не чем)я его выкинул,сунул коаксиал,теперь он будет фильтром пока) про трансформаторы,трансформаторы я намотал все, как 3+3Х3 и на прием и передачу на амидоновских трансфлюкаторах,6+3Х3 как в авторском варианте -то на ВЧ не понравилось,хотя имеет место на жизнь,я выше писал,что до этого вообще левак стоял и так бы и остался(бинокли были 20-30 ВЧ)если бы 1,8 запустился)он был просто мертвым диапазоном,я бы и не знал что левые ферриты. А так все запустилось,все работает,осталось только ДПФ 20-33 настроить,2 вечера убил(надо индуктивности подбирать на этот кусок диапазона или купить нормальные)остальное все работает,даже УМ понравился по гармошкам))подавление 2-й порядка 24-30дБ. Добавлено через 30 минут(ы): QRPP:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2912] Автор : R3DI Дата : 03.03.2018 14:57 RK3AQW, Дмитрий приветствую, немного другое интересовало,если не затруднит, включите 2тоновый на полной, в осцили во времени чтоб 15..30 периодов видно было, потом осцил в fft, постройте развертку чтоб основная палка от левого края отошла (по НЧ смотрим), валкодером потом на середину построить или сдвигом в осциле, нажать наосциле х2..х5, теперь ИМД можно посмотреть 2 основных и их излишества, ну и курсоры в помощь. Былоб очень интересно:пиво: ---------------- Последняя фотка:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2913] Автор : ua3ycv Дата : 03.03.2018 21:02 залил программу и наблюдаю такую "бяку" при подаче питания появляется заставка Маламута и график с-метра с рамкой установок и только через определённое время появляется частота с сеткой(активная информация) и всегда этот "промежуток" разный по времени-на что надо обратить внимание? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2914] Автор : UU5JPP Дата : 03.03.2018 21:06 залил программу и наблюдаю такую "бяку" при подаче питания появляется заставка Маламута и график с-метра с рамкой установок и только через определённое время появляется частота с сеткой(активная информация) и всегда этот "промежуток" разный по времени-на что надо обратить внимание? Плату хорошо от мыли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2915] Автор : R3DI Дата : 03.03.2018 21:48 ua3ycv, а батарейка и кварц часовой установлен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2916] Автор : Sergey_Lapin Дата : 03.03.2018 22:07 Всем доброго времени суток! UU5JPP, Вы наверное в ветки читали про мои запуск Маламута. Так вот мне все же пришлось все сдуть. Проблемные участки. Потому как только именно скорее всего эти проблемы из за монтажа. У всех работает. Не у кого такого жуткого приема не было. Все отмыл. Теперь буду заказывать NE новые. Конденсаторыры 0.1 мКф. Набор резисторов. И вперед...Как говорится: - Попытка номер 2)) Но уже не торопясь. Да еще пасту паяльную все же заказал. Для смд. Отмыл....:roll: 283674 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2917] Автор : UU5JPP Дата : 03.03.2018 22:14 Всем доброго времени суток! UU5JPP, Вы наверное в ветки читали про мои запуск Маламута. Так вот мне все же пришлось все сдуть. Проблемные участки. Потому как только именно скорее всего эти проблемы из за монтажа. У всех работает. Не у кого такого жуткого приема не было. Все отмыл. Теперь буду заказывать NE новые. Конденсаторыры 0.1 мКф. Набор резисторов. И вперед...Как говорится: - Попытка номер 2)) Но уже не торопясь. Да еще пасту паяльную все же заказал. Для смд. Отмыл....:roll: 283674 У меня было что дисплей медленно обновлял данные как выше написали, после промывки спиртом остаются разводы и липкая плата становится, я еще после спирта мою моющим для стекл :crazy: и плата как новая становится и пропадают глюки. А по поводу паяльной пасты, я пробовал, это не мое, мне проще и быстрее флюсом пройти и накидывать детали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2918] Автор : vicg2004 Дата : 03.03.2018 22:15 Подскажите пожалуйста, при включении питания не всегда включается прием. Например если питать от 13.8В, при включении через раз белый экран и нет приема, либо все загружается, но приема так и нет. Если питать от 9В - прием появляется при включении бп в розетку. Если пользоваться выключателем разывающим линию по питанию - приема нету. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2919] Автор : R3DI Дата : 03.03.2018 22:20 vicg2004, версия платы, исочники питания? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2920] Автор : vicg2004 Дата : 03.03.2018 22:44 Трансформаторные источники питания (стабилизированные 13.8 и 9В), также включал от аккумулятора ИБП 12В. А плата сделанная как приемник (http://ur5yfv.com.ua/index.php/stati/sdr-konstruktsii/156-avtonomnyj-sdr-priemnik-malamut.html). Мне бы понять хоть куда копать. Почему то даже при успешной загрузке меню, когда частота перестраивается и все визуально хорошо, нет шума приема. Перетыкиваю БП и все есть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2921] Автор : R3DI Дата : 03.03.2018 22:47 vicg2004, ясно, за не свои платы платы подсказать не могу, таких не имею. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2922] Автор : ur5yfv Дата : 03.03.2018 23:03 Мне бы понять хоть куда копать. Меняйте все конденсатор танталы на 22мкФ. Они оказались не очень хорошего качества. :( Сначала начните с тех, которые по питанию. Затем пробуйте " четверки" менять по входу/выходу NE5532-х. Таким образом удалось выявить виновников. Тоже была плата, которая запускалась через раз или "с толкача" при прикосновении к элементам платы. Можно попробовать пройтись феном по плате - может выпарятся остатки флюса и паяльной пасты под деталями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2923] Автор : ua3ycv Дата : 04.03.2018 07:51 ua3ycv, а батарейка и кварц часовой установлен?кварц стоит-а вот батарейку не установил-китайцы прислали поддельные ВАТ54.я так и понял что проблема тут-"кривой кварц"-вчера не мог ответить небыло интернета:-(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2924] Автор : UA4FTA Дата : 04.03.2018 09:11 UA3YCV Если будет батарейка,зачем вам диодная сборка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2925] Автор : ua3ycv Дата : 04.03.2018 09:28 UA4FTA, UA3YCV Если будет батарейка,зачем вам диодная сборка?внимательно смотрим схему.-ват54 временно заменил на dan-235 что было под рукой-вроде как проблема решена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2926] Автор : UA4FTA Дата : 04.03.2018 09:54 А зачем он вообще нужен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2927] Автор : Sergey_Lapin Дата : 04.03.2018 11:19 Всем здравствуйте! Ребят подскажите. Буду мотать транс 1:1 в смесители. Фото прилагаю. Бинокль будет маленькии как с материнки. Но он с моторолы GM-350 попались, выпаял, как раз в смесители стоят. Правельно ли так? 283700 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2928] Автор : stari4ok Дата : 04.03.2018 12:54 А зачем он вообще нужен?Развязать батарейку от питальника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2929] Автор : Love777888 Дата : 04.03.2018 13:13 Всем здравствуйте! Ребят подскажите. Буду мотать транс 1:1 в смесители. Фото прилагаю. Бинокль будет маленькии как с материнки. Но он с моторолы GM-350 попались, выпаял, как раз в смесители стоят. Правельно ли так? 283700 Сергей мотайте сразу в три провода и протягивайте во внутренние отверстия, тобишь по верху феррита ничего не пускайте. Берете три провода делаете 6-7 витков, потом зачищаете шесть проводников от лака, затем вызваниваете каждую обмотку, решаете что будет первичкой и решаете для себя где ее начало, вторичка начало совпадает с первичкой, конец первой части вторички соединяете с началом второй части вторички, в этом месте будет средняя точка вторички, ну конец вторички вроде понятно где в остатке будет P.S. Я поробовал мотать поверх феррита намотку в драйвере, только вольт ВЧ снял, намотал во внутрь сразу 6-8 вольт стало -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2930] Автор : Sergey_Lapin Дата : 04.03.2018 13:41 Love777888, Во... спасибо за информацию! :пиво: Получается что в драйвере УМ вы имеете введу, что в смесителе можно так намотать? Я то имею введу в смесителе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2931] Автор : UA4FTA Дата : 04.03.2018 14:03 Сергей мотайте сразу в три провода и протягивайте во внутренние отверстия Но в этом случае начало и окончание обмотки будут с одной стороны,а по фото,особенно в драйвере,выводы обмоток по обеим сторонам-в драйвере обмотки всё-же каждую отдельно мотать нужно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2932] Автор : UA0BHC Дата : 04.03.2018 15:25 что в смесителе можно так намотать? Я то имею введу в смесителе. да нигде нельзя так бинокли мотать. Сердечник - это то, что внутри, и наматывая обмотки по бокам, получаем чёрти что. Вы же на трансформаторе Ш-образном не мотаете обмотки на внешних пластинах? Всё внутри. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2933] Автор : Sergey_Lapin Дата : 04.03.2018 17:07 UA0BHC, Спасибо ! Понял. На фига только в мотороле в смисителях намотали по верх. Не пойму. По этому и спросил как правильно будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2934] Автор : Sergey_Lapin Дата : 04.03.2018 18:56 Еще раз здравствуйте всем! и так.... После того как я сдул и отмыл плату, имеем следующее: Заменил 74LVC74. Встал на ее вход осликом, точки 10R15 (LVD_C) и 10R18 (LVD_D)....осциллограмма как и положено в противофазе. Частота в два раза выше чем на дисплее. То же как и положено. 283761 Далее: Встал осликом в точки выхода с AC74-и ? А это точки 10R13 (CLK_I) и 10R17 (CLK_Q). Тоже теперь как и положено, сдвиг в 90 а не как было ранее в 45 гр. Частота то же как и положено как на дисплее. Ну естественно не точно без корректировки то)) 283762 Что соответственно очень радует!!! Не зря все сдул и отмыл. Будем дальше восстанавливать работу. Паяя не торопясь))) Ребят спасибо Всем! Вывод: Скорее всего монтаж. Но впереди еще установка смесителя , и операционников. С танталами. Но это уже, когда танталы будут, хорошего качества. ))):lol: Сигнал подавал с своего этого же ослика..частота 7.100 кГц, правда амплитуду не помню сколь выставил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2935] Автор : UA9olb Дима Дата : 04.03.2018 20:34 Отмыл.... Сергей а синенькая деталька это что? Если кондерчик то зачем он там нужен? там по идее перемычка должна стоять. Плата которая выше выкладывал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2936] Автор : Sergey_Lapin Дата : 04.03.2018 20:50 UA9olb Дима Сергей а синенькая деталька это что? Это дроссель на 33 мкГн . А если Вы имеете введу где должен быть транс , то это проводок , простой, перемычка. Надо о резистор впаять будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2937] Автор : UA9olb Дима Дата : 04.03.2018 20:56 то это проводок , Вот не мог разглядеть что это )) понял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2938] Автор : michalych Дата : 04.03.2018 21:41 RK3AQW А, какой ток покоя Вы устанавливали при 24В питания? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2939] Автор : Love777888 Дата : 04.03.2018 23:34 RK3AQW А, какой ток покоя Вы устанавливали при 24В питания? Присоединяюсь к вопросу, интересует каков еще ток покоя 591-х в драйвере двоих транзисторов? Сколько вч снимается с трансформатора драйвера? Еще расскажите о намотке бинокля в ксв метре, спасибо! Добавлено через 44 минут(ы): Но в этом случае начало и окончание обмотки будут с одной стороны,а по фото,особенно в драйвере,выводы обмоток по обеим сторонам-в драйвере обмотки всё-же каждую отдельно мотать нужно? Конечно отдельно, сперва первичка, затем вторичка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2940] Автор : UA0BHC Дата : 05.03.2018 06:17 На фига только в мотороле в смисителях намотали по верх. Не пойму может там было как три разных дросселя на общем сердечнике, надо схему смотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2941] Автор : RuleZZZZ Дата : 05.03.2018 07:35 Еще расскажите о намотке бинокля в ксв метре, спасибо! http://www.cqham.ru/forum/showthread.php?32404-%CD%E0%EF%F0%E0%E2%EB%E5%ED%ED%FB%E9-%EE%F2%E2%E5%F2%E2%E8%F2%E5%EB%FC-Tandem-Match ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2942] Автор : RK3AQW Дата : 05.03.2018 10:36 michalych, драйвер на 591 50 мА(2Х25),на рд16 250 -300 ма на каждый R3DI, пост 2912, До дома доберусь замерю) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2943] Автор : Сармат Дата : 05.03.2018 20:58 Запустил маламута на прием..дисплей 3.2 283894283896 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2944] Автор : Sergey_Lapin Дата : 05.03.2018 21:02 Сармат, :smile::пиво: Мои поздравления !!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2945] Автор : Сармат Дата : 05.03.2018 21:09 Sergey_Lapin,:пиво::пиво::пиво: спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2946] Автор : UA9olb Дима Дата : 05.03.2018 22:09 То R3DI Eвгений подскажите авто подавление не нужной боковой должно сохраняться при выключении питания ?Скажем ставлю на 7мгц авто выхожу выключаю питание включаю смотрю в меню эта функция выключена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2947] Автор : ua9xos Дата : 05.03.2018 22:10 Запустил маламута на прием..дисплей 3.2 283894283896 Какая версия платы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2948] Автор : Love777888 Дата : 05.03.2018 22:19 То R3DI Eвгений подскажите авто подавление не нужной боковой должно сохраняться при выключении питания ?Скажем ставлю на 7мгц авто выхожу выключаю питание включаю смотрю в меню эта функция выключена. Дима должно, все в память пишется. И при повторном включении должно сохранится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2949] Автор : Сармат Дата : 05.03.2018 22:34 ua9xos,http://shemu.ru/kv-ukv/516-sdr-malamut тут посмотрите... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2950] Автор : 1f7 Дата : 05.03.2018 22:41 Запустил маламута на прием..дисплей 3.2 класс, а корпус какой планируется? я тоже заказал дисплей 3.2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2951] Автор : Сармат Дата : 05.03.2018 22:53 1f7, насчет корпуса думаю пока, но не хочу его пихнуть в портсигар. Для 3.2 дисплея плату тоже надо переходную, знаете наверно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2952] Автор : 1f7 Дата : 05.03.2018 23:02 Для 3.2 дисплея плату тоже надо переходную согласен, тоже планирую типа того и если получится то сразу с кнопами, но пока под вопросом. присмотрел корпус http://duplex-shop.ru/shop/product/korpus-metallicheskii-dlia-radioapparatury-bahar-bda40004-a2w200 железный, но морда и задница из пластмассы. зад, почти весь, закроет радиатор с pl239 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2953] Автор : Сармат Дата : 05.03.2018 23:13 1f7, корпус вроде ничего. Аккуратный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2954] Автор : Love777888 Дата : 06.03.2018 10:46 Всем добрый день! Интересует вопрос при тех номиналах что в схеме выходного каскада регулировки тока покоя у всех можно выставить тех же 250мА на транзистор? Или же кто что то менял в сопротивлениях делителя? Или у меня каличные транзисторы? Но ведь работают же, просто ток невозможно выставить по 250, максимум по 190 мА при максимальном вкрученном движке подстроечника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2955] Автор : UA7KJ Дата : 06.03.2018 10:58 Love777888, Замените 4U1 LM7805 на 8ми, 9ти вольтовую но при этом ток покоя 4Q3, 4Q5 нужно выставить снова. И 5R5 ,5R7 увеличить до 1-1.5ком. Мне попадались рд16 которым на затвор нужно было 5.5 вольта для 250 милиампер. Работать работают но такого не должно быть. Видно в открытом состоянии большое сопротивление сток исток. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2956] Автор : UD6AED Дата : 06.03.2018 12:30 Для 3.2 дисплея плату тоже надо переходную, знаете наверно. А можно поподробней насчет переходной платы для 3,2 дисплея:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2957] Автор : UU5JPP Дата : 06.03.2018 14:18 А можно поподробней насчет переходной платы для 3,2 дисплея:пиво: Держите 283953 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2958] Автор : RK3AQW Дата : 06.03.2018 14:42 Love777888, у вас скорее всего транзисторы левак -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2959] Автор : ellav-06 Дата : 06.03.2018 18:24 Где покупали 3,2" диплей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2960] Автор : rn4haq Дата : 06.03.2018 19:09 1 шт. 3.2 дюймов 18 P SPI TFT ЖК-дисплей Экран без сенсорной панели ILI9341 Drive IC 240*320 http://s.aliexpress.com/M7F3mM36?fromSns=Copy to Clipboard (from AliExpress Android) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2961] Автор : Love777888 Дата : 06.03.2018 20:58 Love777888, у вас скорее всего транзисторы левак Да вроде сняты с с рабочих си бишек. Сейчас померял китай тестером компонентов 4 ома в открытом, видимо много, почитаю даташит, может так и есть левак. Померял 510 irfz24n показуют около 0.2-0.3 ом. В даташите не нашел открытого канала сопротивление. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2962] Автор : RK3AQW Дата : 06.03.2018 21:25 Love777888, емкость замерьте,если больше 35-60 пф в мусор,напряжение на затворе постоянное,не более 4,5 вольт должно быть,при токе 500-700 ма -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2963] Автор : Love777888 Дата : 06.03.2018 21:30 Love777888, емкость замерьте,если больше 35-60 пф в мусор Все поплыл транзистор чуть нагрел уже 14 ом показует 61 пФ емкость -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2964] Автор : RK3AQW Дата : 06.03.2018 21:33 Love777888, судя по емкости то похож на рд16 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2965] Автор : Love777888 Дата : 06.03.2018 21:41 Love777888, судя по емкости то похож на рд16 Сейчас прикинул по тестеру показует 16 ом, т.е 0.25*16=4 вольта для этого тока покоя нужно вдуть в него, а там кренка на 5 вольт плюс делители стоят, ну а у вас получилось при этой схеме и номиналах вытянуть на ваших транзюках этот ток покоя? Короче в мусор при токе 0.5а будет 8 вольт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2966] Автор : UA0BHC Дата : 07.03.2018 01:09 посмотрел свои RD16HHF1, открытый переход - 1,6-1,7 Ом. А ёмкость между какими выводами измеряете, и в открытом или закрытом состоянии? Маркировка нанесена серебристой краской (не лазер), хотя корпуса довольно аккуратно сделаны, между контактами присутствуют два маленьких выступа. Если мерять тестером компонент, С~=54 пФ, не знаю где он там её меряет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2967] Автор : Love777888 Дата : 07.03.2018 09:35 посмотрел свои RD16HHF1, открытый переход - 1,6-1,7 Ом. А ёмкость между какими выводами измеряете, и в открытом или закрытом состоянии? Маркировка нанесена серебристой краской (не лазер), хотя корпуса довольно аккуратно сделаны, между контактами присутствуют два маленьких выступа. Если мерять тестером компонент, С~=54 пФ, не знаю где он там её меряет. Измеряю тестер компонентом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2968] Автор : vaf85 Дата : 07.03.2018 09:59 Сармат,Добрый день! Какой тип LCD 3,2" дисплея вы использовали и какова величина сопротивления в цепи подсветки. Подключил LCD 3,2" с маркировкой HT032SQV007NS-18pin вместо 2,8" на рабочем Маламуте , экран не работает только подсветка.Спасибо за ответ! Борис. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2969] Автор : R3DI Дата : 07.03.2018 12:12 Подключил LCD 3,2" с маркировкой HT032SQV007NS-18pin vaf85, у меня есть такой же , вот еще раз проверил (переходная платка - обрезанная от 2,4" ) 284032284033 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2970] Автор : Сармат Дата : 07.03.2018 13:29 vaf85,https://www.aliexpress.com/item/1pcs-3-2-inch-18P-SPI-TFT-LCD-Screen-without-Touch-panel-ILI9341-Drive-IC-240/32819384322.html?spm=a2g0s.13010208.99999999.276.EgUCOX Сопротивление подсветки 220 Ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2971] Автор : Sergey_Lapin Дата : 08.03.2018 23:49 Всем доброго времени суток! Пробовал RTTY прием, отлично работает! Класс! https://youtu.be/2gvYo2h3l84 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2972] Автор : RN3R Дата : 09.03.2018 12:30 Вот работа на приём пайлапа CW 3D2EU "Маламута" конструкции RW6HCH. https://yadi.sk/i/btB5gFWZ3TBUEk -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2973] Автор : R3DI Дата : 09.03.2018 13:37 Есть еще функция ZOOM, очень удобно для визуализации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2974] Автор : Sergey_Lapin Дата : 09.03.2018 13:53 Все впаял , Евгений на место. Перемотал трансформатор в смесителе, более толстым проводом. И все заработало как надо! Все же косяк в монтаже. СПАСИБО ВАМ ЕЩЕ РАЗ, ЗА ОТЛИЧНУЮ РАЗРАБОТКУ! СПАСИБО ВСЕМ ТЕМ , КТО ПОМОГАЕТ И ПОДДЕРЖИВАЕТ В СБОРКЕ И ПОИСКА ПРОБЛЕМ! Теперь далее буду паять его. А затем и запущу на передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2975] Автор : Love777888 Дата : 11.03.2018 00:11 Здравствуйте всем! Подскажите такую вещь, как затормозить оптический энкодер, чтоб он так легко не вращался, сделали мне ручку настройки, так вот она из дюрали и имеет большую инерцию при кручении, как может кто делал механически что так быстро не вращался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2976] Автор : UA0BHC Дата : 11.03.2018 02:28 можно фетровую шайбу подложить между ручкой и корпусом, и отрегулировать прижатием на нужную величину -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2977] Автор : ut2uf Дата : 11.03.2018 09:10 https://yadi.sk/i/btB5gFWZ3TBUEk так нет там уже ничего :( Андрей, выложите еще раз! С ув. Олег. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2978] Автор : F304 Дата : 11.03.2018 09:15 А что там должно быть чего нет? 284352 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2979] Автор : ut2uf Дата : 11.03.2018 09:27 Открылось, видимо что-то временно не работало. С ув. Олег. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2980] Автор : Love777888 Дата : 11.03.2018 10:34 Вот хочу поделиться впечатлениями, пока приема https://youtu.be/2vrU7AgiJII -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2981] Автор : Love777888 Дата : 11.03.2018 17:09 Ребят еще вопрос как настроить декодер CW? Чтоб корректно отображал слова на экране? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2982] Автор : Sergey_Lapin Дата : 11.03.2018 17:22 Всем доброго времени суток! Love777888, Присоединяюсь к Вашему вопросу! RTTY вот пробовал, декодирует. А SW что то , то же не поручается. Ребят подскажите, что в настройках накрутить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2983] Автор : UA4FTA Дата : 11.03.2018 21:17 Кодеки отсюда https://ru.aliexpress.com/item/CS4272-CS4272-CZZ/32786717812.html?spm=2114.13010708.0.0.0ceKKr -рабочие,а вот отсюда https://www.ebay.com/itm/1PCS-CS4272-CZZ-CS4272-Crrius-IC-Chip-TSSOP28/250892763346?ssPageName=STRK%3AMEBIDX%3AIT&_trksid=p2057872.m2749.l2649 -один вообще мёртвый,а второй работает,а звук не выходит,на обратной стороне надпись-Philipines/ Ребят,а как у вас САT себя ведёт?У меня при подключении кабеля шумы,аж станций не слышно-так-же нужно шаманить с емкостями,резисторами,как в SW?Сам CAT работает хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2984] Автор : UR5ZVU Дата : 11.03.2018 22:01 Кто занимался доделкой тангенты? какой резистор вместо перемычки лучше поставить? Я поставил 200 ом. Наблюдаю небольшое подглючивание при нажатии на кнопки. Бывает срабатывает со второго нажатия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2985] Автор : Genadi Zawidowski Дата : 11.03.2018 22:36 при подключении кабеля шумы,аж станций не слышно Попробуйте обеспечить НАДЕЖНЫЙ контакт корпуса USB разъема с платой. У меня грустный опыт - после пары вставлений кабеля контакт через "ушки" внутри USB-B исчезает... Как проверка - лезвием отвертки с контролем результата "в процессе". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2986] Автор : UA0BHC Дата : 12.03.2018 02:08 какой резистор вместо перемычки лучше поставить? в факе написано 240 Ом, я поставил половинку диода BAT54C согласно схемы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2987] Автор : UN7RX Дата : 12.03.2018 05:50 исходники прошивки схемы все тут Что-то я прошивок не вижу, подскажите, где они в теме? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2988] Автор : ut29641 Дата : 12.03.2018 06:04 прошивок не вижу Ссылка в закрепленном посте на яндекс диск,там все.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2989] Автор : ua3ycv Дата : 12.03.2018 08:57 Что-то я прошивок не вижу, подскажите, где они в теме?на яндекс-диске смотрите версию 1.3 папка-там последная прошивка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2990] Автор : UN7RX Дата : 12.03.2018 09:05 Совсем забыл, Евгений же говорил. Нужно подкорректировать первый пост. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2991] Автор : ua9ylu Дата : 12.03.2018 12:16 https://youtu.be/TJr6P9m7tPY сделал первый шаг в своем проекте маламут на еме, пока что он подключен к пч ft 736 диапазон 1296,полоса обзора обзора 20 кгц , принимается маяк ON0EME с отражением от луны, следующий этап подружить его с трансвертерами, 432,1296,5760 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2992] Автор : R3DI Дата : 12.03.2018 13:11 как настроить декодер CW? Чтоб корректно отображал слова на экране? уже было, функция с не адаптивной скоростью, те +- от той что выставлена в меню (CW_WPM). Добавлено через 7 минут(ы): У меня при подключении кабеля шумы мне очень помогло сделать пару витков вокруг ферритовой трубки ( трубка раздваивается, на защелках в пластиковом корпусе ). Ну или уже ставить модуль гальванической развязки. Еще, если при ТХ отваливался САТ ( не всегда, при определенных условиях) - помогла установка конденсатора 0,1 uF между Vusb(+5В свободный пин) и землей на разъеме USB трансивера ( была ВЧ наводка на этом "свободном" проводе. ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2993] Автор : UN7GDZ Дата : 12.03.2018 15:06 Всех приветствую! Добрался я с измерительными приборами до "Маламута", на сей раз никаких чихов)))) Промерил я ДПФ и почти весь тракт от контакта 3 реле 5LS1 до правого вывода конденсатора 6C7 (если смотреть на схему), трансформатор 6TR1 отключил. И получил такие картинки: Фильтр 2-3.9 MHz увч выключен 284441 увч включен 284442 Фильтр 3.9-7.5 MHz увч выключен 284443 увч включен 284444 Фильтр 6.2-10.4 MHz увч выключен 284445 увч включен 284446 Фильтр 9.5-15 MHz увч выключен 284447 увч включен 284448 Фильтр 14 - 22 MHz увч выключен 284449 увч включен 284450 Фильтр 20 - 33 MHz увч выключен 284451 увч включен 284452 BYPASS включен увч выключен 284453 увч включен 284454 BYPASS включен, увч включен, АТТ8 284455 BYPASS включен, увч включен, АТТ16 284456 BYPASS включен, увч включен, АТТ24 284457 . К сожалению прибор ниже 2 МГц мерить не умеет первый фильтр проверить пока не могу. Номиналы элементов в фильтрах в соответствии со схемой, и на верхних частотах стоят плёночные индуктивности. Не ожидал что они так нормально себя покажут. Ну и по частоте немного выше все фильтры, надо немного пошаманить над ними. Но это потом)))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2994] Автор : RA1CAC Дата : 12.03.2018 15:24 К сожалению прибор ниже 2 МГц мерить не умеет первый фильтр проверить пока не могу. Первый фильтр - по сути ФНЧ с частотой среза 2 мгц с копейками. Номиналы элементов в фильтрах в соответствии со схемой, и на верхних частотах стоят плёночные индуктивности. Не ожидал что они так нормально себя покажут.У меня несколько хуже получилось - первый фильтр - затухание = 5дб, и далее, последующий фильтр хуже предыдущего на 1..2дб. Последний фильтр получилось затухание около 12 дб. Но это без ФНЧ, УВЧ и АТТ - не смонтировано пока. Запаяю - еще раз сниму, уже сквозную АЧХ до 6С7 на всех диапазонах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2995] Автор : ra6ljm Дата : 12.03.2018 19:18 UA4FTA, У меня было такое, при подключении к нетбуку, видимо гадит его блок питания. При подключении к стационарном компу, шум пропадал. Не Маламут. Мой пока в стадии неспешной сборки). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2996] Автор : Сармат Дата : 12.03.2018 23:18 Корпус зверь -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2997] Автор : UR5ZVU Дата : 13.03.2018 09:45 в факе написано 240 Ом, я поставил половинку диода BAT54C согласно схемы спасибо. попробую еще половинку диода. А у Вас наблюдается недожимание кнопок или глюки? Я иногда наблюдаю такое: нажимаю кнопку (1) - включиться не только PRE, а й например MONI. т.е. одна кнопка иногда может включать несколько разных функций. Этот глюк касается почти всех кнопок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2998] Автор : RW6MQ Дата : 13.03.2018 10:18 спасибо. попробую еще половинку диода. А у Вас наблюдается недожимание кнопок или глюки? Я иногда наблюдаю такое: нажимаю кнопку (1) - включиться не только PRE, а й например MONI. т.е. одна кнопка иногда может включать несколько разных функций. Этот глюк касается почти всех кнопок. С бл стоят? На разъёме каждая кнопка должна через Сбл идти на землю, в старых (первых) версиях платы их небыло, однако описанные Вами глюки наблюдались только при ТХ и большим КСВ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 2999] Автор : Игорь 1967 Дата : 13.03.2018 11:13 В наличии есть плата версии 1.2. Если кого заинтересует, пишите в личку .284513 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3000] Автор : UA0BHC Дата : 13.03.2018 15:44 А у Вас наблюдается недожимание кнопок или глюки? пока тангенту не подключал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3001] Автор : ut29641 Дата : 14.03.2018 08:33 Доброго времени.Прошу помощи.При передаче идет сильная перегрузка,чувствительность микрофона убавлял,тогда меня не слышно.В тональнике все норм.При чем такое на нагрузку и на любой мощности. https://yadi.sk/i/OfEOoz783TLyJe -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3002] Автор : R3DI Дата : 14.03.2018 12:17 ut29641, так у Вас на видео АРУ на передачу отключена и в добавок монитор. Кнопкой меню выбрать позицию с agc, включить передачу и нажимать agc , обычно для передачи agc2 использую . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3003] Автор : ut29641 Дата : 14.03.2018 13:22 del. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3004] Автор : ua3ycv Дата : 14.03.2018 15:58 Парни подскажите пожалуйста как правильно сделать настройки ддс при использовании платы с микросхемой AD9850? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3005] Автор : ut29641 Дата : 14.03.2018 17:10 В общем,сломал себе всю бестолковую голову...Не могу победить перегруз..AGC выставил - 5,усиление микрофона в минимум.Установил токи на RD 250ма..БП 12 вольт ,6.5 ампера должно хватать.Нагрузка 51 Ом , 60 ватт..При громком а-а-а начинает щелкать реле усилителя..Ткните носом,пожалуйста,куда копать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3006] Автор : R3DI Дата : 14.03.2018 18:01 RD 250ма..БП 12 вольт ,6.5 ампера должно хватать.Нагрузка 51 Ом , 60 ватт. 60 Вт с одноплатного трансивера..... там ВЧ будет гулять где только можно, и на базе транзистора реле РХТХ и может даже на проводе РТТ, нужно принимать меры, блокирующие конденсаторы например. Ну и как то сомнительно при 12 вольт 60 ватт с RD, если их 4 - это 15 Вт с транзистора ??? У меня при 13,2 В 7,5Вт с плеча (15Вт общая) при терпимом IMD , 10Вт( с плеча, 20Вт общая) IMD -15dB уже . (RD15е) как правильно сделать настройки ддс при использовании платы с микросхемой AD9850? выставить в меню AD9850, выставить частоту ее генератора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3007] Автор : ut29641 Дата : 14.03.2018 18:17 60 Вт с одноплатного трансивера Нет,это сопротивление нагрузки 51Ом 60 ватт..А выходная мощность около 12-15 ватт.По ослику смотрел напряжение.Не понятно просто выразился.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3008] Автор : ua9ylu Дата : 14.03.2018 18:22 нагрузки 51Ом 60 ватт нагрузка самодельная? большой ксв, обратная слишком большая , наводится на реле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3009] Автор : ua3ycv Дата : 14.03.2018 18:22 выставить в меню AD9850, выставить частоту ее генератора.как бы выставил-приём появился только на "нижних"вещательных диапазонах до 2мгц.....но хоть услышал "как бьётся сердце у Маламута "завтра за приборами на работу:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3010] Автор : R3DI Дата : 14.03.2018 18:22 а-а-а начинает щелкать реле усилителя. ДПФ установлены ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3011] Автор : ut29641 Дата : 14.03.2018 18:26 Трансивер собран полностью,нагрузка от радиостанции что ли,зеленый кирпич..В тоновом режиме таких проблем нет,сигнал чистый.Только при разговоре с микрофона... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3012] Автор : ua9ylu Дата : 14.03.2018 18:43 от радиостанции что ли,зеленый кирпич.. если форма кирпича то к разъему идут длинные провода а это не есть хорошо , радиостанция могла работать на низких частотах, в катушке реле стоит диод а это есть детектор наводимых вч напряжений. Попробовать заменить нагрузки ,либо заблокировать диод по вч конденсатором -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3013] Автор : R3DI Дата : 14.03.2018 18:55 .В тоновом режиме таких проблем нет,сигнал чистый. Тон не показатель, а вот 2х тоновый даа..... Только при разговоре с микрофона.. чистку памяти фрам делали после прошивки мк ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3014] Автор : ut29641 Дата : 14.03.2018 18:59 чистку памяти Делал.Сброшу по новой.Память сбросил,эффекта нет.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3015] Автор : R3DI Дата : 14.03.2018 19:12 Чего нет? Несущей? Или зеркального канала? Всё есть но выглядит не много по другому. Это одинакового класса аппараты и в чём то один лучше другого а в чём то хуже. Просто каждый аппарат Автором создавался под свои задачи. Вспомнил тут про это пост, все никак руки не доходили....замерил уровень несущей. при тех R что указанны на схеме 1k 2.2k при 15Вт - 56 dB, поставил переменник 1к и подобрал по минимуму - 470(gnd)/510(+) ом при таких 63 dB. Уровень несущей не зависит от выставленной мощности на трансивере - видимо это пролаз уже в FSTшке ну или еще где налавливает. Получается если "придавить" преамп РА и увеличить tx_mix_out для достижения той же мощности то эту циферку можно и улучшить... 63 dB - это максимальная дельта что могу посмотреть осциллографом, зеркалка тоже за эти цифры уходит -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3016] Автор : EW2MS Mikhail Дата : 14.03.2018 19:42 У меня такая же проблема как у Игоря UB8JCС. От 14Мгц и выше, наблюдаю такой же эффект Наводка идёт похоже на тангенту, пока ещё не разбирался, не хватает времени. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3017] Автор : ut29641 Дата : 15.03.2018 08:49 Доброго времени.Действительно,вся проблема именно в тангенте.Сильный возбуд идет из за микрофонного провода. Помогла замена индуктивности 9DR1.Стояло 121мГн,поставил 681.Полет нормальный.Вечером испытаю на антенне. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3018] Автор : ut29641 Дата : 15.03.2018 10:54 До 14Мгц стало нормально,выше без изменений.Эффект начинается при мощности 50% и выше.. Берешь тангенту за самый верх,пальцами только-нормально,полностью в руку взял и все,затрещало.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3019] Автор : UA0BHC Дата : 15.03.2018 12:42 по схеме 9DR1 стоит 470 мкГн, для версии 1.3. Скорее всего провод от микрофона в тангенте не экранированный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3020] Автор : ut29641 Дата : 15.03.2018 12:55 не экранированный. Да,так и есть.Думаю менять придется... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3021] Автор : ua3ycv Дата : 15.03.2018 15:02 вроде разобрался со своим аппаратом на АД-шке-только вот не соответсвие приёма на 11кгц-разберёмся!вопрос-при использовании си-шки нужен ли фильтр 10L1-10L3 и с10с28-10с37 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3022] Автор : ut29641 Дата : 15.03.2018 15:24 нужен ли фильтр Кто то ставит,кто то нет.Я сначала поставил,потом убрал.Разницы не заметил.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3023] Автор : UA0BHC Дата : 16.03.2018 04:13 вроде разобрался со своим аппаратом на АД-шке-только вот не соответсвие приёма на 11кгц-разберёмся!вопрос-при использовании си-шки нужен ли фильтр 10L1-10L3 и с10с28-10с37 ? #2911 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%D0%9C%D0%B0%D0%BB%D0%B0%D0%BC%D1%83%D1%82-quot&p=1506162&viewfull=1#post1506162) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3024] Автор : ua3ycv Дата : 17.03.2018 16:14 спасибо-упустил инфу-тема "жирная" стала много страниц-вот первый мой "запуск"-https://youtu.be/xqvhtTUcIPk -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3025] Автор : Love777888 Дата : 17.03.2018 23:05 Здравствуйте всем! Такая неразбериха, в фм и ам, при мощности на выходе до 0.5ватт модуляция в ам и фм отличная, при увеличении становится становится очень тихой в ам, в фм начинается писк, причем если полосу расширить до 12 кГц писк уходит в фм. Мощность что на экране выставить 10% все отлично, что при 100 на экране, но при этом ток покоя драйвера установить на минимум модуляция отличная, если мощность переваливает за 1 ватт начинаются чудеса. Что может быть, прошу помощи. Может у меня транзисторы в УМ не сбалансированно работают? Или в драйвере? Заметил, что один транзистор в драйвере греется больше, чем другой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3026] Автор : RX9UAO Дата : 18.03.2018 08:22 Такая же фигня.А в ssb все зер гут. Вперед!Все на выборы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3027] Автор : UA7KJ Дата : 18.03.2018 09:26 Заметил, что один транзистор в драйвере греется больше, чем другой. Я питаю драйвер и первый каскад РА от 9ти вольт. Питание для них 13.8 вольт многовато. Вместо 5ти вольтовой кренки (15U1) поставил 3х пиновый разъем и от вынесенного стабилизатора подал на него 5 и 9 вольт при этом нужно убрать 7U5 ( питание операционников +8В) и поставить вместо нее перемычку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3028] Автор : UA0BHC Дата : 18.03.2018 10:14 питаю драйвер и первый каскад РА от 9ти вольт. Питание для них 13.8 вольт многовато. кто-то напротив говорил, что 13 вольт мало, а вот 24 будет нормально. Где же истина? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3029] Автор : UA7KJ Дата : 18.03.2018 10:35 UA0BHC, Это для выходного каскада 13В маловато тут речь шла о драйвере. Посмотрите PA проверенные годами в SW20XX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3030] Автор : Love777888 Дата : 18.03.2018 13:33 Так и что, работает ам и фм при питании от 9-ти вольт драйвера и преддрайвера? И вообще нужен нормальный ответ, чтоб понимать какого давит модуляцию, если модуляция осуществляется куда ранее каскадами. Она хорошая, но когда мощи подкидываешь все пропало. Вчера снял перемычки с оконечника, начал добавлять ток покоя драйвера и та же история, модуляция затухает в ам. Тобишь подозрение что транзисторы не в том режиме работают. Может в обратных связях поправить величины резисторов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3031] Автор : R3DI Дата : 18.03.2018 14:24 Love777888, похоже это из-за перегрузки усилителя, выход с модуляторов имеют очень большой уровень в этих режимах, но в плотную заняться этим вопросом у меня пока нет возможности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3032] Автор : Love777888 Дата : 18.03.2018 18:18 Евгений приветствую, а у вас это наблюдается при работе этих режимов? Модулятор это кодек, далее его сигнал через оу модулирует смеситель. Но ведь пока нет мощности на выходе то все хорошо работает как надо. Проверю осциллографом как прыгает ам при разных мощностях, может вч запирает работу кодека. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3033] Автор : R3DI Дата : 19.03.2018 11:13 Нет, кодек это всего лишь преобразователь между цифрой и аналогом. Модулятор - это мат. функция в дсп. Да тоже самое, это видно и по уровню мощности на выходе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3034] Автор : ut29641 Дата : 19.03.2018 12:22 Доброго времени.Нашел решение своей проблемы.Установка конденсатора на 0.1мкФ относительно корпуса на разъём тангенты PTT_T ,первая ножка.На любой мощности и любом диапазоне на нагрузку 50 Ом стало нормально..Вечером проверю на антенне. (Конденсатор ставил на ножки самого гнезда,на плате.) Добавлено через 33 минут(ы): На моей плате отсутствует 13с6..Вот и вся беда.Плата версии 1.2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3035] Автор : UA9olb Дима Дата : 19.03.2018 12:31 Может уже обсуждалось не могу найти( У меня при кручении валкодера без антенны на ВЧ бендах очень слышны щелчки от валкодера и на 28 мгц это уже не щелчки а вой какой то) Как кто боролся с этим? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3036] Автор : R3DI Дата : 19.03.2018 14:08 UA9olb Дима, 2 вида помех заметил, одна от валкодера - лечится легко, ферритовая трубка от монитора на его кабель, а вот вторая от обмена по I2C - никак победить не могу, что только не пробовал :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3037] Автор : UA0BHC Дата : 19.03.2018 15:01 https://www.youtube.com/watch?v=iFUBXQoEB-A&t=27s -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3038] Автор : UA7KJ Дата : 19.03.2018 15:02 У меня при кручении валкодера без антенны на ВЧ бендах очень слышны щелчки от валкодера и на 28 мгц это уже не щелчки а вой какой то) А с какими буквами у Вас тригер 10U5? Если AC то может быть такая проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3039] Автор : Love777888 Дата : 19.03.2018 15:47 Парни всем привет! Сколько у вас жрет трансивер в режиме передача скажем на минимуме и максимуме мощности? Такая проблема уже кинул перемычку через ФНЧ максимум 4 ватта выжал с передатчика и ток около 5 Ампер, при 1 ватте 3 А, думаю это не нормально, радиатор как утюг в режиме тона. С драйвера идет около 7 вольт ВЧ на оконечники. Это что транзисторы левые? Устал уже с ним бороться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3040] Автор : R3DI Дата : 19.03.2018 15:55 Love777888, приветствую, 20Вт 40ка 12,9V/4.02А - првда это 2*RD01 драйверы и 2*RD15 в оконечнике ( на затворы , если АС(через закрытый вход осц-а)-3,3Vrms), дома 591 и РД16 но нет амперметра пока -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3041] Автор : Love777888 Дата : 19.03.2018 16:12 А на 28 Мгц можно померять, спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3042] Автор : UA9olb Дима Дата : 19.03.2018 16:23 А с какими буквами у Вас тригер 10U5? 74lvc74 навесил феритовых трубочек на кабель не помогает( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3043] Автор : ur7cq Дата : 19.03.2018 22:46 Евгений приветствую, Спасибо за трансивер! В основном работаю телеграфом, фильтра CW работают очень хорошо, все настройки по телеграфу есть. Честно, даже не ожидал, по-хорошему впечатлен. Есть предложение-просьба, если это реализовать, сильно облегчит работу телеграфом в пайл-апах, так, как есть сейчас сплит и всё, для такой задачи ну не удобно совсем. Хотелось-бы иметь кнопку XFC как у айкома. Когда мы слушаем DX , нажимая эту кнопку ( просто удерживаем сколько нам нужно по времени), мы переключаемся на приём частоты "гетеродина" B, крутим ручку и слушаем пайл-ап, когда отпускаем эту кнопку, слушаем гетеродин А, это даёт возможность мгновенно находить кто даёт рапорт и понимать где сейчас слушает оператор DX, и таким образом подсунуть свой позывной точно где слушает оператор, или рядом. На первый взгляд, вроде как в меню "сплит" кнопки все заняты, но можно клавишу split сделать с двойной функцией, когда короткое нажатие, включается сплит, и выключается также, а когда длинное нажатие, включается функция А=В , во время включения этой функции пусть вместо надписи "SPLIT" отобразится на 1 секунду красным цветом надпись "А=В" и после уравнивания частоты "гетеродинов" погаснет, и вместо неё опять привычная надпись "SPLIT". Таким образом, освобождается одна ячейка меню "сплит", и эта свободная кнопка будет после её нажатия и удерживания включать частоту В, после отпускания - частота А . Такое решение ну очень поможет всем, кто работает CW. Сейчас переключение А/B работает в режиме триггера, и это не даёт возможности слушать частоту В оперативно. Заранее прошу прощения, за много слов. Может и есть такая возможность а я не знаю как включить, но не нашел такой возможности в этом трансивере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3044] Автор : ur7hfo Дата : 19.03.2018 23:55 Когда мы слушаем DX , нажимая эту кнопку ( просто удерживаем сколько нам нужно по времени), мы переключаемся на приём частоты "гетеродина" B, крутим ручку и слушаем пайл-ап, когда отпускаем эту кнопку, слушаем гетеродин А, это даёт возможность мгновенно находить кто даёт рапорт и понимать где сейчас слушает оператор DX, и таким образом подсунуть свой позывной точно где слушает оператор, или рядом. Вот ur7cq описал очень важную фишку, без которой работать с DX в CW просто мало реально. Полностью соглашусь с ur7cq. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3045] Автор : Love777888 Дата : 20.03.2018 11:30 Евгений приветствую! По поводу модуляции ам и fm если ставить agcoff, все работает, модуляция громобойная, даже открутил немного micgane, в ssb не проверял, но стрелка ваттметра прыгает куда гораздо бодрее, с мощностью пока не разобрался жду транзисторы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3046] Автор : stari4ok Дата : 20.03.2018 12:33 кнопки все занятыУ нас есть ещё 2 кнопки.Давно обращался к автору что бы их как-то друдоустроить.Предлагал для них Lock,Split, можно ещё что придумать,вот ещё предложение,-дело за автором! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3047] Автор : UR5ZVU Дата : 20.03.2018 12:38 UA0BHC, класная песенка. наверное первый трансивер о котором спели песенку:super::bayan::super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3048] Автор : R3DI Дата : 20.03.2018 13:57 По поводу модуляции ам и fm если ставить agcoff, все работает, модуляция громобойная Не, это не порядок, проверил код - предположения сбылись - хоть для АМ и формировал несущую с уровнем 0,5 но забыл что синтез делала для IQ, а значит еще на 2 делить нужно было, - потому именно и перегруз был РА. Тоже самое и ФМ. ПС. Фм с 0й пч для передачи не используйте, только с не 0й. Предла гал для них Lock,Split Lock,Split - давно имеются. ....функция А=В , во время включения этой функции пусть вместо надписи "SPLIT" отобразится на 1 секунду красным цветом надпись "А=В...." и п функция А/В(А=В) помимо частоты имеет еще много индивидуальных настроек, потому не совсем ясен алгоритм работы XFC ( ну кроме того что по нажатию отстраивает частоту приема... ), да и есть еще некоторые моменты... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3049] Автор : stari4ok Дата : 20.03.2018 14:25 Lock,Split - давно имеются.Очепятка!Вместо Split хотел написать Rit,почему так?Мне ,к примеру,думается что эти функции должны быть оперативными и независимыми.И ещё пожелание-в меню убрать не используемые и дублирующие одна другую функции.RX Off и Band up/down. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3050] Автор : R3DI Дата : 20.03.2018 14:32 Очепятка!Вместо Split хотел написать Rit,почему так в одной строке в меню -| А/В | A=B | SPLIT | RIT |, так же RIT активируется оперативно нажатием SEL энкодера ( удержание - Lock/unLock валкодера) - у Вас какая версия прошивки ? И ещё пожелание-в меню убрать не используемые и дублирующие одна другую функции.RX Off и Band up/down. .... вот .. зачем ? трх легче не станет :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3051] Автор : stari4ok Дата : 20.03.2018 17:06 в одной строкеА если активна другая страница? .... вот .. зачем ?щоб глаза не мозолили.Хотя может просто привыкнуть надо.Прошивка v4.9. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3052] Автор : R3DI Дата : 20.03.2018 17:18 А если активна другая страница? так что ж теперь 70 кнопок на все функции выводить - не, мне такой вид не нужен :-P щоб глаза не мозолили. Мне довольно часто приходится пользоваться ими, причем RFoff чаще - убирать их не буду -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3053] Автор : stari4ok Дата : 20.03.2018 19:03 70 кнопокДа нет,конечно же,-это перебор.А RF off эт чо ваще? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3054] Автор : RA1CAC Дата : 20.03.2018 19:18 так что ж теперь 70 кнопок на все функции выводить...Евгений, скажу Вам как программер программеру - если юзер хочет "одну большую кнопку, шоб нажал - и все работало..."(с) - это обычное дело, для него. В тех сказочных случаях, когда такую кнопку сделать удается, начинается другая проблема - "черт возьми этого программера - наворотил кучу функций на одну кнопку... как это все работает?" Поэтому, пользователей конечно слушать надо - но просеивать их пожелания сквозь мелкое сито. Всем все равно не угодишь. Человек пересевший с ICOM'a на Маламут, конечно будет первое время чувствовать себя не в своей тарелке, пока не привыкнет. Поэтому последнее слово ВСЕГДА! должно оставаться за Главным Конструктором. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3055] Автор : Serg Дата : 20.03.2018 19:56 потому не совсем ясен алгоритм работы XFC ( ну кроме того что по нажатию отстраивает частоту приема... ) Отстраивает частоту передачи, но слушая ее при этом, пока держим палец. См. кино про XFC: https://www.youtube.com/watch?v=77O5XX4LQQo -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3056] Автор : R3DI Дата : 20.03.2018 20:27 Serg, спасибо за ссылочку, но теперь совсем запутало, на видео по удержанию кнопки переключается на более широкий фильтр, или я чего не уловил... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3057] Автор : ur5mid Дата : 20.03.2018 21:04 Serg, спасибо за ссылочку, но теперь совсем запутало, на видео по удержанию кнопки переключается на более широкий фильтр, или я чего не уловил... Не обязательно. Просто в ICOM на каждый VFO свои настройки фильтров и моды. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3058] Автор : Serg Дата : 20.03.2018 21:21 R3DI, да это одна из плюшек Icom - можно на другой VFO отдельную ширину фильтра запоминать, тоже может быть полезно, но не первостепенно. См. там где около частоты ТХ загорается и надпись RX - это всё поясняет, надеюсь. Т.е. это временный (пока держит палец кнопку) прием частоты, которая оперативно подготавливается для последующей работы на передачу в сплит-режиме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3059] Автор : ur7cq Дата : 20.03.2018 21:33 На видео, при нажатии кнопки XFC , трансивер начинает принимать на частоте "В" вместо "А", отпускаем кнопку, принимает частоту " А ", т.е. прослушивание "В" вместо "А " возможно только когда удерживаем кнопку. На ширину фильтров не обращайте пока внимание. Даже если фильтра будут одной ширины. Просто необходимо иметь возможность оперативно слушать частоту " В ", как показано на видео. Главной фишкой есть то, что эта кнопка не фиксирует частоту " В " при нажатии кнопки, только когда удерживаем будет приём " В ", отпускаем и слушаем " А ". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3060] Автор : R3DI Дата : 20.03.2018 21:43 удалил. Только хотел перечитать... така сказать переосмыслить:smile:, а тут на тебе , и нет поста :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3061] Автор : ur7cq Дата : 20.03.2018 23:07 Только хотел перечитать... така сказать переосмыслить, а тут на тебе , и нет поста Не понимаю почему 2 шт таких поста подряд появилось, один удалил, а получилось 2 удалилось. Может подумайте, что именно не ясно, а мы подскажем. Я сейчас слушаю на на 7.019 3C0W, работает со сплитом, т.е . сам на 7.019 передаёт, а слушает от 7.020 .... и до 7.029, так вот, я не могу используя Маламут оперативно послушать частоту "В". Смысл вот в чем, нужно постоянно слушать частоту А, там работает 3C0W. Но он слушает выше, и не на одной частоте а в целом участке частот шириной 10кГц. Так вот, если я стану и буду всё время его звать например 5 кГц выше, и при этом кроме меня зовут ещё 300 станций и все в этом узком участке, шансов у меня сработать с ним мало, потому, что он ( оператор DX) постоянно крутит ручку и отвечает то на 2.5 кГц выше кому-то, то на 7 кГц выше, или медленно после каждой связи он своим приёмником смещается вверх, или вниз. А вся эта толпа не перестаёт звать. И шансов, что он на мой сигнал наткнётся мало. Если использовать сейчас Маламут, нет возможности слушая частоту А нажать кнопку, и мгновенно очутится приёмником на частоте В , удерживая эту кнопку покрутить валкодер, слушая, найти частоту где кто-то уже сейчас дозвался и даёт рапорт 599 для ДХ. Когда использую другой трансивер, где есть XFC я получается мгновенно нахожу частоту, что только-что слушал DX оператор, и самое главное, я эту частоту слышу "гетеродином" В, т.е. в режиме сплит, мой передатчик уже будет передавать на нужной мне частоте, что только-что слушал DX. При этом, шансы провести связь на порядок выше. Потому, что я за ним гоняюсь, постоянно подсовываю ему свой позывной именно там, где он только-что слушал, или рядом. Так делают все, без исключения, кто работает с DX в СW. Добавлено через 9 минут(ы): Добавлю, ничего нет в этом сложного, вот сейчас есть кнопка A/B , при помощи этой кнопки можно по очереди переключать частоту "А" и частоту "В", т.е. каждая частота фиксируется при нажатии. А нужно просто ещё одну кнопку, при нажатии которой, включалась частота "В" и была включена только при удерживании этой кнопки т.е. без фиксации, и чтобы при её отпускании трансивер мгновенно переходил на частоту "А". Всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3062] Автор : R3DI Дата : 20.03.2018 23:10 ur7cq, спасибо, теперь проясняется, понял так что ХЦФ по сути А/Б но не триггерная и все дело в оперативности, но тут и вопрос, мне кажется в Маламуте а/б для этого дела отрабатывает довольно медленно ну и сам сплит соответственно, для CW наверное так не годится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3063] Автор : ur7cq Дата : 20.03.2018 23:31 ur7cq, спасибо, теперь проясняется, понял так что ХЦФ по сути А/Б но не триггерная и все дело в оперативности, но тут и вопрос, мне кажется в Маламуте а/б для этого дела отрабатывает довольно медленно ну и сам сплит соответственно, для CW наверное так не годится? Да нет, по скорости отработки смены A на В вполне достаточно, годится 100%. Просто когда нет триггерного положения для В, тогда удерживаем кнопку одним пальцем, при этом, той-же рукой крутим валкодер быстро слушая меняющиеся частоты " B ", слышим что кто-то даёт рапорт и отпускаем кнопку, сразу получив частоту " А ", ДХ в это время уже опять даёт общий вызов на "А" и мы находясь в режиме сплит имеем автоматом частоту ТХ "В", что только-что слушал ДХ, нажимаем на передачу, зовём, как-бы предлагая свой позывной ему прямо в уши ;-). Оперативность как-раз в этом деле не от трансивера, а от нас самих будет востребована, как валкодером найти частоту, на которой рапорт дают сейчас. Сплит есть, как есть, и всё правильно организовано, также с A/B нормально, но нужна ещё одна кнопка, переключающая A/B не в триггерном режиме, а точнее положение " А " постоянное по умолчанию, и только при нажатии и удержании этой кнопки чтобы включалась частота " В ", но без фиксации, только пока удерживаем, отпустили - сразу имеем частоту А . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3064] Автор : ur7hfo Дата : 20.03.2018 23:37 Маламуте а/б для этого дела отрабатывает довольно медленно ну и сам сплит соответственно, для CW наверное так не годится? Я пока только детали собираю на Маламут, поэтому не могу сказать как медленно смена A/B гетеродинов происходит, но думаю если не более 100 мс, то вполне нормально. Нам при нажатой кнопке XCF только поймать нужно где DX ответил телеграфом 599 .. TU, и тут же пускаем кнопку, и начинаем звать деикса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3065] Автор : ur7cq Дата : 20.03.2018 23:44 Я пока только детали собираю на Маламут, поэтому не могу сказать как медленно смена A/B гетеродинов происходит, но думаю если не более 100 мс, то вполне нормально. Я уже всё проверил, не медленно, быстро, т.е. достаточно быстро, чтобы вообще не ощущать в этом режиме дискомфорта. Но приёмник меня в CW впечатлил, этого не отнять.;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3066] Автор : ua3ycv Дата : 21.03.2018 08:57 ur7cq, Но приёмник меня в CW впечатлил, этого не отнять.понять-ещё как понять!да и в ам очень не плохо:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3067] Автор : us5evd Дата : 21.03.2018 09:37 Не, это не порядок, проверил код - предположения сбылись - хоть для АМ и формировал несущую с уровнем 0,5 но забыл что синтез делала для IQ, а значит еще на 2 делить нужно было, - й. Добавлю немного, глубина модуляции в АМ в меню выставляется до 100% , а реально больше 30 не получается, когда agcoff, все работает, Сообщите, когда поправите. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3068] Автор : RX9UAO Дата : 21.03.2018 10:39 Расшифруйте пожалуйста agcoff. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3069] Автор : R3DI Дата : 21.03.2018 10:50 us5evd, оно оже поправлено, и 100% будет, если запас динамики у ару отключить, чего делать совсем не следует. Другое дело прошивка привязана к серийнику сейчас и доступна не всем. Добавлено через 6 минут(ы): RX9UAO, AGCoff- АРУ отключена -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3070] Автор : us5evd Дата : 21.03.2018 10:59 Другое дело прошивка привязана к серийнику сейчас и доступна не всем. Поясните. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3071] Автор : autosat Дата : 21.03.2018 11:13 Поясните. Это сарказм... ) Прошивка (https://yadi.sk/d/QuyGdEwM3H4HcB/v%201.3) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3072] Автор : R3DI Дата : 21.03.2018 11:16 Просто скопировать и залить последнюю прошивку не получится, она не запустится. Она и пока и не выкладывалась нигде. Пока прошивку отправляю индивидуально, тем кто брал плату у меня, достаточно сообщить id процессора и маил куда бы я мог отправить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3073] Автор : autosat Дата : 21.03.2018 11:20 последнюю прошивку О как! Защита от пиратов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3074] Автор : us5evd Дата : 21.03.2018 11:29 Евгений, спасибо. Отношусь с пониманием. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3075] Автор : RX9UAO Дата : 21.03.2018 11:39 Блин,совсем плохой стал:Женя написал большими буквами-все сразу понятно,а вот мелкими и невдомек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3076] Автор : R3DI Дата : 21.03.2018 11:54 .... и маил куда бы я мог отправить. Хотя майл не нужно, буду обновлять ее на Ядиске, так проще, т.е. только id нужно прислать. Это не только для тех кто брал у меня, но например с магазина SWрадио например, т.е. одна из моих плат. Но кого не помню, буду уточнять.... ПС. Возможно это временная процедура, а может и нет, зависит от многих факторов. Одним из которых, любимое хобби превратилось в рутинный бесплатный саппорт. Я согласен помогать по своим платам всегда, но когда ведешь переписку потом понимаешь, что ничего не понимаешь как запустить этот монтаж, а потом оказывается что обращаются к ”как к автору проекта”, а плыты брал другие совсем....вот уже гдето и писал, что энтузиазм падает квадрату количества клонированых плат. Я так и не пользуюсь не усб не цифр связями, вообше ни чем что было бы добавлено с момента открытия темы, все это было сделано благодаря р.любителям пожелавшим собрать трх и которые приобрели платы у меня. Как будет дальше - помотрим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3077] Автор : autosat Дата : 21.03.2018 12:37 энтузиазм падает квадрату количества клонированых плат Этого следовало ожидать... Купившие плату у R3DI — отчислили авторские, тем самым, стимулировали развитие проекта... Как будет дальше - помотрим. Всем повод задуматься. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3078] Автор : KIROSIN Дата : 21.03.2018 12:38 Хотя майл не нужно, буду обновлять ее на Ядиске, так проще, т.е. только id нужно прислать. Это не только для тех кто брал у меня, но например с магазина SWрадио например, т.е. одна из моих плат. Но кого не помню, буду уточнять.... ПС. Возможно это временная процедура, а может и нет, зависит от многих факторов. Одним из которых, любимое хобби превратилось в рутинный бесплатный саппорт. Я согласен помогать по своим платам всегда, но когда ведешь переписку потом понимаешь, что ничего не понимаешь как запустить этот монтаж, а потом оказывается что обращаются к ”как к автору проекта”, а плыты брал другие совсем....вот уже гдето и писал, что энтузиазм падает квадрату количества клонированых плат. Я так и не пользуюсь не усб не цифр связями, вообше ни чем что было бы добавлено с момента открытия темы, все это было сделано благодаря р.любителям пожелавшим собрать трх и которые приобрели платы у меня. Как будет дальше - помотрим. Евгений добрый день! Я понимаю что труд должен оплачиваться, но с некоторым я не соглашусь, а именно с привязкой прошивки именно к Вашим платам, то есть Вы получается исключили тот факт что не всем может нравится или нет возможности приобрести именно данный корпус, или хотят в свой корпус применить. если решили делать прошивку платной, об явите цену (разумную) и продавайте. А сам аппарат отличный получился большое спасибо Вам за него. Я собрал два штуки один на вашей плате и на другой плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3079] Автор : ur7hfo Дата : 21.03.2018 12:50 Пока прошивку отправляю индивидуально, тем кто брал плату у меня, достаточно сообщить id процессора и маил куда бы я мог отправить. Евгений, возможно ли будет в будущем оплачивать код активации прошивки, выслав Вам id процессора, и деньги, если плата трансивера куплена не у Вас ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3080] Автор : UA0BHC Дата : 21.03.2018 13:10 А id процессора написан на нём самом, или в программе при прошивке надо смотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3081] Автор : UA9olb Дима Дата : 21.03.2018 13:14 надо смотреть В меню обнуления FRAM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3082] Автор : R3DI Дата : 21.03.2018 13:43 ur7hfo, Дмитрий, да дело в том что делать платную прошивку я не хочу, это накладывает большую ответственность на конечный продукт со всеми вытекающими...об этом уже писал в теме. И то что сделать варианты плат под любой вкус и бесперебойно ими оперативно обеспечить всех желающих не могу - тоже это прекрасно понимаю. Но то что почти все свободное время уходит на личную переписку по запуску 95% не моих плат - вот мне это зачем ? (у меня вон 100Вт-ый маленький прицеп лежить - мне интереснее было б заняться им ;-)) Или "всего лишь написать код" и как правильно это сделать, то же почему то идет от владельцев сторонних плат, т.е. купив плату хоть каким % "отблагодарив" другого человека, я вдруг становлюсь "должен" только потому что - автор проекта - ( например подробно написать как тестером проверить FSTшку на их плате или какое напряжение должно быть на R145 - о котором я даже понятия не имею где оно на той плате и в схеме ). Да и по поводу "всего лишь написать код" что то вон в монке так валкодер и АМ никто не может поправить - видимо "всего лишь" - не просто на кнопки жмакать :smile:. Ну да ладно :smile:. Вот сейчас жду заказы плат ( один заказы сегодня в Москва уже "трекнулся" ) там и будет видно, если будет много - "спасибо, а я уже в другом месте взял" - тогда пока так... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3083] Автор : Сармат Дата : 21.03.2018 13:48 ....энтузиазм падает квадрату количества клонированых плат.... У меня лично не клонированная плата, плата другая, да. Чтоб делать другую плату, тоже надо долго сидеть и работать, пока плата станет работоспособной. Изначально все выложено в свободном доступе и каждый кто хочет мог воспользоваться этим материалом. И претензии о появлении плат, которые не распространял сам автор, как то не стыкуется с форматом форума. А как автор будет распоряжаться коммерческой версией прошивки, это уже его личное дело. Многие проекты, куда заложено ПО, так и заканчивается. Например, Тюльпан. Когда появилась коммерческая версия, форумная ветка заглохла. Авторы создали свой сайт, где идет уже раскрутка коммерческой версии, но желающих выкладывать денюжки оказалось немного. Маламут и так не дешевый проект, и ограничений несколько для желающих его повторят: финансы, специфика сборки и т.д. Еще раз скажу, автор может распоряжаться своим продуктом как хочет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3084] Автор : UA9olb Дима Дата : 21.03.2018 13:49 АМ никто не может поправить Уже сделали) не прошло и года.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3085] Автор : R3DI Дата : 21.03.2018 13:54 И претензии о появлении плат, которые не распространял сам автор, как то не стыкуется с форматом форума. претензии не появлении сторонних плат, - а в том что осуществлять их поддержку почему то приходится мне как "автору проекта" - что не помню таких правил в формате форума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3086] Автор : Сармат Дата : 21.03.2018 14:04 ....Но то что почти все свободное время уходит на личную переписку по запуску 95% не моих плат - вот мне это зачем ? ..... Это получается почти все платы не вашего выпуска не могут запуститься без вашего вмешательства...Нехорошая статистика -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3087] Автор : R3DI Дата : 21.03.2018 14:07 А как автор будет распоряжаться коммерческой версией прошивки, это уже его личное дело. и не одной коммерческой версией прошивки здесь не представлено, все прошивки рабочие, а о том что что должен быть пожизненный апгрейт - вроде как в правилах тоже нет. Это получается почти все платы не вашего выпуска не могут запуститься без вашего вмешательства...Нехо рошая статистика поясните пожалуйста -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3088] Автор : Сармат Дата : 21.03.2018 14:09 R3DI, Я не имел виду прошивки которые тут размещены, а речь идет о другой прошивке, которая будет привязана к ID проца. Поясняю по статистике 95 проц, ...получается ваши платы запускаются как бы без вашего вмешательства, а "чужие" платы без вас никак. Ну так же получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3089] Автор : autosat Дата : 21.03.2018 14:17 100Вт-ый маленький прицеп А вот это интересно, сам планирую такой "прицеп" соорудить (2хКТ956А). Весь тракт УМ будет: КТ610А + RD16 + 4xRD16 (40Вт -35Дб) и "прицеп" на 2хКТ956А (100Вт). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3090] Автор : R3DI Дата : 21.03.2018 14:25 а речь идет о другой прошивке, которая будет привязана к ID проца. Аа понятно, нет никакой прошивки привязаной к ID проца и не будет . Поясняю по статистике 95 проц, ...получается ваши платы запускаются как бы без вашего вмешательства, а "чужие" платы без вас никак. Ну так же получается. не факт - я говорю про лс - а это может всего 1% от всего имеющегося. Вы не середины диалога подошли ? Речь не о том - должны не должны сторонние платы, с моим или без участия- а о том что из-за сторонних у меня уже ни на что не остается времени. Вот и получается - время 14,20 (по месту) а мы все об одном и том же - а теперь представьте как объяснить как проверить триггер или FST человеку который с трудом понимает зачем он вообще там нужен и для чего - вот и говорю - ладно бы за свои платы, или в теме писали бы ( нашлось бы кому ответить) - так большая часть это за чужие платы - мне это зачем, мне есть куда время свое тратить... Итог - в ТС все необходимое согласно формату форума. Давайте на этом и завершим этот диалог. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3091] Автор : RA7KF Дата : 21.03.2018 14:26 Так все просто, тут на форуме разжеваны практически все мыслимые аспекты запуска трансивера, есть подробный FAQ, ну и в крайнем случае, можно оправлять вопросы к производителю плат. Так будет справедливо. Я так думаю ©(х/ф "Мимино"). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3092] Автор : ur7hfo Дата : 21.03.2018 14:27 Да и по поводу "всего лишь написать код" что то вон в монке так валкодер и АМ никто не может поправить - видимо "всего лишь" - не просто на кнопки жмакать Да полностью соглашусь, я видел у Вас еще в версии 2.0 у валкодера есть обработчик прерывания, что правильно, а в монке не так, из-за чего возможны подтормаживания валкодера. Вы сделали достаточно большой кусок работы, я хоть и "ардуинщик", но понимаю это. Также понимаю, как может забирать время работа в качестве "службы поддержки" для пользователей которым лень вникать в схемотехнику трансивера. А аппаратик у Вас удачный вышел, нравится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3093] Автор : R3DI Дата : 21.03.2018 14:50 Да и по поводу "всего лишь написать код" ага, к нашему разговору про XFC (если не путаю что с Вами был), вчера "пощелкал" и мне времянки самому не нравятся, сам знаю как это написал :-P, нужно переписывать, за работу отвечает структура SdrStr.SdrMode.... сделал поиск по проекту , :shock: 851 строка, так еще и в строке их не одно обращение .. Calculate_FIR_coef( SdrStr.SdrSetup.FILTER_SSB[SdrStr.SdrMode.Filter_SSB[ SdrStr.SdrMode.Band ]][0], SdrStr.SdrSetup.FILTER_SSB[SdrStr.SdrMode.Filter_SSB[ SdrStr.SdrMode.Band ]][1] ); потому А/В и было сделано через "одно место" :smile: ( с перезаписью структур ), а нужно то по нормальному делать ... - 851 строка - "всего лишь код написать":ржач::ржач::ржач::crazy2::facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3094] Автор : ur7hfo Дата : 21.03.2018 15:31 разговору про XFC (если не путаю что с Вами был), вчера "пощелкал" и мне времянки самому не нравятся, сам знаю как это написал Да, со мной, и с ur7cq, но он говорит что задержка вполне приемлемая, хотя обращений к SdrStr.SdrMode просто огромное количество :-) Еще попадаются такие конструкции - sprintf(text,"AGC%02d", SdrStr.SdrMode.AGC_TX ); Говорят, что потенциально от такого могут быть проблемы, но я не программист, надежнее наверное snprintf, вот тут статья интересная об этом - https://habrahabr.ru/post/326108/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3095] Автор : ua3ycv Дата : 21.03.2018 15:49 парни так на чём остановились?искать ид проца -плата куплена у автора-и в очередь?:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3096] Автор : KIROSIN Дата : 21.03.2018 15:55 А id процессора написан на нём самом, или в программе при прошивке надо смотреть? Судя по переписки выше, я вообще не понял :-| Женя говорит что прошивка не будет платной, и как-бы не против что есть другие версии плат. И прошивку не дает))) как в мультике "Простоквашино" я Вам посылку принес, но я ее вам не отдам :smile:. Если я правильно все понял -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3097] Автор : R3DI Дата : 21.03.2018 16:06 И прошивку не дает))) как в мультике "Простоквашино" я Вам посылку принес, но я ее вам не отдам . Если я правильно все понял Как так ? Вот же Прошивка (https://yadi.sk/d/QuyGdEwM3H4HcB/v%201.3) последняя , никакой привязки к id нет, другой нету. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3098] Автор : KIROSIN Дата : 21.03.2018 17:19 Как так ? Вот же Прошивка (https://yadi.sk/d/QuyGdEwM3H4HcB/v%201.3) последняя , никакой привязки к id нет, другой нету. Евгений это Вы опровергаете свои слова выше сказанные? Вы сами на писали прислать всем у кого ВАША плата ID для того что-бы Вы выслали новую прошивку, а именно V5.0 котрую вы уже начали рассылать. То есть получается Вы лишили всех остальных права выбора! это называется ЖАДНОСТЬ или как? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3099] Автор : R3DI Дата : 21.03.2018 17:28 это называется ЖАДНОСТЬ или как? это называется - прочтите внимательно со стр 307 и до конца. В которых я написал - что возможно пока так ввиду некоторых обстоятельств и закончилось тем, что так и не будет, нет никакой прошивки привязанной к id. В чем ЖАДНОСТЬ ? Кому не дал того что должен был дать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3100] Автор : UT0UM Дата : 21.03.2018 17:31 должен имхо, проект не коммерческий, поэтому автор никому ничего не должен хочет пишет прошивки, не хочет - не пишет прошивки если что-то не нравится, то никто не заставляет повторять -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3101] Автор : KIROSIN Дата : 21.03.2018 17:45 имхо, проект не коммерческий, поэтому автор никому ничего не должен хочет пишет прошивки, не хочет - не пишет прошивки если что-то не нравится, то никто не заставляет повторять Да не кто не спорит, как говорится все в Евгения руках. Но почему тогда такая выборка, отправляет прошивку 5.0, а другим нет. У меня одна плата от Евгения, и одна из Украины, и еще одну собираю у местного Крымчанина взял. Это выбор каждого на какой плате собирать, да не спорю Евгений проделал колоссальный труд за что нужно отдать ему должное. Но так не поступают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3102] Автор : ra9qba Дата : 21.03.2018 17:49 Тут изначально было ясно, что небольшая сумма будет автору перепадать от продажи ПП, тем самым заинтересовывало его продвигать проект. Автор не случайно герберы не выкладывал в общий доступ. И все кто приобрел платы у сторонних производителей должны были осознавать на что идут. Жадность тут не причем, Вы ведь на работу "за спасибо" не ходите? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3103] Автор : KIROSIN Дата : 21.03.2018 17:53 Тут изначально было ясно, что небольшая сумма будет автору перепадать от продажи ПП, тем самым заинтересовывало его продвигать проект. Автор не случайно герберы не выкладывал в общий доступ. И все кто приобрел платы у сторонних производителей должны были осознавать на что идут. Жадность тут не причем, Вы ведь на работу "за спасибо" не ходите? Ну если вы читали что я писал выше, то должны знать что я предложил Жене продавать прошивку, он сказал что не будет и тем самым получается что нет выбора как только его брать плату, и нет выбора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3104] Автор : R3DI Дата : 21.03.2018 18:02 Но так не поступают. зато вот так поступают т.е. купив плату хоть каким % "отблагодарив" другого человека, я вдруг становлюсь "должен" только потому что - автор проекта - ( например подробно написать как тестером проверить FSTшку на их плате или какое напряжение должно быть на R145 - о котором я даже понятия не имею где оно на той плате и в схеме ). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3105] Автор : autosat Дата : 21.03.2018 18:03 Но так не поступают А что не так? По мне, всё так... И пожалуйста, перестаньте накалять обстановку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3106] Автор : AlexJ Дата : 21.03.2018 18:08 и нет выбора. А чем Вас не устраивает версия 4.9? полностью рабочий вариант. ставьте и работайте, какие проблемы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3107] Автор : R3DI Дата : 21.03.2018 18:12 тем самым получается что нет выбора как только его брать плату, и нет выбора. И чего вдруг так получается ??? Вы и сами написали что платы разные и 4,9 на всех работает, или не так? Теперь про 5,0 гипотетическую , вот чтоб А/В хорошим сделать там 850 строк и это только обращения без дальнейшей логики , мне наверное на неделю работы а может и больше ... Сколько Ваша неделя стоит? Вы готовы поработать за "так не поступают" ? А может тему закрывать нужно, а то год жила себе - потом автор вдруг ввел функцию - но до конца же ее не сделал! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3108] Автор : autosat Дата : 21.03.2018 18:39 хотел ему пояснить Мне кажется, не стоит. Евгений, Вы лучше расскажите о "прицепе" на 100Вт, вот это интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3109] Автор : RK3AQW Дата : 21.03.2018 18:58 R3DI,Жень вот по усилителю выкладываю то, что обещал(раньше не смог,дела семейные,жена приболела),что получилось,при 50 ваттах 2-я гармоника подавлена 24 дб 3-я 34 дб,надо поиграться с ОС выходных тр-в,задавить мощу в пределах разумного ватт до 35-40 вторая шпилька 14 МГц,3-я 28 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3110] Автор : RX9UAO Дата : 21.03.2018 19:21 autosat, посмотрите вот это,может пригодится.Повторялся не раз.С Маламутом работает на ура. https://cloud.mail.ru/public/JAem/dRHmGGKKV -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3111] Автор : UU5JPP Дата : 21.03.2018 19:23 Минуточку , на работе ? ... что ли занимаетесь, что то не верится - Вы ж тут как раз обратную "дугу гнете" Женя я разве Вам что то писал? Начнем с того что Ваша разработка "MALAMUTE" чисто случайно оказалась у меня в дипломной работе, и все пошло с того что мне нужно было разработать печатную плату, а для этого нужно было изучить программу "altium designer" И когда я разработал, я защитил свой ДП (кстати защитил по неисправной плате) и если помните я скидывал 3D модели еще до нового года. Я не знал что это так все обернется, если Вам от этого станет легче то у меня плат осталось пару штук и больше нет и я не собираюсь их заказывать, у меня сейчас другие дела и задачи, и не нужно меня тут затрагивать, с Артуром я уже переговорил по поводу этого всего. P.S Диплом защитил на 5 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3112] Автор : autosat Дата : 21.03.2018 19:27 RX9UAO, Спасибо, буду изучать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3113] Автор : R3DI Дата : 21.03.2018 19:37 UU5JPP, Антон, мои извинения, домал что про зарабатывание это как раз камень в мой огород, я ж как раз пишу что без мотивации ” крокодил не ловится, не расет кокос ”:-P. В случайности и в сказки со временем, к сожалению, перестаешь верить, но то , что Вы взяли Маламу в качестве диплома, считаю заслуживает уважения, не простой проект. Я недавно тоже диплом защищал, цифр.осцил собственный, но не в этом дело, я там стариком считался на заочке, а в основном там молодежь после колледжа была, так у них дипломы- это лин.стабилизаторы и трехточки былв. Надеюсь Вас там по Маламуту не сильно мучали. А понять не могу где Артур вычитал о пожизненой холяве , или может код у меня сам в компьютере пишется, а я такой жадный не делюсь им.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3114] Автор : autosat Дата : 21.03.2018 19:39 RV3NJ, Не стоит переходить на личности. Ваша разработка "MALAMUTE" чисто случайно оказалась у меня в дипломной работе Даже так! Тогда в знак благодарности, "позвольте" Евгению распоряжаться результатом своей интеллектуальной деятельности — по своему усмотрению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3115] Автор : UU5JPP Дата : 21.03.2018 19:41 UU5JPP, Антон, мои извинения, домал что про зарабатывание это как раз камень в мой огород, я ж как раз пишу что без мотивации ” крокодил не ловится, не расет кокос ”:-P. А понять не могу где Артур вычитал о пожизненой холяве , или может код у меня сам в компьютере пишется, а я такой жадный не делюсь им. Жень пришлите мне Вашу почту или номер телефона в личку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3116] Автор : UN7RX Дата : 21.03.2018 19:44 И кстати, куда модераторы смотрят Алексей, мне и вам балла три влепить? За ненужный флуд. Или вы думаете что модераторы денно и нощно сидят на форуме и уж тем более в конкретной теме и отслеживают керосинщиков? Для этого и существует кнопка "Отправить сообщение модератору". Нажали - мы отреагировали. Возмущения в виде постов в теме, колокольного звона не издают. Давайте не будем тратить нервы по поводу не умеющих себя вести в обществе. Данный пользователь отправлен в вечный отпуск. Беседуйте спокойно и по теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3117] Автор : RA1CAC Дата : 21.03.2018 19:55 Спасибо. И прошу прощения, не сдержался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3118] Автор : R3DI Дата : 21.03.2018 20:10 autosat, про 100Вт прицеп... сделал платку , вся на полевиках, размером , чтоб на заднюю стенку Маламута установить, 100Вт наверное не следует снимать, но меньше и чтоб с лучшим ИМД - такая идея присутствует.... лежит уже месяц наверное, спаял, что то пробовал по включению, но нормально им занятся совершенно нет времени :-| и делать какие либо выводы рано -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3119] Автор : RA1CAC Дата : 21.03.2018 20:32 ...100Вт прицеп...А я вот к этому (http://www.dj0abr.de/german/technik/limaSDR/100W_PA.htm) присматриваюсь. Тем более, что RD100 в столе валяются, проверенные, исправные, и бинокль тоже имеется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3120] Автор : RK3AQW Дата : 21.03.2018 20:32 R3DI,нашел как сохранять изображения) 25 и 50 ватт оптимально 35 -40 ватт последнее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3121] Автор : R3DI Дата : 21.03.2018 20:42 RK3AQW, во, спасибо, хорошие результаты, я сначала первую 26дб увидел, удивился , не уж то это на 50Вт, потом увидел что 17дБ, получается 23дБс, чесно, думал будет хуже, а это результат радует. Если будет время , то проверте драйвер, у меня был уже опыт, когда пытался добится имд с оконечника, а дело было в том что уже 20дб с драйвера выходило, а последующий каскад обычно 10ку ухудшает...это к тому что вдруг можно еще лучше;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3122] Автор : RK3AQW Дата : 21.03.2018 21:02 R3DI, на ОРА2674 драйвер запаяю,все же разброс 591 имеет место быть,да и 591 мне и раньше не очень нравился ,+поиграть с токами покоя по отдельности и ОС,оптимально 30-35 ватт,а то дальше мусор лезеть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3123] Автор : Sergey_Lapin Дата : 21.03.2018 21:09 R3DI, Жень! Еще раз СПАСИБО за аппаратик! "Маламут". :пиво: Вот какой день кручу, слушаю. :-P Нет бы дальше впаивать ДПФ, пока что впаял на 3.9-7.5 Мгц, но уже радует. (Хотя посмотреть АЧХ ДПФ, что получилось, не чем.) А я нет же .....все оторваться не могу , слушаю!))):roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3124] Автор : R3DI Дата : 21.03.2018 21:16 Sergey_Lapin, Сергей, была такая идея - кнопка фукция , которая задействует свободный клок сишки длч ГКЧ, ну и еще по интерфейсу, те Маламут преврящается в прибор по настройке/оценки проверки самого же себя, с графиками на экране и тд и тп.... эх и как обычно, время, время, время... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3125] Автор : Sergey_Lapin Дата : 21.03.2018 21:19 Маламут преврящается в прибор по настройке/оценки проверки самого же себя, с графиками на экране и тд и тп.... Это было бы вообще здорова!:пиво::пиво::пиво: Жаль я не волшебник:-(, что бы подарить вам Время!:smile: Которое действительно ценно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3126] Автор : autosat Дата : 21.03.2018 21:19 Маламут преврящается в прибор по настройке/оценки проверки самого же себя Становится всё интересней и интересней... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3127] Автор : RK3AQW Дата : 21.03.2018 21:21 R3DI, к стате,про ГКЧ,если он есть, то очень хорошо можно по экрану отстроить подавление 2-й боковой на прием)NWT когда подключал заметил,там два маркера в разные стороны разбегаются и когда менюшку крутишь,один из них исчезает по мере приближения к основной частоте. пс. токи можно выставлять по 200-250 ма(тут где-то ранее писал) на вых тр-ры,крутил до 500 ма,разницы по ИМД нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3128] Автор : R3DI Дата : 21.03.2018 21:21 RK3AQW,591... да и вообще, с заземленным эмитером... недавно перечитал Хоровица и Хилла, но ща не именеем ничего, как говорится, и ими был доволен. Потому теперь желание полность на полевых схему опробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3129] Автор : RA1CAC Дата : 21.03.2018 21:27 ...кнопка фукция , которая задействует свободный клок сишки длч ГКЧ, ну и еще по интерфейсу, те Маламут преврящается в прибор по настройке/оценки проверки самого же себя...Идея интересная, но здесь есть подводные камни. Где-то читал статью про NWT на сишке - такой ГКЧ требует для своей работы синхронно перестраиваемый смеситель, с узкополосным фильтром, чтобы мусор отсеивать. Значит придется еще и третий выход сишки использовать, со смещением на частоту этого фильтра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3130] Автор : RK3AQW Дата : 21.03.2018 21:31 RA1CAC, если честно,я не в восторге от Сишки в данном аппарате,одно только сдерживает-это цена :-( на более серьезные синтезаторы сопоставимые цене маламута -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3131] Автор : RA1CAC Дата : 21.03.2018 21:42 ..я не в восторге от Сишки в данном аппарате...Что делать - меандр, он и есть меандр. Однако, я пока своего мнения не составил - остался последний штрих - запаять смесители, сегодня пришли. Но на мой взгляд, в Маламуте сишка на своем месте применена. И еще. Здесь проскакивало как-то, (но Евгения по-моему не заинтересовало) - я бы попробовал использовать два выхода сишки в противофазе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3132] Автор : RK3AQW Дата : 21.03.2018 21:44 R3DI, в 591-й двухтактник,надо делать с глубокой ОС,уменьшим ИМД и соответственно усиление упадет и сразу просится еще один каскад, на более мощных тр-рах, чтоб без палок качать РД16,либо ставить опа2674 ))хотя считаю ,что малышь удался на славу,Был UW3DI теперь R3DI,только с первым надо было повозиться,второй запаял и работает) два выхода сишки в противофазе., там не все так сладко на выходе получается,тут или в монке обсуждалось,большой плюс для носимо-возимого аппарата ,это ее ток потребления -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3133] Автор : RA1CAC Дата : 21.03.2018 21:49 ...там не все так сладко на выходе получается...Видимо да, потому как не припомню широкого применения такой схемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3134] Автор : R3DI Дата : 21.03.2018 22:23 Сишка как ГКЧ, так это просто для оценки, чтоб понять в границах или совсем в трубу...бывает нет под рукой прибора, ну или доставать лень, а тут жмак кнопку и на экране график ачх бенда... правда нужно клока пинцетом каснуться , как антеннка... хотя такие касания бывают до добра бывает не доводит :ржач:, но опять таки - за не имением лучшег... Сишка и квадратура, в этой теме писал, пробовал, ограничение по НЧ и ВЧ, минус 1.8мГц диапазон... Сишка и меандр, не вижу тут плохого, тк для смесителя он и нужен, да и формирователь iq в конечном счете его и делает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3135] Автор : RA1CAC Дата : 21.03.2018 22:44 Сишка как ГКЧ, так это просто для оценки, чтоб понять в границах или совсем в трубу...бывает нет под рукой прибора, ну или доставать лень....Не уверен. Лишняя неотфильтрованная грязь в сигнале ГКЧ может сильно исказить АЧХ. Ох, чувствую я, Евгений, найдете Вы себе проблем с этой плюшкой. Обязательно найдутся керосинщики - обхаят и будут требовать "нормальной работы прибора..."(с). Опять же скажу Вам как программер программеру - чем меньше степеней свободы дает прошивка юзеру, тем меньше вопросов у него возникает, и как следствие, у него создается очучение надежной работы прибора. Сишка и квадратура, в этой теме писал, пробовал, ограничение по НЧ и ВЧ, минус 1.8мГц диапазон...Видимо я опять прохлопал ушами этот момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3136] Автор : R3DI Дата : 21.03.2018 22:51 RA1CAC, да :-P , меня уже посящали мысли ”идеального трансивера” для предостааления общественности - стрелочный с-метр с бумажной шкалой :shock:. Так и есть , любая ”плюшка” обычно только мороки добавляет и думаешь потом - а стоит ли ее вообще добавлять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3137] Автор : Love777888 Дата : 21.03.2018 23:00 Подскажите почему греется в ФНЧ колечко? Что может быть? Почему насыщается? И кондеры синие китай, горячие как сковорода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3138] Автор : DeXter Holland Дата : 21.03.2018 23:21 Что может быть ачх смотрели по факту? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3139] Автор : Love777888 Дата : 21.03.2018 23:25 Пока нечем, проверил только по емкостям, некоторые заменил. Явно будут вопросы и с ачх. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3140] Автор : R3DI Дата : 22.03.2018 02:18 Love777888, ввиду постройки РА на полевиках, мне тоже стал интересен этот вопрос, а хватит ли Т30ых для 15..25Вт?... Посмотрел в рабочем на 20Вт , т37е теплые, посмотрел на домашнем... и удивился...оказалось что греется средний в фильтре синий конденсатор, теперь сомневаюсь что в рабочем грелось. Тепловизор нада, а коллега в отпуске. В фт817 т25 стоят и кондесаторы смд, но и 5Вт всего канечно, но схема ФНЧ в Маламуте именно с него. Еще меня все же смущают Ваши показания мощности, Вы вроде меряли емкость затворов и вроде как она была в пределах нормы....А земеры без ФНЧ делали , осциллограммы смотрели? У меня давно однажды выбило синий китайцатор, не помнб как обнаружил, мощи не было но и прием на диапазоне пропал. Еще ведите проверку сигнала покаскадно, может где ранее проблема начинается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3141] Автор : RA1CAC Дата : 22.03.2018 07:26 ...мне тоже стал интересен этот вопрос, а хватит ли Т30ых для 15..25Вт?... Посмотрел в рабочем на 20Вт , т37е теплые....Меня этот вопрос с самого начала смутил. Народ вон - до 60 вт раскачивает. Поэтому сразу пересчитал ФНЧ на Т50. Нормально встали, как родные, чуть наискосок, места вполне хватает. И провод взял потолще - 0.6 мм. Кстати, на Т50-2 2L1 и 2L2 вполне помещаются этим проводом. И еще разорился на конденсаторы 1206 500...1000V - дорогие в розницу, однако - от 30 до 60 р. за чип. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3142] Автор : UA7KJ Дата : 22.03.2018 07:44 Сишка как ГКЧ, Пробовали такое делать в своем синтезаторе http://www.cqham.ru/forum/showthread.php?34517-Synthesizer-on-Mega-2560-3-2-TFT-LCD-Si5351-V2-0&p=1330921#post1330921 не получается. Картинку АЧХ можно накрутить на дисплее правильную но действительная АЧХ будет совсем не та. Делают вот так http://dspview.com/viewtopic.php?f=14&t=183 РА можно сделать по схеме SW-ки. Он уже проверен годами это к тому, чтобы не тратить время на эксперементы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3143] Автор : Love777888 Дата : 22.03.2018 09:41 Love777888, ввиду постройки РА на полевиках, мне тоже стал интересен этот вопрос, а хватит ли Т30ых для 15..25Вт?... Посмотрел в рабочем на 20Вт , т37е теплые, посмотрел на домашнем... и удивился...оказалось что греется средний в фильтре синий конденсатор, теперь сомневаюсь что в рабочем грелось. Тепловизор нада, а коллега в отпуске. В фт817 т25 стоят и кондесаторы смд, но и 5Вт всего канечно, но схема ФНЧ в Маламуте именно с него. Еще меня все же смущают Ваши показания мощности, Вы вроде меряли емкость затворов и вроде как она была в пределах нормы....А земеры без ФНЧ делали , осциллограммы смотрели? У меня давно однажды выбило синий китайцатор, не помнб как обнаружил, мощи не было но и прием на диапазоне пропал. Еще ведите проверку сигнала покаскадно, может где ранее проблема начинается. Приветствую Евгений! До фнч вч вольтметром снимаю 50 вольт, это я про 10м фнч веду речь, после фнч не помню ватт 8-10 насчитал я, да и подлительно ксв мерке с ваттметром показует почти 10. Грелся на 100пф, сразу стоящий после ум, заменил его, до замены было 0.5ватта и бешеный ток всего трансивера в режиме передача, сейчас 10 ватт. Греется первое кольцо после ум, немного теплые конденсаторы. Похоже с ростомтобой частоты конденсаторы давят вч на общий. Может нужно специальные покупать в вч? Подскажите npo подойдут? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3144] Автор : RA1CAC Дата : 22.03.2018 09:50 ...Подскажите npo подойдут?Да. NP0 и C0G - самое то, что нужно для ФНЧ. Еще слюдяные подойдут, но искать старые советские - проблемно, а Silver Mika - дорого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3145] Автор : UA7KJ Дата : 22.03.2018 10:47 До фнч вч вольтметром снимаю 50 вольт, это я про 10м фнч веду речь, А Вы смотрели АЧХ ФНЧ? По схеме там срез 28.8мГц и это я считаю маловато. На 28.0мГц ничего не греется но если поднимать частоту выше начинает греться 2L9. У меня конденсаторы smd 1206 и нечего с ними не случается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3146] Автор : Love777888 Дата : 22.03.2018 10:59 Нет не смотрел нечем, разве что прогнать от и до а вч вольтметром снимать показания и строить график -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3147] Автор : RW6HCH Дата : 22.03.2018 12:00 Подскажите почему греется в ФНЧ колечко? Что может быть? Почему насыщается? И кондеры синие китай, горячие как сковорода. одна из причин-возбуждается усилитель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3148] Автор : RW6MQ Дата : 22.03.2018 12:07 "Синий китай" не предназначен для ВЧ напряжения. Ставьте керамику СМД 1206 - 50В , никаких проблем, проверено годами в конструкциях УМ SW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3149] Автор : R3DI Дата : 22.03.2018 12:46 "Синий китай" не предназначен для ВЧ напряжения а какие они емкости на ВЧ показывают.... для ведра самое то ))) А вот есть еще черные, часто подобные видел на фото в тюнерах и тд - кто может пробовал их использовать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3150] Автор : RD3Q Дата : 22.03.2018 12:54 Отличные конденсаторы для ВЧ цепей! Но... дорого! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3151] Автор : UA0BHC Дата : 22.03.2018 13:05 Отличные конденсаторы для ВЧ цепей! а где их можно купить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3152] Автор : RD3Q Дата : 22.03.2018 13:17 UA0BHC, набрать в поисковике название - море ссылок получаете! Дорого получается комплект купить на ФНЧ! Вот почему вражеская аппаратура дорогая!:-P Для мощности 100 Вт и менее наилучшее решение - СМД керамика 1206 1812. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3153] Автор : RW6MQ Дата : 22.03.2018 13:22 Для мощности 100 Вт и менее наилучшее решение - СМД керамика 1206 1812. Во-во, но все любят с 100 кратным запасом и "пожирнее". Не нужно портить концепцию аппарата - в данном виде он почти идеален :) Нужна моща - ставьте внешний УМ. ИМХО -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3154] Автор : R3DI Дата : 22.03.2018 15:14 Друзья! Кто активно работает в CW на Маламуте? У меня есть пара вопросов про XCF, интересует именно практика . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3155] Автор : rk3tv Дата : 22.03.2018 15:23 R3DI, Эта функция применяется не только в телеграфе, но и в SSB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3156] Автор : R3DI Дата : 22.03.2018 15:28 Gennady RK3TV, я понимаю :smile:, спасибо. Меня интересует практика работы CW на Маламуте, ну и кое что поспрашивать наверное придется.... не сколько про XFC, сколько вообще по режимам , удобству, расположению и тд... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3157] Автор : rk3tv Дата : 22.03.2018 15:41 R3DI, я думаю и наверно с мной согласятся многие, что эта функция должна быть в строке вместе с "A/B; A=B; Split", потому что функция работает при активированной функции "Split", то ли ты работаешь в эфире в "CW" или "SSB" естественно с "DX" станциями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3158] Автор : RK3AQW Дата : 22.03.2018 15:59 R3DI, Жень,теоретически просто)это аля второй приемник,т.е. слушаешь станцию которая принимает на частоте(1),передает на частоте (2),-нажимаешь кнопку и ручкой или валкодером крутишь на 1-20кГц выше или ниже на частоту приема корреспондента(1),валкодер остановил,нажал на кнопку и ты опять на частоте (2)а передача будет на частоте 1,там где валкодер на приеме остановился ,нажимаешь на ТХ а передача выше или ниже на частоте(1),обычный Сплит,с функцией передачи и приема на другой частоте.Нажатием кнопки XFC короче,можно просматривать эфир,отключением возвращаться на начальную частоту. По мне так и функция А=В приемлема,только движений много и кнопок добавиться.Вот смотрю на Айком-756 там все есть),но габариты не для переноски) и не СДР,шумноват. ПС кнопок конечно лучше 2 тоды добавить RIT(покрутить послушать)и RIT TX или объединить в одну на 2 переключения и +1 кнопку сброс на исходную частоту можно добавить,тогда будет все оперативно и полноценно.Но стоит ли это городить?На панели места маловато,у меня правда с запасом на 2 см шире корпус. Мы ж тогда с тобой говорили,что за основу было взято управление от 817.Т.е. носимый -портативный,хотя можно (я не программист) выходить на другой уровень аппаратика по управлению)))),но панел сразу будет другой(большой)как у Тюльпана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3159] Автор : R3DI Дата : 22.03.2018 16:14 Gennady RK3TV, RK3AQW, это мне понятно, но все равно спасибо что не оставили без внимания. Я немного о другом, вот пример - проектирую трх и коллега по работе увидел что применяю валкодер для настройки и стал мне доказывать что это вчерашний день и нужно кнопки +- :-P - я ему - вот покрутишь - узнаешь. Это все к чему - все введения по CW у меня были основаны на теоретических знаниях, к сожалению, не практик в этом вопросе, и понимаю что для практика видение может быть иным, то что для меня может казаться мелочным - для него существенным. Дело не в самой XCF, я понимаю как она должна работать, несколько страниц назад подробно рассказали. Меня интересует практическое применение Маламута в CW, XCF просто к слову пришлось, как продолжение того диалога, может кому есть что добавить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3160] Автор : Serg Дата : 22.03.2018 16:19 R3DI, XFC иcпользуют во всех видах работы (CW-SSB-цифровые) при связях с DX, это как бы функция режима сплит. Суть в том, что с DX станцией хотят провести QSO очень много людей, чтобы не было каши, DX оператор объявляет, что будет выбирать вызывающих в определенном интервале частот, обычно от 1 или 5 кГц и выше... Т.е. вызывающему нужно оперативно менять свою частоту передачи, естественно, нужно быстро переходить на передающий VFO (это и есть функция XFC!) и слушать частоту, где передавал прошлый корреспондент, которому отвечал DX. Такая вот игра для больших дядек... Спрашивайте, какие еще вопросы есть? Кнопки +\- для перестройки частоты, ну как вам сказать, это просто для безделья или пришло из канальных станций сиби-диапазона. Очень не приоритетная функция для ham-операторов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3161] Автор : rk3tv Дата : 22.03.2018 16:29 RK3AQW, Аж сам запутался описывая функцию! Как происходит проведение DX связи не важно в какой "моде" CW или SSB. VFO "А" в основном всегда участвует как "1" приёмник, а VFO "B" всегда участвует при активации функции "Split", как передатчик с разносом частот в CW 1КГц и выше в SSB 5 КГц и выше. Для того чтобы послушать частоту передачи, то есть VFO B мы можем нажать кнопку "A/B". но на с фиксацией, поэтому чтобы вернуться обратно к VFO B нужно опять нажать кнопку "А/В". А функция "XFC" позволяет эти манипуляции не производить, а просто нажать и удерживая эту кнопку слушать частоту передачи, то есть "VFO-B", отпустив кнопку трансивер автоматически переходит к "VFO-A, то есть на частоту "DX" станции. Ну вроде объяснил как мог? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3162] Автор : UA7KJ Дата : 22.03.2018 17:02 функция должна быть в строке вместе с "A/B; A=B; Split", Ни каких функций в меню дописывать не надо. Еще есть две не задействованные кнопки. Так вот нужно, чтобы пока держим кнопку пальцем (или чем нибудь другим) при этом трансивер должен переключиться на противоположный VFO не важно какой был включен А или В и при этом если крутили валкодер при нажатой кнопке XFC при ее отпускании должна сохраняться частота та что была на шкале. И не важно в каком режиме будет работать трансивер в CW или SSB но фильтра нужно включить те, что надо. Вообще то любителя каких то участков бендов можно накрутиь и включать нужные учаски с любыми модами и фильтрами трансивер Евгения это позволяет за, что ему огромное спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3163] Автор : RK3AQW Дата : 22.03.2018 18:22 del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3164] Автор : rk3tv Дата : 22.03.2018 18:39 Вообще, если честно говорить, то ничего вводить то не нужно, а почему, да потому, что этот аппарат, за что Евгению большое спасибо, задумывался "разработчиком" совсем для других целей. Этот аппарат, как говорил Евгений, "походный вариант" и свои функции он выполняет превосходно даже с лихвой. Каких "DX"-ов ВЫ хотите "отхватить" на антенну, которая может быть простой "верёвкой" или диполем подвешенным на высоте максимум 10 метров и в лесу с 15 ваттами да с таким хорошим "прохождением"!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3165] Автор : ur7cq Дата : 22.03.2018 18:52 Вообще, если честно говорить, то ничего вводить то не нужно, а почему, да потому, что этот аппарат, за что Евгению большое спасибо, задумывался "разработчиком" совсем для других целей. Этот аппарат, как говорил Евгений, "походный вариант" и свои функции он выполняет превосходно даже с лихвой. Каких "DX"-ов ВЫ хотите "отхватить" на антенну, которая может быть простой "верёвкой" или диполем подвешенным на высоте максимум 10 метров и в лесу с 15 ваттами да с таким хорошим "прохождением"!! ! Конечно не нужно, как-же, потому, что вы так решили? Это типа вам не нужно, значит и никому больше? Типа вот она конфетка, смотрите на неё, но кушайте другие конфеты, а эта создавалась чтобы на неё смотреть. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3166] Автор : Love777888 Дата : 22.03.2018 19:00 одна из причин-возбуждается усилитель. Нет осциллограф кажет только увеличение вольтажа от каскада к каскаду и ничего лишнего. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3167] Автор : ur7cq Дата : 22.03.2018 19:25 Евгений, зачем дублировать включение расстройки RIT , и кнопкой и нажатием энкодера? Я вот что предлагаю: Можно кнопку RIT не дублировать нажатием энкодера, а использовать нажатие на энкодер, для "замены" RIT на XFC. На практике это выглядит так: включаем в меню строку : VFO-A/B A=B SPLIT RIT далее нажимаем на энкодер, слышим звуковой сигнал, и строка у нас выглядит уже вот так: VFO-A/B A=B SPLIT XFC нажимаем ещё раз энкодер и строка уна с опять выглядит так: VFO-A/B A=B SPLIT RIT По моему, очень удобно, тем-более, кнопка XFC будет ближе к валкодеру, можно будет большим пальцем удерживать кнопку XFC , при этом одновременно крутить валкодер остальными пальцами. Удобно, и одной руки достаточно, только-что попробовал, идеально! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3168] Автор : R3DI Дата : 22.03.2018 19:32 ur7cq, да так и сделал VFO-A/B A=B SPLIT XFC, RIT вообще перенес, он особо и ненужен, потому как на SEL он имеется. но пока решил оставить. Только вот XFC показалось что не очень удобно - прям у валкодера в коппусе G767 получается, очень близко, возможно удобнее будет XFC VFO-A/B A=B SPLIT, но это только практика покажет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3169] Автор : UA7KJ Дата : 22.03.2018 19:46 одновременно крутить валкодер остальными пальцами. Удобно, и одной руки достаточно И при этом трасивер еще прибить гвозьдями. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3170] Автор : ur7cq Дата : 22.03.2018 19:51 И при этом трасивер еще прибить гвозьдями. Двух-сторонним скотчем к столику, проблем нет. :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3171] Автор : UA4FTA Дата : 22.03.2018 20:06 На тангенте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3172] Автор : ua3lnm Дата : 22.03.2018 21:51 На тангенте. Не согласен. Я к примеру на ключе работаю левой рукой,а валкодер кручу правой в поиске вызывной частоты. Вопрос ? какой конечностью нажимать кнопку на тангенте. Хотя для SSb вполне актуально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3173] Автор : UT0UM Дата : 22.03.2018 22:20 На тангенте. а вот я даже не знаю где все мои тангенты т.к. ссб не работаю куда мне нажимать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3174] Автор : UA4FTA Дата : 22.03.2018 22:24 Дело вкуса,но зачем так развивать эту мысль-автор сам решит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3175] Автор : R3DI Дата : 23.03.2018 21:11 Так же ж уже решено, пост #3168. Не ясно пока сделать XCF справо или переместить в лево, но это уже будет видно дальше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3176] Автор : rk3tv Дата : 23.03.2018 22:38 R3DI, Евгений, добрый вечер! Если не затруднит сообщите свой электронный адрес в личку rk3tv@yndex.ru -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3177] Автор : ur5yfv Дата : 23.03.2018 22:48 Евгений, R3DI (http://www.cqham.ru/forum/member.php?34878-R3DI), а что такое SX ... вчера появилось. Высвечивается только заставка и внизу три группы цифр ! Это оно и есть ? То что я думаю ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3178] Автор : R3DI Дата : 23.03.2018 23:57 Добрый вечер всем! ur5yfv, Да видимо то что Вы думаете. Пока так назвал как тестовую, без номера версии, с утра одна к вечеру другая, много кода правил, то о чем речь была про а/в и тд, что там наворотил - времени нет на проверки и тесты. Закрыта пока, чтоб вопросв всяких избежать, мало ли что ухудшилось наоборот да то о чем ранее писал. Хотите поучавствовать номерок в лс, добавлю по мере/время возможности. Давайте без ” диалога четверга”. Придет время - будет доступной. Отнеситесь с пониманием. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3179] Автор : Модест Петрович Дата : 24.03.2018 16:11 господа, т.к. тема очень обширна, прошу подсказать: есть ли возможность организовать в трансивере два независимых канала манипуляции телеграфа? к примеру один канал по САТ (прямая манипуляция), другой канал встроенный эл. ключ? либо два не зависимых друг от друга входа для манипуляции, один с прямой манипуляцией, другой через встроенный эл. ключ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3180] Автор : R3DI Дата : 24.03.2018 16:17 Модест Петрович, по алгоритму могу сказать следующее, - ключ не запрещает работу САТ, а САТ не запрещает манипуляцию ключа. Но может вопрос не совсем понял, может кто даст ответ более конкретнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3181] Автор : Genadi Zawidowski Дата : 24.03.2018 16:24 Речь о том, чтобы с CAT подавать не на вход манипулятора (что требует переключения электронного ключа в режим вертикального манипулятора) - а объединить по ИЛИ сигнал от CAT и выход электронного ключа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3182] Автор : Модест Петрович Дата : 24.03.2018 16:32 Евгений, добрый день! объясню более подробно, для тех, кто знает телеграф, но им не работает :). телеграфные соревнования. по САТ трансивер соединен с кампутером с USB портом для считывания/управления частотой. работа идет (не суть важно через какую) программу для соревнований. при работе по Enter, в эфир передается контрольный номер/позывной/ и т.д. программа формирует телеграф и через интерфейс в трансивер подаются сигналы (замыкание-размыкание, прямая манипуляция) телеграфа. но весьма необходимо иметь в трансивере отдельный вход для манипулятора, который бы задействовал встроенный эл. ключ трансивера. для чего это нужно? для удобства работы именно в соревнованиях. во всех трансиверах среднего класса предусмотрена такая возможность. можно ли такой принцип реализовать в "Маламуте"? это могут быть либо два независимых входа для манипуляторов (дополню. один прямой, а другой задействует встроенный эл. ключ), либо один канал по САТ системе с прямой манипуляцией, а второй вход для обычной дрыги. вроде понятно объяснил :) Genadi Zawidowski Геннадий, а в Вашем "Аисте" такое сделано? вообще тема реализации телеграфа в трансиверах очень интересна и не однозначна. знаменитый UW3DI (мягко говоря) был никакой в телеграфе, а вот "Дроздивер" отлично работал. многие талантливые конструкторы трансиверов просто или не знают телеграфа, или знают морзянку, но не работают ей. и уделяют ей не так много внимания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3183] Автор : R3DI Дата : 24.03.2018 17:06 Ага, ну значит правильно понял, проверил сейчас с логом. Передача через САТ, потом через ключ, но САТ перевел в режим вертикального, нужно разбираться. Но как все там должно быть "по хорошему" мне не известно - тогда видимо ответ - нет не умеет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3184] Автор : ur7hfo Дата : 24.03.2018 17:31 но весьма необходимо иметь в трансивере отдельный вход для манипулятора, который бы задействовал встроенный эл. ключ трансивера. Я вот думаю просто внешний ключ K3NG использовать в таких случаях (у него же конектор под обычный механический ключ, и юсб конектор winkey2 ), а Маламутовский CAT только для связи с логгером. Ну как вариант... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3185] Автор : Genadi Zawidowski Дата : 24.03.2018 17:40 Раз неизвестно, сообщают, встроенный ключ управляется как надо т пользователь б, через кат объединяется по выходу с ключом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3186] Автор : R3DI Дата : 24.03.2018 18:10 Геннадий приветствую! через кат объединяется по выходу с ключом. тоже не совсем ясно - если настройка в трх эл.ключ, что тогда САТ через пин виртуального порта напередает (через "лог" например). Те лог свои времянки формирует и в САТ отправляет, а трх видит САТ по ИЛИ с эл.ключем и начинает свои последовательности гнать, как то все запутанно. И немного о другом - о тонкостях логики, вот например идет передача макроса с лога, я ее прервал ключом - передал что нужно, а дальше , пока лог макрос не закончил как должен вести себя трансивер , остаться в передачи или теперь если только заново макрос запустить, а если это не "лог" а другие программы то вообще не знаю как там управление и алгоритм идет. Но что то пока и вникать никакого настроения нет до сих пор. может позже отпустит :smile:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3187] Автор : Genadi Zawidowski Дата : 24.03.2018 18:14 А лог не знает что вы там перелаете -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3188] Автор : Модест Петрович Дата : 24.03.2018 18:27 вот например идет передача макроса с лога, я ее прервал ключом не правильно! передача макроса прерывается Esc в программе! это аксиома контест-логов! а прерывание по эл. ключу-это только сообщение из встроеной памяти ключа трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3189] Автор : Serg Дата : 24.03.2018 18:51 Манипулятор эл. ключа не должен перебивать передачу посылок с компа, т.е пока идет РТТ и CW посылки с компа - манипулятор игнорируется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3190] Автор : Genadi Zawidowski Дата : 24.03.2018 19:33 Не надо... Пока идёт - означает т пока нажатие? Так оно и будет либо с ключа либо с кат. Или надотперейтт на приём и потом только от встроенного ключа на передачу для "разрешено"... Не усложняйте, просто ИЛИ. Модест Петрович, да в аисте именно так, без затей и сделано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3191] Автор : R6YY Дата : 24.03.2018 19:38 Манипулятор эл. ключа не должен перебивать передачу посылок с компа... Совершенно не обязательное условие. И эл.ключ и манипуляция от компьютера могут сосуществовать без всякого вреда друг другу. Примеров тому достаточно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3192] Автор : R3DI Дата : 24.03.2018 19:48 Или надотперейтт на приём и потом только от встроенного ключа на передачу для "разрешено"... Не усложняйте, просто ИЛИ. Как раз именно это и приведет к усложнению, сейчас функция ТХ не знает кто ее вызвал, тангента САТ или ключ, и для "разрешено" нужно менять алгоритмы. Но как понял достаточно сделать так чтобы ключевание САТ эл.ключ в вертикальный не переводил( возвращал), а прерывать или нет дело пользователя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3193] Автор : Genadi Zawidowski Дата : 24.03.2018 19:58 нужно менять алгоритмы Не нужно! Народу надо просто "ИЛИ" по PTT с трех источников (педаль, CAT и одновибратор [возможно для break-in] с выхода манипуляции встроенного ключа) и "ИЛИ" на вход формирователя огибающей с выхода CAT и с выхода встроенного электронного (в режиме вертикального или двухстороннего манипулятора) ключа. Я не высказываю пожеланий, я Маламут не использую. Просто пытаюсь объяснить что сделает его более удобным, перевожу с русского на програмистский. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3194] Автор : R3DI Дата : 24.03.2018 20:06 Геннадий, это я про "одно запрещает другое", а "ИЛИ" и так уже реализовано, хоть через РТТ кнопкой можно передать. А про возвращении "вертикального" обратно в эл.ключ уже посмотрел где перехода не хватает (просто статус запуска ключевания с САТ не сбрасывал,... наверное ))) пока только теоретически ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3195] Автор : Genadi Zawidowski Дата : 24.03.2018 20:07 Судя по описанию - что требует перевода в вериткальный - ИЛИ с манипулятором и CAT на ВХОД электронного ключа. Я про ВЫХОД. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3196] Автор : R3DI Дата : 24.03.2018 21:01 Я про ВЫХОД. Вот на ВЫХОД не хочется, тк эл.ключ не только за времянки отвечает, но за формирование в сигнале нарастания\спадов и их огибающих, потому как только он знает когда нужно начать и закончить - на выходе коэффициенты для DDS. Установка САТ по ВЫХОДУ приведет к "жесткому" ключеванию, не хочется портить сигнал. В моем случае проще восстанавливать режим ключа после САТ( или манипулятор в выставленный режим если прервет САТ, САТ в свое время как ему нужно опять сделает ) , уже посмотрел САТ его переводил в вертикальный но не возвращал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3197] Автор : Genadi Zawidowski Дата : 24.03.2018 21:11 вход формирователя огибающей с выхода CAT и с выхода встроенного электронного (в режиме вертикального или двухстороннего манипулятора) ключа Кто заставляет делать жестко? Сделайте как в цитате... Похоже, тут самое время отсылать к моим исходникам... а, вот и причина: эл.ключ не только за времянки отвечает, но за формирование в сигнале нарастания\спадов и их огибающих, потому как только он знает когда нужно начать и закончить - на выходе коэффициенты для DDS. Ясно. У меня давным давно ключ занимался времянками перехода на передачу... Пришлось распилить - ключ формирует ТОЛЬКО выход нажатия - а DSP часть формирует огибающую. Заждержки по ожиданию переходов и отработке break-in это вообще сиквенсор. Кстати, у меня огибающая относится и к передаче в ЧМ и АМ - для исключения целчков на весь диапазон КВ при работе этими режимами. В SSB уже "за компанию". Как побочный эффект - возможность работать в режиме тренировки не переходя на передачу. У меня теперь даже отдельные state machine занимаются обраьоткй ключа (elkey.c) и сиквенсора (sequen.c) - так они разделены. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3198] Автор : R3DI Дата : 24.03.2018 21:18 Ясно. Только хотел пояснить, но Вы уже добавили :smile:. Да в моем случае достаточно просто восстановить статус. А про режим тренировки - у меня есть режим мощности 0% - тоже не выходит в эфир. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3199] Автор : RX9UAO Дата : 27.03.2018 09:15 "Бедный Маламутик",маленький,беззащитный (защиты нет от выс.ксв),а сколько от него всего хотят.И гонятся за dx-ми (манипулируя сразу и на клаве и на ключе сидя у костра под шашлычек) и разгоняют до"невиданных" мощностей (впору и "представиться" недолго).И много-много еще чего хотят(можно подумать "шапку" в самом начале форума не читали). "Аппаратик жалко". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3200] Автор : R6BK Дата : 27.03.2018 11:30 беззащитный (защиты нет от выс.ксв) Запитайте два каскада на BFG591 от 9 вольт и Вы не сможете (даже при желании) убить УМ. При данной схеме, без повышения U питания RD16 х. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3201] Автор : RX9UAO Дата : 27.03.2018 13:11 R6BK,вообще-то верно 9вольт на 591.И еще 9вольт на rd16,так вообще не убиваемый PA получится.А лучше собрать и поставить на полочку(шучу).Что хотел сказать в сообщен.№3199(может кто не вьехал):ну не надо доставать автора"всякими хотелками".У него и так дел выши крыши.Прекрасно понимаю тех,кто запустил Маламута (да еще,если первый сдр) какое чувство овладевает-"я это сделал".Ну а дальше,понеслось:а если так,а если вот так.Совет:не надо сравнивать Маламута с другими трансиверами-они сами по себе,Маламут сам по себе,со своими достоинствами и недостатками.Вспомните,кто собирал ra3ao-ни каких "хотелок" ,собирали как есть,модернизировали и ни кого не напрягали(инета не было-hi).Ну,а по поводу-"беззащитный"-это же шутка.Пол года назад по поводу защиты списывались с Евгением.Женя отложил это на попозже.Все упирается в написании программы.У меня одно питание 13.8в и все работает на "ура".Использую только "оригинальные"антенны(hi-hi),а сними спалить сложно(кроме грозы,статики).А вообще:береженого-бог бережет. Извините,разошелся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3202] Автор : R3DI Дата : 27.03.2018 14:53 RX9UAO, да я и забыл про это, оно ж "пока гром не грянет" :ржач:, пока транзисторы в помойку не отправлю - а у меня 70 метров RG58, ксв выше 3 вообще нигде не поднимается. Наверное нужно будет хоть самую простую сделать - ксв >5 сброс мощности до 10%. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3203] Автор : RX9UAO Дата : 27.03.2018 15:45 R3DI,Евгений.Само то и больше ничего не надо.На всякий пожарный.В жизни всякое бывает.(70 метров RG58, ксв выше 3 не поднимается).Сколько кабелечку не вится,все равно ........... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3204] Автор : R3DI Дата : 27.03.2018 15:57 Сколько кабелечку не вится,все равно ........... :ржач: намек понял, а и правда - ведь живой маламут может и кабелек то перекусить около трансивера и небудет никаких 70и метров :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3205] Автор : Сармат Дата : 27.03.2018 23:24 Мой вариант маламута285571 пока надписей нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3206] Автор : 1f7 Дата : 27.03.2018 23:46 Надписи дело наживное, а почему выключен или ещё в процессе сборки? Корпус от чего? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3207] Автор : Сармат Дата : 27.03.2018 23:51 В процессе...корпус не могу сказать от чего...попался от чего то...по размерам самое то завтра включенном варианте будет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3208] Автор : stari4ok Дата : 28.03.2018 06:51 TU Сармат.А кнопочки под валкодером что делают? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3209] Автор : UA7KJ Дата : 28.03.2018 09:04 вообще-то верно 9вольт на 591.И еще 9вольт на rd16,так вообще не убиваемый PA Я сразу запитал 591 от 9ти вольт и это правильно. При питании от 13.8В уже люди спалили по несколько комплектов. RD16 к 9ти вольтам не относятся их питайте 12- 22В а драйвер на 591 от 8-9В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3210] Автор : UA9olb Дима Дата : 28.03.2018 09:11 Я сразу запитал 591 от 9ти вольт Отдельно кренку ставили или как? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3211] Автор : UA7KJ Дата : 28.03.2018 11:08 Отдельно кренку ставили или как? Я изначально не ставил на плату 15U1. В место нее завел питание с отдельного стабилизатора 9В и 5В выполненного на двух кренках. 9В подал на +PWR а 5В туда где они должны выходить с 15U1 ну и третий провод земля. Еще нужно будет убрать стабилизатор +8В 7U5 и поставить в место него перемычку но этого я пока не делал работает и так. На дисплее конечно будет показывать вольтметр 9В. Чтобы меньше грелись кренки 9В и 5В , у меня трансивер питается от 13.8В ну и перед стабилизаторами нужно в цепи +13.8В поставить резистор. Пока не знаю именно какой нужно рассчитывать. Я поставил первый попавшийся 0.3 ом 5вт нагрев радиатора стабилизаторов ощютимо снизилася. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3212] Автор : RK3AQW Дата : 28.03.2018 12:25 RX9UAO, при питании 24 вольта,несколько раз без нагрузки включал,при полной мощности,ничего не вылетало,только на 28,один раз драйвера вылетели,и то из-за того что трансформатор не правильно был намотан на входе РД16-х,от КСВ если самое простое поставте на выходе 2ВТ резистор 430-510 ом вот вам и самая простая защита от обрыва,или пару витков ОС на затворы РД16 с выхода завести,пока руки не дошли,вот вам и ALC UA7KJ, 9V для питания драйвера самое то,что надо+++ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3213] Автор : AndreySWL Дата : 28.03.2018 12:48 В описании трансивера написано,что он был задуман как походный вариант,а кто-нибудь использует его в стационарном варианте дома,с усилителем? Как он работает в паре с усилком? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3214] Автор : ra9qba Дата : 28.03.2018 13:01 285593 Установил проволочные индуктивности из ЧИП и ДИП, придется настраивать. АЧХ фильтров прикрепил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3215] Автор : RK3AQW Дата : 28.03.2018 13:06 ra9qba, не плохо так по затуханию(кроме ВЧ) ,это на вход трансивера подавали и на смесителе мерили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3216] Автор : UA4FTA Дата : 28.03.2018 13:07 Это без ФНЧ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3217] Автор : ra9qba Дата : 28.03.2018 13:09 Подключал к выводу 3J1 и 3J2 платы V1.31 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3218] Автор : RK3AQW Дата : 28.03.2018 13:14 ra9qba, надо на антенный вход трансивера подавать сигнал от ГСС,так правильнее будет выглядеть сквозная АЧХ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3219] Автор : R3DI Дата : 28.03.2018 13:48 не плохо так по затуханию(кроме ВЧ) Смотрю тут на флекс5000- там BPF и нету одни ФНЧ - вот и думаю - можт оно и не нужно вовсе, а делать только ФНЧ в блоке ДПФ? Как считаете ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3220] Автор : UA7KJ Дата : 28.03.2018 13:59 делать только ФНЧ в блоке ДПФ? Я считаю, что ДПФ нужен но попроще. Хватит и третьего порядка. На той площади, что у Вас можно его уместить с индуктивностями размером 1210. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3221] Автор : R3DI Дата : 28.03.2018 14:05 Хватит и третьего порядка. Сомнения у меня на это счет, Ключевой смеситель - ему как раз таки нужен хороший ФНЧ, а то уж больно он хорош на нечетных гармониках, а в ФВЧ получается и особо то смысла нет, да Nпорядковый ФНЧ мне кажется проще сделать(получится) чем даже меньшего порядка ДПФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3222] Автор : RD3Q Дата : 28.03.2018 14:24 R3DI, не Женя, полосовики нужны! Если оставлять только ФНЧ - слишком широкие ворота получаются!:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3223] Автор : R3DI Дата : 28.03.2018 14:39 RD3Q, Игорь приветствую! Да вот вроде как мешает не "ширина ворот" а их расположение - то что справа от ворот ( нечетные гармоники). А сделать хороший ФНЧ из деталей купил-запаял мне кажется проще и качественнее будет по АЧХ, потому наверное таким путем и пошли в 5000-м. А ФВЧ может и дного будет достаточно на входе на 350 кГц например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3224] Автор : Serg Дата : 28.03.2018 14:51 ФВЧ лучше делать несколько, 3-4 хотя бы. 1-й против длинных-средних волн, 2-й гасить что ниже 4МГц, 3-й - ниже 10МГц, 4-й - ниже 15МГц. Чтобы в сумме с ФНЧ на основных диапазонах получалось какое-то подобие ДПФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3225] Автор : R3DI Дата : 28.03.2018 14:59 Serg, тут вопрос в другом, не как лучше - а в чем их смысл для ключевого смесителя на FST которая работает на 3/5В питания. Еще когда у меня был установлен только ФНЧ на 30 мГц ( на этапе запуска/наладки ) то принимал только высшие нечетные гармоники относительной установлено частоты, с низу приема не наблюдал, вот и в 5000м их вообще нет, вот и задаюсь вопросом - какой в них смысл ( в данном контексте, а не вообще). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3226] Автор : RA1CAC Дата : 28.03.2018 15:05 Смотрю тут на флекс5000- там BPF и нету одни ФНЧ - вот и думаю - можт оно и не нужно вовсе, а делать только ФНЧ в блоке ДПФ? Как считаете ?А я так думаю - не помешает ДПФ. Низкочастотные помехи отрежет. Но я согласен с UA7KJ, можно и попроще, 3-го порядка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3227] Автор : Serg Дата : 28.03.2018 15:11 Смысл любого фильтра - разгрузить последующую за ним схему от суммарного (критичного) уровня сигналов. ФВЧ могут быть полезны если диапазоном-двумя ниже работает близкий сосед, без ФВЧ он может навести такое напряжение, что оно перегрузит приемник или если не перегрузит (блокирование), то в совокупности с другими мощными сигналами (фильтров ниже по частоте когда нет!) породит на частоте приема IM2, IM3. Если же рассматривать по принципу макс. удешевления и один в поле воин - хватить и только ФНЧ, сделать их оптимальными для подавления нечетных гармоник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3228] Автор : R3DI Дата : 28.03.2018 15:25 близкий сосед, без ФВЧ он может навести такое напряжение не думаю что он наведет более 3В (в пике) на антенном гнезде, вряд ли перегрузит смеситель, а после смесителя установлен ФНЧ . в совокупности с другими мощными сигналами (фильтров ниже по частоте когда нет!) породит на частоте приема IM2, IM3 этот аргумент уже интереснее, но породит где? ( в FST смесителе работающим на 5В ( без сарказма, правда интересуюсь ) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3229] Автор : Serg Дата : 28.03.2018 16:56 Про интермодуляцию... В трансиверах SW (преобразования вверх на 45МГц) автор ставит ФВЧ для ВЧ диапазонов, без него был эффект "подпевания" вещалками, смеситель тоже на ключах, но ADG, не суть важно какие наверно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3230] Автор : RW6MQ Дата : 28.03.2018 17:03 Я считаю, что ДПФ нужен но попроще. Хватит и третьего порядка. На той площади, что у Вас можно его уместить с индуктивностями размером 1210. Индуктивности 1210 это хорошо, но 1812 лучше :) и вот тут как раз можно сделать меньший порядок - на практике АЧХ будет не хуже 0805 многослойных индуктивностей, а скорее всего и лучше. Это раз) А два - индуктивностей 1206 и более, гораздо меньший разброс - 5-10%, что ОЧЕНЬ хорошо и можно отработать ДПФ которые не будут нуждаться в настройке... Вот тут будет сила! Я уверен с 1812 можно так сделать и получим ДПФ практически не нуждающиеся в настройке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3231] Автор : RK3AQW Дата : 28.03.2018 17:10 R3DI, День добрый дорогой автор!Нет, он все же нужен ,в чем недавно убедился,(БПФ)для экперементу уже пробовал без него,тут со мной рядом недавно,один товарищ поселился,в километре примерно,был контест какой то,так я его без фильтра на 21-14 и на 7-ке одновременно детектировал))),включил фильтр и он остался на 14:smile:да и вешалки он давит прилично на низах,все же прямое преобразование за основу взято,а тут еще и многодиапазонное.....а то что флекс,то не надо на него равняться,не наш метод).Либо делать ФНЧ на трех кольцах с подавление под 50 дБ с крутыми фронтами и на каждый диапазон,убирая лишние промежутки,тогда можно и отказаться от ПФ.Но в чем выигрыш? места на плате меньше не станет,а тут, как никак БПФ весь мусор вычищает со смесителя при ТХ и +ФНЧ давит на выходе гармошки не самого удачного усилителя,так что ИМХО,плюсов больше чем минусов,а то что затухание от ПЕ-к,так ту линейный усилитель не особо шумный стоит,кому мало можно прибавить,да и чутьё у аппарата порядка 0,3-0,5 мкВт,чего выше крыши. П.С. Вот не прочитал ниже коментарии,а все уместилось в одном))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3232] Автор : R3DI Дата : 28.03.2018 17:50 RK3AQW, приветствую! Таки о том речь и идет - я его без фильтра на 21-14 и на 7-ке одновременно детектировал))),включил фильтр и он остался на 14 что только верха мешают, сам проверял , УКВ вещалку могу принять на 11 гармонике работы смесителя , а вот вниз никак, 1206 туда не влезут - некуда, а вот не запаивать катушки на землю и проходные ( ФНЧ пересчитать канечно нужно ) останется ФНЧ того же порядка. По поводу - лишним не будет - пока это аргумент против ФВЧ части - дополнительные элементы, настройка (не пойми чем и как или заведомо дорогие индуктивности брать), так все равно АЧХ портят. На ФНЧ и индуктивности и емкости больше, есть подозрение что и с разбросом по параметрам АЧХ лучше чем ДПФ на тех же элементов будет. Флекс может не аргумент, но думаю не просто так отказались, да и разработчики "поди не лыком шитые" :-P. Сам без ФВЧ пробовал - но елки... с моей тут "партизанской" антенной :ржач:, вот и интересуюсь у р/любителей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3233] Автор : RK3AQW Дата : 28.03.2018 18:16 на эквиваленте кольца ФНЧ 50-2 греются как утюг на 40 ваттах на 3,5 и 7 ке( только что заметил запахло краской -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3234] Автор : R3DI Дата : 28.03.2018 19:41 RK3AQW, да уж....а кондесаторы какие, синие ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3235] Автор : UA7KJ Дата : 28.03.2018 19:48 кольца ФНЧ 50-2 греются как утюг на 40 ваттах Греться как утюг не должны если ФНЧ у Вас правильные. Греться будут если срез ниже нормы. Кольца перегревать нельзя ни в коем случае они даже после одного перегрева теряют своии свойства. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3236] Автор : rn4haq Дата : 28.03.2018 20:04 Добрый вечер. Отвлеку немного от обсуждения по теме ФНЧ/ДПФ. Подскажите где копать, или это нормально? Я про разбегающиеся "спуры" при перестройке. Сейчас кто то скажет уже обсуждалось! Ткните носом :oops: Вот видео без антенны https://youtu.be/E9V00EPa2aw прием с антенной https://youtu.be/nvPVJ49OmOs -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3237] Автор : RK3AQW Дата : 28.03.2018 20:33 R3DI, нет не синие,я их как поставил так и выкинул,флажки наши советские стоят запаралеленные у них ТКЕ отличное,жду смд на 3 кВольта,дорогие зараза -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3238] Автор : R3DI Дата : 28.03.2018 20:34 rn4haq, где то с середины стр.277 и дальше посмотрите. Это из последнего, а так много раз было в теме, так просто и не найти, но все к одному. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3239] Автор : RK3AQW Дата : 28.03.2018 20:36 R3DI, нет не синие,я их как поставил так и выкинул,флажки наши советские стоят запаралеленные у них ТКЕ отличное,жду смд на 3 кВольта,дорогие зараза UA7KJ, ФНЧ правильные),а вот грелка самому не понятна,греются красные колечки 50-2,заметил случайно,провод потолще попробую -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3240] Автор : R3DI Дата : 28.03.2018 20:59 RK3AQW, у меня Т37е на 20Вт становятся теплыми, но грешу на синие емкости, которые сначала горячими становятся, земенить нечем. Схема и номиналы от ФТ817го, там на 5Вт Т25е стоят и смд емкости , правда их не проверял на предмет тепла, но не думаю, что яесу позволила б себе кольца жарить. Вот была мысль на 10Вт Т30е поставинть, но с хорошими кондесаторами.... но пока не купил их. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3241] Автор : RA6ANR Дата : 28.03.2018 23:52 на тему драйвера.чтоб он никогда не горел,а у маламутов это самая частая неисправность)))),питать его надо от 8в.для этого нужно заменить 5в кренку(та что питает цепи смещения PA)) на 8в,питание на драйвер подать через резистор 10 ом с выхода этой кренки,номиналы резисторов в цепях смещения RD16 изменить,ориентируясь на схему аппаратов серии SW.так же сопротивление резисторов в цепях ОС оконечника желательно увеличить до 1-2К.все,больше ничего делать не нужно.для проверки включал на передачу без нагрузки-ничего не сгорело(но лучше та не рисковать конечно)))) за синие конденсаторы прошу не ругать-поставил то,что было в наличии-пока работают нормально -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3242] Автор : UA0BHC Дата : 29.03.2018 03:25 в К2 LPF на 10/12/15/17 стоят кольца Т44-10 (чёрные), на 80/20/30/40 - Т44-2 (красные). мощность 13-15 ватт для диапазона 160 - Т44-1 или Т50-1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3243] Автор : ua9ylu Дата : 29.03.2018 03:30 Хорошую работу проделал Эдуард https://www.youtube.com/watch?v=EXD705J8OW8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3244] Автор : UA0BHC Дата : 29.03.2018 04:52 Эти кольца правда могут в маламут не подойти - там индуктивности в сотни наногенри, а у маламута - единицы микрогенри. В УМ стоваттном для К2 стоят колечки Т50-2 (160/80) и Т50-10 (30/40, 17/20, 10/12/15). В тюнере, который позиционируется, как способный выдержать 150 ватт стоят T80-0 и T94-2, там они соединяются все последовательно, при подборе релюшками исключаются ненужные. Если кто мощу поднимает, надо наверно и кольца менять на побольше размер. Инфа для размышлений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3245] Автор : ua3ycv Дата : 29.03.2018 12:04 Парни подскажите как правильно настроить АРУ в трансивере-? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3246] Автор : UA9olb Дима Дата : 29.03.2018 12:51 как правильно настроить АРУ А что то не устраивает по дефолту? AGC1......AGCOF -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3247] Автор : ua3ycv Дата : 29.03.2018 13:27 А что то не устраивает по дефолту? AGC1......AGCOFу меня нет не какой реакции на переключение этих режимов:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3248] Автор : UA9olb Дима Дата : 29.03.2018 13:51 нет не какой реакции Cтранно( и AGCOF по ушам не долбит)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3249] Автор : RW6HCH Дата : 29.03.2018 13:53 посмотрите в меню AGC Limit -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3250] Автор : ua3ycv Дата : 29.03.2018 13:55 Cтранно( и AGCOF по ушам не долбит)?хм!уровень сигнала не как не меняется....воще не как:-(пробовал играть с уровнем rx-результата нет.странно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3251] Автор : UA9olb Дима Дата : 29.03.2018 14:11 не меняется....воще не как Ну тогда надо проверять все вокруг кодека и сам кодек Больше там нечему вроде не работать. FRAM сбрасывали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3252] Автор : ua3ycv Дата : 29.03.2018 18:01 FRAM сбрасывали? сделал три сброса и функция заработала-чудеса-но микруха уже "на заметке".хотя после прошивки проца делал чистку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3253] Автор : RK3AQW Дата : 29.03.2018 20:04 ua3ycv, промойте все хорошо изопропиловым спиртом или чем то подобным,где-то залипуха осталась -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3254] Автор : Love777888 Дата : 29.03.2018 21:40 Здравствуйте! Трансформатор преддрайвера, сколько витков? Первичка 3+3 с отводом от середины, вторичка что 12 витков? Было намотано 6 витков, но что настораживает с трансформатора было по 0.7 и 0.5 вольта вч, на коллекторе 3 вольта вч. Что то не так. Транс перемотал заново, но пока 6 витков, но друзья по общему делу говорят что 12 должна быть вторичка. Прошу совета. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3255] Автор : RA6ANR Дата : 29.03.2018 23:05 Love777888, я мотал 3+3 первичка и 3+3 вторичка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3256] Автор : RA6ANR Дата : 30.03.2018 00:22 Невнимательно прочитал,преддрайвер 3+3 и 3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3257] Автор : Love777888 Дата : 30.03.2018 09:52 Невнимательно прочитал,преддрайвер 3+3 и 3 А почему вторичка у вас 3витка? В факе написано, что на кольце в три провода 5-6 витков, тобишь два провода начало и конец это первичка, а вторичка остается 5-6 витков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3258] Автор : RA6ANR Дата : 30.03.2018 11:11 На биноклей 3 обмотки по 3 витка,2 из них последовательно соединяю.если на кольце-то обычно 3 по 6витков,2 из них последовательно.есди по схеме то получается первичка 6+6 , вторичка 6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3259] Автор : UR3ACH Дата : 30.03.2018 21:53 Здравствуйте все участники форума. Столкнулся с такой проблемой... Собрал обвязку контроллера на плате, прошил, на экране есть изображение. Ни кнопки ни валкодер не подключал. Только обвязка контроллера и все. Потом допаял остальные каскады на плате. Ничего после этого не проверял- пилил корпус. Сейчас подключил- белый зкран. Есть только подсветка. Генерация на кварце 8 МГц есть. На кварце 32,768 тишина. Думал прошивка слетела. Перепрошил. Прошилось нормально. Что, контроллер от статики навернулся или память? Можно как-то определить чему хана? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3260] Автор : AlexJ Дата : 30.03.2018 22:06 Потом допаял остальные каскады на плате. У меня было нечто подобное, оказался дохлым один из кодаков, (он кстати заметно грелся), сигналы MOSI, SCK идут и на кодаки и на дисплей, вот кодак и давил их. Посмотрите у себя наличие сигналов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3261] Автор : Sergey_Lapin Дата : 30.03.2018 22:08 UR3ACH, Ну память можете пока исключить. Я вообще когда собрал то же только STM ее обвязка и дисплей. Памяти не было в наличии тогда. Так вот все без памяти заводится. Единственное..не смодете сохранить настройки и при первом выключении все будет по умолчанию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3262] Автор : UT8IFM Дата : 30.03.2018 22:49 UR3ACH, Попробуйте другой блок питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3263] Автор : Love777888 Дата : 30.03.2018 23:08 У меня на дисплее китайская надвижная колодка, так вот ее поправишь хорошо работает, не поправишь белый экран, думаю их подпаять, китайское барахло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3264] Автор : UR3ACH Дата : 30.03.2018 23:15 оказался дохлым один из кодаков, Убрал кодеки. Без изменений. сигналы MOSI, SCK идут и на кодаки и на дисплей Вот этих сигналов я вообще не вижу. Вообще нет никаких сигналов на дисплей. Попробуйте другой блок питания. Пробовал. От АКБ и БП. Ничего. так вот ее поправишь хорошо работает, не поправишь белый экран, Шатал-шевелил. Ничего. Думаю что контроллер сломался)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3265] Автор : Love777888 Дата : 30.03.2018 23:23 Смотрите внимательно, может залипло чего между ножками процессора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3266] Автор : Sergey_Lapin Дата : 31.03.2018 00:07 UR3ACH, Где то или непропай, или наоборот залипуха. Я свою плату в процессе сборке как только не мучил. Ни че , всю статику выдержала.))) Внимательно посмотрите все. Если есть фен паяльный прогрейте процессор. А нет ...то ножки еще раз пройдите волной, жалом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3267] Автор : UA0BHC Дата : 31.03.2018 00:27 питание как подаёте, к включенному БП с установившимся напряжением, или включаете подключенный к схеме БП? У меня было похожее, БП медленно включался, из-за чего некорректно сбрасывался проц и был белый экран -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3268] Автор : UR3ACH Дата : 31.03.2018 06:45 питание как подаёте Первым включаю БП. Потом через реле питание на плату. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3269] Автор : UA0BHC Дата : 31.03.2018 10:52 Евгений R3DI, начал запускать аппарат. Нужен ли делитель с выхода SI5351, собранный на 10R19, 10R20? Дело в том, что сигнал сразу на выходе имеет размах около 3 вольт (частота в два раза примерно больше, чем на дисплее), а после делителя на вход LC- фильтра приходит уже порядка 600 милливольт. В схеме сопряжения сишки с DS90LV028A, которую здесь приводили, кроме 10С41 (проходной 0,1 мкф) никаких сопряжений больше не было, может и мне не стоило 10R19, 10R20 впаивать? Трансформатор 10TR2 поставил (мало ли, может с другими синтезаторами будут эксперименты). В моём случае используется SN65LVDS48A (раньше пришла) Надо ли ставить цепочку 10R10, 10C28, 10R14 (делитель на среднюю точку этого трансформатора? Трансивер ведёт себя сейчас так: унч работает, но на максимальной громкости только еле слышный белый шум, диаграмма тоже можно сказать не дышит, даже если прислонять проволочку к 3J1. То есть как будто нет чутья. Питания на стабилизаторах в норме, на 7U5 - 7,6 вольта вместо 8В, но это наверное нормально. микросхемы особо не греются, кроме проца, но его рука терпит вполне. Потребление 340 мА. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3270] Автор : Сармат Дата : 31.03.2018 11:59 На стадии завершения 285822285823 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3271] Автор : RK3AQW Дата : 31.03.2018 12:11 1 делитель не нужен,через конденсатор 10С41 подключайте на прямую без транформатора(лишняя деталь) 2 если монтаж правильный , все запускается с первого раза ,ищите не пропай и промывайте лучше Добавлено через 5 минут(ы): Питания на стабилизаторах в норме, на 7U5 - 7,6 вольта вместо 8В, но это наверное нормально. микросхемы особо не греются, кроме проца, но его рука терпит вполне. Потребление 340 мА. резистор 300 ом на 240 замените будет 8 ровно контакты разъемов дисплея и кнопок на плате пошевелите,китай однако) процессор прошили уже?Экран показывает? если DS90LV028A ставили,то там 1 дорожку надо резать и микруху к верху пузом переворачивать,тут на форуме схему выкладывали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3272] Автор : UA0BHC Дата : 31.03.2018 12:26 1. резистор заменю. 2. дисплей с пятью (пока) кнопками работает, как и валкодер, регулятор громкости и динамик. Дисплей пропаивал, провода к нему припаяны, кардрайдер сдул напрочь. 3. Экран естественно показывает, ПЗУ сброшена. 4. у меня SN65LVDS48A -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3273] Автор : Сармат Дата : 31.03.2018 12:33 приклеил на морду 285824 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3274] Автор : RK3AQW Дата : 31.03.2018 12:38 UA0BHC, да резистор можно и не менять -это как плацебо) если все детали исправны и все установлены,то все запускается с первого раза желательно все резисторы в обвязке операционников (по схеме блок-схема с позициями на 7)ставить с допуском 1% либо тестером подобрать сразу и промывать все тщательно!!! Сармат, "морду":ржач: как делали?А то у моего что морда что..:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3275] Автор : R3DI Дата : 31.03.2018 12:44 UA0BHC, про 7.6в не стоит беспокоится, про LVDS у меня 34я, с 48й не помню - возможно ей нужно среднюю точку сделать, как RK3AQW заметил - тр не оязателен, на выходе LVDS должны быть сигналы в противофазе 2*F , с триггера сигналы в квадратуре. Про кодек - каснитесь входных емкостей на операционниках ( снимите статику сначала) , если фон на спектре и в унч появится - кодек можно считать рабочим (покажет уже дальнейшая проверка). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3276] Автор : UA0BHC Дата : 31.03.2018 13:05 Евгений, я памятку по LVDS сохранил и распечатал, просто сигнал с гетеродина слишком мал. Слишком много опционального в принципиальной схеме, путаюсь. Реакция на включение преампа всё же есть у него, хоть и слабая. Думаю, нормально всё будет. Ушёл паять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3277] Автор : UA4FTA Дата : 31.03.2018 15:10 Cармат,интересно,а вы под толкатели-кварцы вручную выпиливали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3278] Автор : Сармат Дата : 31.03.2018 15:32 Cармат,интересно,а вы под толкатели-кварцы вручную выпиливали? Да, вручную. Рисуем прямоугольники, и по центру боковых сторон прямоугольника сверлим 3.2 мм...дальше надфилями. Кварцы не стандартные, высота 5 мм. Можно найти в автомагнитолах, на основной плате и на плате тюнера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3279] Автор : Love777888 Дата : 31.03.2018 17:12 285852Всем привет! Такой вопрос если с трансформатора драйвера снимаю 5 вольт ВЧ, а мощности только 10 Ватт, это что похоже РД левые? Ток 4.5 А почти, мой лабораторник уже в защиту уходит. Еще померял смещение на одном 5.36В, второй 5 ровно вольт. Токи покоя по 500мА . Посмотрел примерно эту схему почти все сходится за исключением выхода. На самих РД не замерял вольтаж, так как пробник от ВЧ вольтметра с вакуумным диодом немного большеват, чтоб туда добраться. Трансформатор выходной трубки, вторичка 3 витка. Еще одно но, не понятно замерял ВЧ прямо на перемычках питания один транзистор дает 27 вольт, второй где-то 24 вольт ВЧ, а в итоге на ФНЧ идет только 23 вольта ВЧ, это почему? Сам пока в теории не силен, нужно понять некоторые моменты. Работа застопорилась на усилителе. Пробовал подключать дроссель на транзисторы чтоб не грелся бинокль, хотя он и так теплый не горячий =+1 Ватт, конденсатор на 220 пик подцеплял на бинокль +1 Ватт. Но это все не то. Драйвер переделал на питание от 9-ти вольт, трансформатор преддрайвера переделал 3+3 первичка и 3 вторичка на бинокле +1 ватт мощности добавилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3280] Автор : RK3AQW Дата : 31.03.2018 17:26 Love777888, трансформатор в драйвере,тот который на вх РД как намотан?(я делал так,на бинокле 6 первичка 3+3 вторичка(иначе на 28 будет заводится)Увеличте ОС на вых тр-х до 1,5-2кОм,ток покоя ,если от 12 то 500 мА,если 22-27 вольта, то 200-300 мА достаточно(разницы нет) как ВЧ меряете?Надо через простейший ФНЧ со срезом 30-35 МГц,иначе белеберда будет,а не измерение,на затворах РД точно не скажу точно,от 5-8 ВЧ вроде,позже замерю, аппарат разобрал,модернизация идет)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3281] Автор : Love777888 Дата : 31.03.2018 18:20 Love777888, трансформатор в драйвере,тот который на вх РД как намотан?(я делал так,на бинокле 6 первичка 3+3 вторичка(иначе на 28 будет заводится)Увеличте ОС на вых тр-х до 1,5-2кОм,ток покоя ,если от 12 то 500 мА,если 22-27 вольта, то 200-300 мА достаточно(разницы нет) как ВЧ меряете?Надо через простейший ФНЧ со срезом 30-35 МГц,иначе белеберда будет,а не измерение,на затворах РД точно не скажу точно,от 5-8 ВЧ вроде,позже замерю, аппарат разобрал,модернизация идет)) Вч меряю просто прямо пробником от В7-15, но что он там еще должен мерять как именно наши колебания до 30 Мгц, стрелка лежит в левом положениии пока в микрофон не дунешь. Да и потом си бишный ксв метр тоже 10 ровно показует, можно и осциллографом померять, но думаю то же самое если мерять на 1:10 делителем. Трансформатор драйвера намотан 3+3 первичка(к колекторам 591) и 6 вторичка (к затворам РД) на выводах вторички и намерял 5 вольт ВЧ. ОС выходных транз. резисторы=1 кОм. Ток покоя по 500мА, питание 13.8 В. Судя по схеме что в моем посте люди намеряют все 40 вольт, на выходе. Меня вот, что больше всего напрягает, какого так сильно открыты транзисторы в усилителе, почему такой ток жрут, получается они просто греют воздух и ничего не отдают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3282] Автор : UA7KJ Дата : 31.03.2018 18:21 Еще померял смещение на одном 5.36В, второй 5 ровно вольт. Токи покоя по 500мА . Посмотрите схемы PA SW2015.... SW2017. 500ма это много. И симетрирующий трансформатор надо бы добавить. Лично я переделал полностью PA по схеме SW кроме первого каскада. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3283] Автор : RK3AQW Дата : 31.03.2018 18:25 Love777888, обычно такой ток говорит о неправильно выполненном вых.трансформаторе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3284] Автор : Love777888 Дата : 31.03.2018 18:25 Посмотрите схемы PA SW2015.... SW2017. 500ма это много. И симетрирующий трансформатор надо бы добавить. Лично я переделал полностью PA по схеме SW кроме первого каскада. Доброго вечера. Дело в том если я поставлю по 250, то будет всего то ватт 6 на выходе, это вообще не о чем. У меня бинокль не греется сильно, теплый на 4.5 А, было 4 витка в выходном тр. грелся, но отдавал то же самое, перемотал на три витка, стало лучше. Транзисторы, что интересно сняты с рабочих станций вроде как, был до этого у меня транзистор тоже оттуда, показывал в открытом состоянии 15 ом, поставил в сибишку, дает 10W, как положено. чудеса, из за этого дальше сборку не веду, так как часто снимаю шасси из корпуса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3285] Автор : RK3AQW Дата : 31.03.2018 18:28 сделайте 2 витка на входе 3на выходе или 1 вх 2 вых,я мотал на 24 в ,2вх-3 вых,током покоя особо мощность не повысите,4,5 А-это не согласованный выход тр-ра с нагрузкой,феррит греет и он же мощность кушает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3286] Автор : Love777888 Дата : 31.03.2018 18:33 сделайте 2 витка на входе 3на выходе или 1 вх 2 вых,я мотал на 24 в ,2вх-3 вых Вы про какой транс? Если выходной то там медные трубки и 3 витка вторички. Феррит грелся когда было 4 витка, сменил на три стало хорошо, теплый сейчас. Может ФНЧ выходной сьедает мощность, пока установил в одном фильтре на 28 Мгц все конденсаторы NPO, и особо это ничего не дало. Наверное ток еще большим стал, сейчас вход на ФНЧ 23,4 вольта выход ФНЧ 23 вольта ВЧ. В этой ветке ничего не греется кольца и конденсаторы холодные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3287] Автор : R3DI Дата : 31.03.2018 18:47 Love777888, сделайте вых тр как говорит RK3AQW, у меня на РД16х так же сделан (но на 15х трубки и 2 витка), тут недавно пробовал mrf186 с 3 витками на выходе (трубки в первичке) так тоже КПД никакого чуть что и в защиту бп, сбросил виток 32 Вт получилось снять на 13В 5А. От токов покоя особо никакой разницы не заметил не по мощности не по ИМД так и оставил по 250 мА. Но то что у Вас разные напряжения для одинаковых токов покоя и разный размах напряжений - наводит на мысль, что может туже какой подпаленый. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3288] Автор : RK3AQW Дата : 31.03.2018 19:02 R3DI, по поводу подпаленный+++,на форумах про это и про эти полевики писали такое,да и не только эти,на кристале мощных транзисторов много маленьких тр-ров(по дурацки написал)но суть в том что транзистор вроде и работает а на выходе фигура из трех пальцев,встречал такое часто на наших золотых мощных ВЧ тр-рах кт956,957,967. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3289] Автор : Love777888 Дата : 01.04.2018 15:11 R3DI, RK3AQW, RW6MQ, Вам ребята огромное спасибо!!! Отмотал виток моща 20 Ватт стала, очень доволен, поменял резисторы в ОС РД на 2 кОм. Все стало как надо, осталось заменить ради эксперимента транзисторы на новые и посмотреть как с новыми. Еще раз Спасибо!!!:пиво::пиво::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3290] Автор : UA7KJ Дата : 01.04.2018 15:25 Отмотал виток моща 20 Ватт стала На всех бендах? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3291] Автор : Love777888 Дата : 01.04.2018 17:46 На всех бендах? Где-то чуть больше где-то меньше, еще не весь ФНЧ перепаял на NPO конденсаторы. Но то что помогло это факт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3292] Автор : RW6MQ Дата : 01.04.2018 19:45 осталось заменить ради эксперимента транзисторы на новые и посмотреть как с новыми. Не нужно, если они равномерно управляются током с 0 до 1А без раскачки (дальше ненадо жарить) Далее, если есть ждамперы в стоках, сделайте так - мощность 10%, отдин ждампер вытаскиваем - отмечаем, например 3вт, затем то же самое делаем с другим транзистором, мощность таже - ОК Этот примитивный способ который на 99% выявляет не исправность транзисторов, не выпаивая их. После настройки - удалите нафиг джамперы, запаяв перемычки - это слабое звено, которое бывает подводит, особенно на 28мгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3293] Автор : RK3AQW Дата : 01.04.2018 20:13 RW6MQ, Эдуард,а вы измерения ИМД на выходе не делали?Что-то у меня грустная картина выходит.Сделал все комплексно,как вы на видео делали ради эксперимента, замеры на выходе смесителя не делал к сожалению (до того как было и как стало),но вот на выходе подавление не айс,только при 8 ваттах подавление 2-го порядка получается около 26 дБ при питании 24 В,до этого выкладывал осцилограммы было получше.Сейчас при 30 Ваттах всего лишь 13 дБ:-(. Если есть возможность выложите в режиме FFT что там у вас вышло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3294] Автор : RW6MQ Дата : 01.04.2018 20:35 Завтра померю и зафиксирую, около 30дб получатся при 30вт и 24В -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3295] Автор : Сармат Дата : 01.04.2018 21:38 видео работы на прием моего маламута https://ok.ru/video/542258629270?fromTim e=43 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3296] Автор : UA0BHC Дата : 02.04.2018 11:28 286033 схема синтезатор+ФНЧ+SN65LVDS048A реально работающая -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3297] Автор : Genadi Zawidowski Дата : 02.04.2018 12:10 ФНЧ в данном куске схемы совершенно лишняя деталь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3298] Автор : UA0BHC Дата : 02.04.2018 12:26 пусть будет. УКВ есть и так на чём послушать. После того, как убрал резисторы делителя, которые стояли на выходе SI5351 (по схеме ASDR_SCHv1.3.pdf), ещё даже не убирая трансформатора всё нормально заработало. Убрал 10TR2, показалось что стало пошумнее немного, может зря. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3299] Автор : ra9qba Дата : 02.04.2018 16:38 Не работают кнопки тангенты 1, 2, 3, A. Номиналы сопротивлений совпадают со схемой. Вместо диода d5010 стоит перемычка. Есть ли схема переделки тангенты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3300] Автор : RW6MQ Дата : 02.04.2018 16:49 Не работают кнопки тангенты 1, 2, 3, A. Номиналы сопротивлений совпадают со схемой. Вместо диода d5010 стоит перемычка. Есть ли схема переделки тангенты? Вместо перемычки поставьте 150-200 Ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3301] Автор : RK3AQW Дата : 02.04.2018 18:38 почти 27 дБ подавление 36 ватт RW6MQ, спасибо за советы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3302] Автор : UR5ZVU Дата : 03.04.2018 12:09 Сообщение от ra9qba Не работают кнопки тангенты 1, 2, 3, A. Номиналы сопротивлений совпадают со схемой. Вместо диода d5010 стоит перемычка. Есть ли схема переделки тангенты? Вместо перемычки поставьте 150-200 Ом А кокое сопротивление эффективнее 150-200 или 200 -240??? Я ставил 200 и 240 и никакой разници не заметил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3303] Автор : UR6LCK Дата : 03.04.2018 12:26 почти 27 дБ подавление 36 ватт А не маловато? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3304] Автор : RK3AQW Дата : 03.04.2018 16:23 маловато спору нет,32-34 дБ при 12-15 ваттах получается при питании 24 в,меня устраивает и этот результат,в этом экземпляре,второй на ора2674 будет,вот на нем и будет подавление,на этих свч BFR591 в лучшем случае еше 1-2 дб можно выжать,ведь не даром,во всех нормальных схемопостроениях на КВ используют соответствующие тр-ры с Fгр мах 100 МГц,а не эти шипучки.Вспоминаю свой Дроздивер с кт913,сколько мороки с ними было пока ум другой не поставил,ну не могут они работать нормально на этих частотах UR5ZVU, а какой эффект нужен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3305] Автор : ra9qba Дата : 04.04.2018 08:15 Снял АЧХ ФНЧ. Все кольца - китай. Т37-2 с Алиэкспересса и Т50-6 с Банггуда. В высокочастотном ФНЧ конденсаторы NTD silver mica. На Т50-6 нормально в полосе прозрачности, а вот Т37-2, нет. В остальных фильтрах стоят синие конденсаторы на 2-3 кВ. Этот завал в ФНЧ на Т37-2 из-за неверного расчета ФНЧ, или кольца такие? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3306] Автор : UA0BHC Дата : 04.04.2018 10:54 RA9QBA, а вы индуктивность того, что на Т37-2 мерили, соответствует? А то мне с али под видом T50-6 прислали совсем другие кольца, хоть и покрашены были жёлтой краской. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3307] Автор : RA6AMP Дата : 06.04.2018 02:29 Мужики, ткните, как прошить контролёр, и как потом очистить память. Не пинайте сильно, но не нашел на форуме -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3308] Автор : UA0BHC Дата : 06.04.2018 03:26 Здравствуйте! Заливка прошивки в процессор смотрите видео с 9:06 https://www.youtube.com/watch?v=6o1MFHOeiDM на этом же канале советую посмотреть все видео, связанные с Маламутом, очень толково. Для осуществления сброса FRAM, нужмо впаять саму микру FRAM FM24CL64, припаять кнопки ABCDE по схеме. Удерживая DE, включить трансивер, дальше следовать надписям на экране, ответить на вопрос "прошивать" да или нет. Это будут кнопки B или С по схеме. Кнопка А - это переключение меню в нижней части экрана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3309] Автор : UA9olb Дима Дата : 06.04.2018 06:02 как прошить контролёр, и как потом очистить память. Так же у Евгения на яндекс диске есть документ Prog.doc там все доступно расписано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3310] Автор : UR3ACH Дата : 06.04.2018 21:20 питание как подаёте, к включенному БП с установившимся напряжением, или включаете подключенный к схеме БП? У меня было похожее, БП медленно включался, из-за чего некорректно сбрасывался проц и был белый экран Я снова с проблемой белого экрана. В общем не корректно сбрасывается процессор( или как это назвать).А может и корректно сбрасывается,но не стартует? Запаял новый проц. Все прошилось. Снова белый экран.:-( Питание на трансивер подается через реле- Только подсветка.Порядок включения такой: сеть БП- тумблер на выходе БП- кнопка на передней панели- срабатывает реле подает питание на стабилизатор на ld 1083- далее остальные узлы трансивера. Отдельно через блок питания- все работает. Схема включения. Что делать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3311] Автор : UA7KJ Дата : 06.04.2018 21:30 реле подает питание на стабилизатор на ld 1083- далее остальные узлы трансивера. Такое может быть только из за возбуда ld 1083. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3312] Автор : dadigor Дата : 06.04.2018 23:38 Я бы попробовал увеличить 11С3 в цепи сброса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3313] Автор : UA0BHC Дата : 07.04.2018 01:51 Не понял, что за стабилизатор, вроде нет таких в схеме? Если так хочется его юзать надо видимо ставить ещё один тумблер после него, потому как процу не дают запуститься переходные процессы, где бы они не формировались, почему и речь была об установившемся напряжении на выходе БП -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3314] Автор : ua3ycv Дата : 07.04.2018 07:41 dadigor, Я бы попробовал увеличить 11С3 в цепи сброса.и Андрей обратите внимание на 11с15.11с5 и ещё раз по обвязки процессора.в качестве стаба я использую 1117 серию на 3.3 вольта-вроде как всё нормально.Внимательно смотрим обвязку! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3315] Автор : DeXter Holland Дата : 07.04.2018 15:55 походу чтото пиляется в прошивкет:super: https://www.youtube.com/watch?v=uBFb8PjNSQY ждем новостей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3316] Автор : AlexW Дата : 07.04.2018 16:18 У меня на VisAir похуже, тоже борюсь с трансвертером. Офтоп, Кременчугский НПЗ дышит там? Теряю работу, обслуживаем направление на Кременчуг по поставке черного золота. лучше в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3317] Автор : ua3ycv Дата : 07.04.2018 16:19 ждем новостейэвоно то как! ждем новостейтеперь ночь спать не буду! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3318] Автор : ua9ylu Дата : 07.04.2018 16:20 :super:неужели, супер !!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3319] Автор : UA9olb Дима Дата : 07.04.2018 17:21 неужели, супер !!! Ну Вы блин даёте уже 2 недели как) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3320] Автор : UA7KJ Дата : 07.04.2018 18:17 DeXter Holland, А что это за прошивка с трансвертером? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3321] Автор : DeXter Holland Дата : 07.04.2018 18:21 А что это за прошивка с трансвертером это мне попалось на просторах ютуба. наверное конкретней вопрос автору, R3DI. из предположений - ведется закрытый тест прошивки (или платной прошивки), про что было сообщено пару страниц форума назад -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3322] Автор : rn4haq Дата : 07.04.2018 18:24 Платных прошивок для Маламута не существует -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3323] Автор : UA9olb Дима Дата : 07.04.2018 19:07 Платных прошивок для Маламута не существует Обычная прошивка на яндекс диске у Евгения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3324] Автор : UA7KJ Дата : 07.04.2018 19:23 Обычная прошивка на яндекс диске у Евгения. Меня интересует прошивка с возможностью подключения трансвертеров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3325] Автор : UA9olb Дима Дата : 07.04.2018 19:31 подключения трансвертеров. Она там и лежит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3326] Автор : UA7KJ Дата : 07.04.2018 19:58 Она там и лежит. Последняя, что там есть V_4.9 в ней этого нет. Других версий я не вижу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3327] Автор : R3DI Дата : 07.04.2018 20:02 UA7KJ, Ядиск , папка 1.3, файл sx.hex -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3328] Автор : Сармат Дата : 07.04.2018 21:08 Она там и лежит. Да, прошивка там есть.Но не рабочая. У меня на дисплее после этой прошивки голова маламута и снизу ID моего проца. И все. Может у кого то работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3329] Автор : DeXter Holland Дата : 07.04.2018 21:41 у ID моего проца наверное перепись Маламутовцев... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3330] Автор : rn4haq Дата : 07.04.2018 23:34 У меня работает. Есть мелкие баги, не влияющие на работу... https://youtu.be/XY90oVPck1Y -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3331] Автор : R3DI Дата : 07.04.2018 23:38 rn4haq, а что за баги , какие? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3332] Автор : rn4haq Дата : 07.04.2018 23:48 Доброй ночи Евгений. При включении трансвертерного диапазона и последующем переходе на любой другой диапазон на дисплее остаются сотни герц от трансвертерного диапазона. Сейчас видео сниму) https://youtu.be/EX-CC0g_Sr4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3333] Автор : R3DI Дата : 08.04.2018 00:04 Доброй ночи! Точно, есть такое со шрифтами кроме type1(не забыть бы про это) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3334] Автор : RX9UAO Дата : 08.04.2018 09:36 DeXter Holland,хорошее видео.С приемом все понятно,а как дела с передачей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3335] Автор : DeXter Holland Дата : 08.04.2018 09:46 повторюсь - видео найдено на просторах ютуба, все авторские права принадлежат Эдуарду RW6MQ . ссылка на его ютуб канал ​https://www.youtube.com/channel/UCAtmbYWARi77SGChRvDFCFA/videos -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3336] Автор : UA7KJ Дата : 08.04.2018 13:15 Залил прошивку sx.hex286505 вот, что получилось. Не работает. Не пойму как она работает у других Маламутчиков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3337] Автор : RA6ANR Дата : 08.04.2018 13:37 UA7KJ, надо id процессора отправить r3di -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3338] Автор : ub3gce Дата : 08.04.2018 20:24 Я правильно понял, если плата куплена не у автора, то ID процессора можно не отправлять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3339] Автор : DeXter Holland Дата : 08.04.2018 20:55 ID процессора если автор паял - то может и не надо, а так он уникальный и неповторим -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3340] Автор : R3DI Дата : 08.04.2018 21:55 Нет необходимости отправлять мне id, на текущий момент актуальная прошивка 4.9 работает у всех. Тот файл для "группы тестирования" т.к. работа ведется по нескольким направлениям сразу но с разными коллегами, и чтобы мне после каждого изменения не отправлять каждому она была в общем доступе, мне так удобнее(было), закрытая - об этом было все ранее и + то чтоб не было как с светодиодом - "а что он то потухнет, то погаснет ?", как будет "логическое" завершение по нескольким параметрам - будет новая, а пока 4.9. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3341] Автор : rk3tv Дата : 08.04.2018 23:33 rn4haq, Я с Вашего видео ничего не понял в чём проблема. Всё работает как и положено в зависимости от вида модуляции и как у Вас выставлено в меню шаг настройки!!! Так что от трансвертерного диапазона ничего не зависит, не нужно Евгения вводить в заблуждение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3342] Автор : R3DI Дата : 09.04.2018 00:04 Gennady RK3TV, все нормально )))), мы друг друга поняли ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3343] Автор : rn4haq Дата : 09.04.2018 06:24 А я и не говорил, что не работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3344] Автор : ua3ycv Дата : 09.04.2018 08:34 парни тогда обьясните ситуацию-при загрузке этой версии у народа голова маламута и всё-в чём то тогда проблема? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3345] Автор : R3DI Дата : 09.04.2018 09:33 ситуация такая- загружать ее не нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3346] Автор : ub3gce Дата : 09.04.2018 12:21 Нет необходимости отправлять мне id Всё понятно, работаем тогда на 4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3347] Автор : ua3ycv Дата : 09.04.2018 14:43 ситуация такая- загружать ее не нужно.надеюсь перспектива "трансвертерной" прошивки остаётся:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3348] Автор : RX9UAO Дата : 10.04.2018 06:33 Пока затишье.Тоже поделюсь фото Маламутика и небольшого PA.286621286622286623286625 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3349] Автор : RA1CAC Дата : 10.04.2018 08:23 Круто! Из чего и как корпус делали? ЗЫ. А куда кнопка "Меню" спряталась? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3350] Автор : R3DI Дата : 10.04.2018 10:48 Корпус - класс!!! И идея и форма и исполнение - супер.:пиво::пиво::пиво: Присоединяюсь к вопросу о подробностях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3351] Автор : Love777888 Дата : 10.04.2018 10:58 Корпус действительно офигенски смотрится!!! Такой вопррс к аудитории, как и кто настаивал дпф, у меня на 14 Мгц давит капитально сигнал, вчера перешерстил весь, купил для этого lc-100 из китая, но толку не добился, в рфсим смоделировал фильтр, очень сильное влияние дает дроссель 2.2 мГн на завал всего фильтра причем нужно именно 2.2, не больше не меньше. Думал смотать на колечке не могу попасть номиналом в 2.2 либо 1.8 или 3.3 мГн получилось. Может кто поделится как их намотать? Хорош вариант с сердечником но нет таких крохотных корпусов самих оправок, есть куча контуров от си би станций, но они огромадные в сравнении с 0805. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3352] Автор : RX9UAO Дата : 10.04.2018 11:04 RA1CAC,Кнопочка справа.Алюминий (1.5мм) и пластик (наверное полистирол,дихлорэтаном отлично клеится,брал в магазине "крышки для электрошкафов",вроде так называются). 286645286646286647286648 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3353] Автор : RA1CAC Дата : 10.04.2018 11:16 ЗдОрово! Отличное исполнение, изящный внешний вид. Кагрицца, "Если у человека золотые руки - то не имеет значения, откуда они растут."(с) ...очень сильное влияние дает дроссель 2.2 мГн на завал всего фильтра...Может все-таки 2.2 МИКРОГЕНРИ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3354] Автор : RX9UAO Дата : 10.04.2018 11:19 Love777888,спасибо.Я как-то уже выкладывал фото пдф и каркасы, как раз использовал от сибишек.Извините повторюсь.286649 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3355] Автор : R3DI Дата : 10.04.2018 11:34 RX9UAO, ПДФ 1нч-1вч-1нч ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3356] Автор : UA4FTA Дата : 10.04.2018 12:07 Друзья,кто опишет,как в нашем варианте правильно настроить контура ПДФ,например,NWT-про двухконтурную систему нашёл,сначала настраиваем по максимуму один,при этом замкнув другой,потом наоборот,а здесь их четыре,и последовательные и параллельные,я думаю,многим будет интересно ну и про ФНЧ заодно в деталях,может кто поделится опытом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3357] Автор : RX9UAO Дата : 10.04.2018 12:35 R3DI,Женя в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3358] Автор : RK3AQW Дата : 10.04.2018 13:40 UA4FTA (http://www.cqham.ru/forum/member.php?17069-UA4FTA) самое простое,если не знаете как,это либо взять воздушные переменные конденсаторы и ими крутить КПВ) или более оптимально, это откройте программу RFSim ,сделайте в ней проект 1 ПДФ и сами увидите как и что менять,делов ровно 5 минут,а так для справки,если вы ДПФ СМД настраиваете то вх и вых емкости и индуктивности(по схеме ДПФ) --это согласование вх. и выхода,их можно не трогать,все остальное, то что возможно придется регулировать 3 емкости(поставте переменники и покрутите увидите сами ,что и куда) у меня с моими пленочными L СМД на 160 не совпали емкости было 2000 стало 1000пФ,и на 21-28 пришлось вместо 2-х средних смд L ,11 витков бескаркасных D=2мм,две катушки ,чтоб АЧХ ровная стала,при стандартных,что мне пришли из Китая был срез на 27 МГц и затухание приличное,поставил катушки ,диапазон зашипел сразу.При наличии NWT ,один диапазон потренируйтесь в настройке,остальные,как по маслу пойдут. вот что у меня вышло на 160 затухание 1-2 дБ до 2.3мГц тут нет графика п.с. на ВЧ вообще желательно на маленьких катушках бескаркасных делать,по крайней мере на 20-33 МГц,затухание получается на 4-6 дБ выше чем на пленочных(ну у меня так вышло)катушки можно расчитать в RFSim ,если чило витков большое получается,то можно расчитать под d3 мм и воткнуть феррит от подстроечников контуров,ничем не хуже ,чем СМД,да и смотрится не плохо и умещается на пятаках платы,получите самодельный СМД контур:lol:,раздвигая или сжимая витки,получите равномерную АЧХ,с наименьшим затуханием в полосе на выходных включил 756-й:facepalm:,раньше он мне казался вершиной аналоговой техники в шеке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3359] Автор : RK3AQW Дата : 10.04.2018 14:03 Никак не могу найти причину,одной бяки,при включении эквалайзера на прием,иногда после 1-2 часов идет возбуд,отключаешь в этот момент EQRT все нормально,включаешь возбуд не пропадает. Вкл-выкл,далее все работает:crazy2::?: Без вкл EQRT,проблем нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3360] Автор : Love777888 Дата : 10.04.2018 14:08 ЗдОрово! Отличное исполнение, изящный внешний вид. Кагрицца, "Если у человека золотые руки - то не имеет значения, откуда они растут."(с) Может все-таки 2.2 МИКРОГЕНРИ? Да конечно же опечатка, думал одно, написал другое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3361] Автор : R3DI Дата : 10.04.2018 14:42 RK3AQW, есть такое дело, это эквалайзер из библиотек от ST, в них не лазил и не разбирался да и времени нет изучать их, на возбуд фильтров похоже, я в основном на внеш.динамик слушаю, он "бухтит" хорошо и как то не необходимости в нем (эквалайзере ), его бы переделать на коррекцию сразу в ФОС, да опять все не до того, а так и работал без затрат dsp ...правдв на S метр бы влияло, но наверное не сильно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3362] Автор : UA7KJ Дата : 10.04.2018 17:22 Вот такие АЧХ ДПФ получились на индуктивностях 1210 третьего порядка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3363] Автор : RA1CAC Дата : 10.04.2018 17:49 А поподробнее можно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3364] Автор : UA7KJ Дата : 10.04.2018 18:02 А поподробнее можно? Настраивал NWT-7 это АЧХ чисто одних ДПФ подключеных к прибору. Индуктивности стандартные 1210. Вот их схема. ДПФ Маламут.zip (http://www.cqham.ru/forum/attachment.php?attachmentid=286710&d=1523372404) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3365] Автор : RA1CAC Дата : 10.04.2018 18:15 Настраивал NWT-7 это АЧХ чисто одних ДПФ подключеных к прибору...Да я так и понял, по отсутсвию затухания ПЕшек. Спасибо. Я еще вот что думаю. А если сохранить порядок ДПФ, но сделать их т.н.параллельными, с чебышевской характеристикой? По расчетам вполне вкусно получается. И предположительно, затухание у них должно быть небольшое, поскольку всего два последовательных контура, как у 3-го порядка... И в стандартные номиналы неплохо укладывается, вот к примеру для 20..33мгц: 286712 И его АЧХ: 286713 Вот закончу монтаж и настройку (последний штрих остался - УМ), надо будет попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3366] Автор : RK3AQW Дата : 10.04.2018 20:58 RA1CAC, запытаю на втором экземпляре)никак руки не дойдут,удручает запайка резисторов и кондеров,сверху вроде не видно их,а как начнешь.....:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3367] Автор : RA1CAC Дата : 10.04.2018 21:09 запытаю на втором экземпляре...Я вот тоже думаю,надо еще один сделать, деталей с запасом прикупил. Еще бы дождаться от Евгения новой версии платы :пиво:... ...удручает запайка резисторов и кондеров,сверху вроде не видно их,а как начнешь.....:smile:Это да. Я даже задумался USB микроскоп купить, но цена останавливает. За пару тыр, - детская игрушка. А более-менее нормальный - жаба денег не дает.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3368] Автор : ua3ycv Дата : 10.04.2018 21:35 жаба денег не дает.ей надо обьяснить-что зрение гораздо дороже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3369] Автор : RA1CAC Дата : 10.04.2018 21:47 ей надо обьяснить....:-PКак ей объяснить? Она же - жаба. С ней только ее методами - придушить, и пока не очухалась, бегом в магаз.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3370] Автор : Love777888 Дата : 10.04.2018 23:27 Приветствую! Еще один вопрос, с дпф и байпасс какая разница в сигнале по S метру? У меня вышло что 2 балла, был включен самодельный ДДС генератор по нем и проверял, да и настраивал 14Мгц. Как у вас маламутцы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3371] Автор : RA1CAC Дата : 11.04.2018 07:29 ...дпф и байпасс какая разница в сигнале по S метру? У меня вышло что 2 балла, ...По S-метру не смотрел, тем более, что его тоже настраивать надо, а настраивать его лучше после настройки ДПФ. А по NWT7 - примерно около 10 дб, т.е. да, примерно 2 балла. Причем на ВЧ - затухание больше, на НЧ - меньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3372] Автор : Genadi Zawidowski Дата : 11.04.2018 10:09 примерно около 10 дб Как можно... Напомню о своей возне с полосовиками... На снимке - пятый порядок, комутация P-I-N диодами BAP64-03, питание +5, индуктивности размера 1812. Измеряется пусть прохождения сигнала от антенного разъема до входа УВЧ. Это аттенюатор на реле, ФНЧ, ФВЧ, полосовой фильтр. Схема из темы про Аиста. Возможно, затухание на верхнем участке (в последнем фильтре на картинке около 4 дБ) удастся уменьшить применив более крупные дроссели. Правда, имеющиеся на 2.2 мкГн имеют 30% допуск. Сейчас собирается на 5%. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3373] Автор : RA1CAC Дата : 11.04.2018 13:10 Как можно....Ну вот без настройки пока как-то так собирается - кому как повезет. Спасибо, Геннадий, Вы подтвердили мое предположение о применении параллельных ДПФ с чебышевской характеристикой. Буду пробовать. До 4-х дб скорее всего не получится, т.к. ПЕшки в сумме дают 3 с хвостиком, в лучшем случае. Но 12 с копейками, как у меня сейчас на ВЧ, думаю вылечится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3374] Автор : Genadi Zawidowski Дата : 11.04.2018 13:18 В моём случае без настройки. Сильнее всего на затухание влияет добротность индуктивностей в последовательных звеньях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3375] Автор : UA0BHC Дата : 11.04.2018 17:15 В RFSimm занёс данные ДПФ 9,5-15 МГц. Вот такой график получился. Надо обращать внимание на красный график? А синий что показывает? В нём затухание большое на 14 МГц. 286759 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3376] Автор : Love777888 Дата : 11.04.2018 18:11 Здравствуйте! Пробовал я наматывать и на сердечниках от контуров, ничего не меняется, правда наматывал и поочередно менял каждый смд, ничего особо не меняется, что выжал с переборки фмльтра это 1 балл с метра. Других приборов нет. Возможно надо было заменить все смд моточными, тогда было бы лучше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3377] Автор : RA1CAC Дата : 11.04.2018 18:32 ...Вот такой график получился. Надо обращать внимание на красный график? А синий что показывает? В нём затухание большое на 14 МГц...Красный график - можно сказать, что это и есть АЧХ фильтра (S21). Синий - АЧХ "наоборот", график коэффициентов отражения (S11). А вообще, почитайте о четырехполюсниках, коэффициенты S11..22 - это оттуда. ...выжал с переборки фмльтра это 1 балл с метра.1 балл S-метра, это 5..6 дб. Имхо, это хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3378] Автор : Love777888 Дата : 12.04.2018 09:33 Я еще вот что думаю. А если сохранить порядок ДПФ, но сделать их т.н.параллельными, с чебышевской характеристикой? По расчетам вполне вкусно получается. И предположительно, затухание у них должно быть небольшое, поскольку всего два последовательных контура, как у 3-го порядка... И в стандартные номиналы неплохо укладывается, вот к примеру для 20..33мгц: 286712 И его АЧХ: 286713 Вот закончу монтаж и настройку (последний штрих остался - УМ), надо будет попробовать. А можно выложить на другие диапазоны цепочки фильтров? Или как рассчитать на остальные диапазоны, в смысле где прочитать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3379] Автор : RA1CAC Дата : 12.04.2018 09:54 Отчего же нельзя? Держите: 286789 Однако, с моей стороны, это пока только теория, хоть и подтвержденная уважаемым Genadi Zawidowski (http://www.cqham.ru/forum/member.php?1595-Genadi-Zawidowski). Сам я пока не проверял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3380] Автор : Love777888 Дата : 12.04.2018 10:16 Неплохо, единственно нужно скрупулезно подбирать номиналы, надо хоть на одном бенде попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3381] Автор : RA1CAC Дата : 12.04.2018 10:21 ...нужно скрупулезно подбирать номиналы...Ну да, целый вечер потратил. Да и при построении хороший LC-метр не помешает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3382] Автор : Genadi Zawidowski Дата : 12.04.2018 10:37 Кстати, комплект моделей под 200 Ом тракт на основе схемы фт 450 выкладывались в какой-то моей теме с соответствии вующим названием... Фильтры на стандартных индуктивностях называлась, кажется. Все эти схемы интересны как раз отсутствием необходимости подбора номиналов. http://www.cqham.ru/forum/showthread.php?11341-%C4%E8%E0%EF%E0%E7%EE%ED%ED%FB%E5-%F4%E8%EB%FC%F2%F0%FB-FT-450-%ED%E0-%F1%F2%E0%ED%E4%E0%F0%F2%ED%FB%F5-%E8%ED%E4%F3%EA%F2%E8%E2%ED%EE%F1%F2%FF%F5 И ещё одна тема про не очень удачный вариант. http://www.cqham.ru/forum/showthread.php?5654 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3383] Автор : RA1CAC Дата : 12.04.2018 11:21 ... Фильтры на стандартных индуктивностях...Спасибо, Геннадий. Кстати, первую тему гугел выдает в первой же строке поиска по фразе "Фильтры на стандартных индуктивностях". 2009 год однако... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3384] Автор : Genadi Zawidowski Дата : 12.04.2018 12:16 Алексей, личку посмотрите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3385] Автор : RA1CAC Дата : 12.04.2018 12:24 Спасибо, Геннадий. Ответил там же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3386] Автор : autosat Дата : 12.04.2018 15:27 Как вам такой вариант? 286819 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3387] Автор : RA1CAC Дата : 13.04.2018 07:41 Как вам...Последовательный фильтр? Затухание в полосе прозрачности, имхо, великовато. При практической реализации, оно скорее всего увеличится. Вот попробую параллельный фильтр - скажу окончательно, что и как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3388] Автор : ua3ycv Дата : 13.04.2018 09:00 Парни вопрос такой-какая частота должна быть после СИ-шки при включении УКВ диапазона(64-74мгц) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3389] Автор : UA9olb Дима Дата : 13.04.2018 09:19 В 2 раза больше принимаемой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3390] Автор : rn4haq Дата : 13.04.2018 09:24 128-148. Не все сишки тянут -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3391] Автор : ua3ycv Дата : 13.04.2018 09:36 128-148. Не все сишки тянутда-наверное мне не повезло:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3392] Автор : rn4haq Дата : 13.04.2018 09:39 Попробуйте поиграться с кварцем. Поставьте на большую частоту -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3393] Автор : autosat Дата : 13.04.2018 14:28 Затухание в полосе прозрачности, имхо, великовато Может не всё так плохо? Потери на частоте 3900 кГц -0,4 дБ против -0,8 дБ. Параллельный 286898 Последовательный 286904 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3394] Автор : RK3AQW Дата : 13.04.2018 15:07 rn4haq, без разницы,мои сишки до 146 МГц(все перепробовал) и при 27 и при 25 генерацию рвет на 146 мГц,хотя пишут, что оригиналы некоторые до 200-210 тянут,имеем,то что имеем Китай и за то спасибо) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3395] Автор : autosat Дата : 13.04.2018 16:00 Коллеги, возможно ли устранить аппаратно шип в центре экрана, или это делается программно? 286915 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3396] Автор : РУСИЧ Дата : 13.04.2018 16:37 Коллеги, возможно ли устранить аппаратно шип в центре экрана, или это делается программно? Нет не возможно!!! Если Вы это сделаете Вы получите Нобеля:ржач: Это есть во всех видах SDR! Все зависит от программера куда он его спрячет или отодвинет! Программа старается его подавить и она со своей задачей справляется:super: Все остальное перекосы (Наших, Китайских и т. д. комплектующих) Он есть и у меня ну чуточку меньше:oops: С антенной и без антенны! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3397] Автор : ua3ycv Дата : 13.04.2018 17:54 попробовал на приём 50мгц-довольно не плохо! но выше 62 мгц приёма нет-ну и ладно!очень доволен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3398] Автор : autosat Дата : 13.04.2018 19:11 Программа старается его подавить Понятно, вот спектр SDR# с коррекцией IQ 286937 И без коррекции 286940 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3399] Автор : RA1CAC Дата : 13.04.2018 20:26 Может не всё так плохо?...Дык я и не говорю, что плохо. Вот 12дб затухания на вч (как у меня получилось) - это плохо. Что будет лучше - эксперимент покажет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3400] Автор : Genadi Zawidowski Дата : 13.04.2018 20:55 Может не всё так плохо? Потери на частоте 3900 кГц -0,4 дБ против -0,8 дБ. Обратите внимание, что при одинаковом мсштабе по частоте и затуханию картинки практически неотличимы. 0.3 дБ в эмулятое в полосе - то, на что можно не обращать внимания совсем. Разброс компонентов в реальтной жищни все сведет к примерно 1.5 дБ. ps: кстати, довольно оптимистические парамеры по добротности вбиты. Это для каких катушек такое? Явно не для 0805. 286941 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3401] Автор : autosat Дата : 13.04.2018 21:26 Это для каких катушек такое? Исходил из этого: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3402] Автор : Genadi Zawidowski Дата : 13.04.2018 21:43 По мюрату скажите на какие смотреть. На наш случай LQM21 похожи... Многослойные от TDK... 0805 с добротностью 50 на 10 МГц? Как-то с трудом верится... Тут еле-еле из 1812 вытягивается. Кто-нибудь прокомментировать может? Судя по даташиту, по всему 0805 лучше (разве что кроме того, что 5% не найти). А затухание под 10 дБ у фильтра на них. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3403] Автор : autosat Дата : 13.04.2018 21:56 Murata — LQW2BH -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3404] Автор : Genadi Zawidowski Дата : 13.04.2018 21:59 Murata — LQW2BH Судя по даташиту, не более 0.47 мкГн бывают. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3405] Автор : autosat Дата : 13.04.2018 22:09 Да, так и есть. Хорошо хоть эти есть в наличии в "Чип и Дип"... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3406] Автор : ra9qba Дата : 13.04.2018 22:24 В "Чип и дип", некоторые индуктивности некорректно отображаются: вместо 0805 заявленных, 1210 по факту размер пришел, например: https://www.chipdip.ru/product/lqw2uasr68j00l поэтому, нужно сверять с даташитом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3407] Автор : UA0BHC Дата : 14.04.2018 05:12 Разброс большой 0805, с одной ленты +-20 и более процентов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3408] Автор : RD3Q Дата : 14.04.2018 06:49 Друзья, а не перейти ли Вам вот сюда http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0&highlight=%E4%E5%F2%E0%EB%E8+%E4%EB%FF+%EC%E0%EB%E0%EC%F3%F2%E0. Давайте здесь про авторский вариант трансивера. Захочешь что то найти - целый день уйдет читать, что народ придумает переделать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3409] Автор : Палыч Дата : 14.04.2018 18:33 Коллеги! Подскажите, как активировать и настроить САТ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3410] Автор : RN3R Дата : 14.04.2018 18:53 В меню - sdr - usb mode - af. Ну а номер порта, тот что у вас появится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3411] Автор : Палыч Дата : 14.04.2018 19:40 Андрей, спасибо! Т.е., таким образом я организую связку Маламут-Омнириг... А мне надо состыковать Маламут-N1MM лог. Если я правильно понимаю, то в Маламуте для САТ используется протокол FT817? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3412] Автор : РУСИЧ Дата : 14.04.2018 19:45 Ну а номер порта, тот что у вас появится. У меня так! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3413] Автор : R3DI Дата : 14.04.2018 19:46 Палыч, да, 817го, РТТ по САТ, СW ключевание по DTR, и активировать его не нужно, он работает всегда, смотрите каким портом определился. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3414] Автор : Палыч Дата : 14.04.2018 20:51 Евгений! Вопрос вдогонку. USB кабель отключен от компьютера. Контролирую осциллографом 70 и 71 выводы процессора На 71 выводе высокий потенциал 3.2В на 70-низкий, около нуля. Никакие манипуляции с Маламутом (вращение энкодера, переключение диапазонов) не вызывают изменения состояния выводов (хотя, возможно и есть короткие импульсы, но я их не наблюдаю...) Как должно быть правильно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3415] Автор : R3DI Дата : 14.04.2018 20:55 Так и должно быть, усб контроллера будет отвечать только на запросы хоста (компьютера). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3416] Автор : Палыч Дата : 14.04.2018 22:28 То РУСИЧ. Спасибо за детальную информацию! У меня весьма похожие картинки, только почему-то в диспетчере задач не отображается SDR Malamute как у Вас. Виртуальный порт, однако образовался. У меня лог сообщает, что не видит мой виртуальный порт СОМ6, хотя компьютер утверждает, что устройство работает... Подскажите, как Вы организовывали виртуальный порт? Возможно здесь собака порылась? Добавлено через 11 минут(ы): То R3DI Евгений спасибо, несколько успокоили! А то я не зная алгоритма погрешил на некорректную работу USB... Возможно что-то не совсем правильно было выполнено при организации виртуального порта. Надо разбираться... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3417] Автор : UA0BHC Дата : 15.04.2018 08:52 ДПФ-ы только на приём работают? Чтобы правильно измерить АЧХ тракта, надо мерить ДПФ+ФНЧ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3418] Автор : RD3Q Дата : 15.04.2018 10:58 UA0BHC, Схему научитесь читать! Все работает на прием и на передачу, кроме режима BYPASS! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3419] Автор : Палыч Дата : 16.04.2018 09:49 Коллеги! Пожалуйста поделитесь пошаговой инструкцией по установке и настройке САТ! Компьютер с Win7. Что-то видимо я не так делаю или делал и уперся в глухой угол, когда компьютер в упор не видит Маламут! Виртуальный порт создан, комп утверждает, что устройство работоспособно (пост 3416) Что нужно делать с файлами из архива CAT_inf.zip? Где их необходимо разместить? У меня установлена версия 4.9. Может во время загрузки собственно hex файла с софтом нужно было еще какие-то файлы подгрузить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3420] Автор : EW2MS Mikhail Дата : 16.04.2018 10:06 Драйвера у Вас правильно стали. Смотрите свои настройки в логе. Скорость передачи данных сверте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3421] Автор : R3DI Дата : 16.04.2018 10:07 Палыч, посоветовал бы из системы удалить все что связано с Маламутом, звук и сат. Перегрузить и уст все заново, звук должен установиться сам, для компорта как раз и указать файл ini из архива. А звук все же думаю должен с подписью Маламут отобразиться. После можно и к настройки САТ и внутри программ переходить, и тут все показали и расказали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3422] Автор : Палыч Дата : 16.04.2018 10:27 , для компорта как раз и указать файл ini из архива Евгений! Прошу прощения за свое невежество, а как и где для компорта это сделать? И какой именно? В архиве их три. Или все три куда-то разместить? То Михаил Вот мои настройки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3423] Автор : R3DI Дата : 16.04.2018 10:46 При загрузке пропустить поиск в центре винды, дальше не помнб, то ли система сама спросит где взять ей, то ли носом ткнуть нужно, в любом случае указать на папку со всеми тремя, она сама по пидвиду опредклит какой взять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3424] Автор : Sergey_Lapin Дата : 16.04.2018 10:51 Как и любые другие драйвера. Просто пропустить в центре виндовс. И указать папку с фаилом нужного драйвера. В данном случае предназначенные Маламуту. Именно указать папку. И наче винда опять коряво может установить не тот драйвер. Пока писал...Евгений уже то же самое написал))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3425] Автор : UA4FTA Дата : 16.04.2018 16:57 Проверил сейчас на 2-х платах-аналогично,как у Палыча-звук есть,порт есть,в логе пишет,что порт открыт,а управления нет-где-то засада. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3426] Автор : R3DI Дата : 16.04.2018 18:47 только что удалил все с системы, загрузил специально с Ядиска 4.9 и все установилось как положено, может у вас кто порт перехватывает ? в ручную, каким нибудь терминалом если открыть и команды погонять - получается ? 287149 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3427] Автор : UA4FTA Дата : 16.04.2018 19:48 Всё так,но у меня на XP звук прописывается,как у Палыча,но приём bpsk есть.Шнуры менял,переустанавливал-ничего не меняется,сейчас порт номер поменяю.И шумит,на вч почти перекрывает приём,на обеих платах,процессоры из одной партии. Ничего не изменилось-не видит Логгер32 Маламут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3428] Автор : R3DI Дата : 16.04.2018 20:03 UA4FTA, шумит это не из-за процессоров, провода и земли, мне защелка очень помогла, ну на край оптику для развязки ставить на адуме. Так а кроме логгера, команды погонять, например в компорттулките получается? Ну или для начала просто порт в нем открыть? И вот еще, виртуалки -они такие - сначала маламута с усб к системе, а уж потом программу запускать на компе, иначе не пойдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3429] Автор : UA4FTA Дата : 16.04.2018 20:17 Ничего не понял-сейчас пошло на одной плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3430] Автор : Палыч Дата : 16.04.2018 20:51 Евгений! Несколько вопросов в попытке найти причину неодинаковости. 1.Какая версия ПО стоит у Вас на компе? 2.Чем загружаете софт в Маламут, ST-Linkом или другим способом? 3. Если ST-Linkом то какую версию утилиты используете и откуда скачивали? 4. Файл SDR_V4.9(hex) с диска содержит пять файлов, из них один .hex, три с расширением.inf и один с расширением .dfu Если используется ST-Link, то он "переварит" .hex... А как поступать с остальными файлами? После выполнения загрузки ПО вроде и должна появится в аудио заветная строчка SDR Malamute. Но почему-то появляется только та картинка, что я приводил ранее... 5. Как Вы организовывали виртуальный СОМ порт? Чем пользовались, какими драйверами? Было бы здорово, если бы Вы указали источник, где все это можно скачать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3431] Автор : R3DI Дата : 16.04.2018 21:15 Палыч, добрый вечер. 1. версия какого ПО? 2..4 там же на Ядиске есть файл прог.дог. 5. Тоже вопрос не ясен, ini файлы это и есть указатели для винды на какой ей ее же драйвер использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3432] Автор : Палыч Дата : 16.04.2018 21:34 To UA4FTA (http://www.cqham.ru/forum/member.php?17069-UA4FTA) Загляните пожалуйста в личку! Добавлено через 15 минут(ы): версия какого ПО? Я имел ввиду ПО Вашего компьютера. Просто шальная мысль, что появится или нет желаемая надпись может зависит и от версии WIN Хотя скорее всего это уже дутье на воду...:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3433] Автор : ra9qba Дата : 17.04.2018 09:44 Плата 1.3 УНЧ РАМ8406. На выходе нет звука. Вывод 5 (mute) логический 0. Как в трансивере отключить mute? И пробовал поднимал вывод, тоже нет сигнала. После поднял вывод 7 (input), УНЧ заработал, но с выводом 5 и 7 висящими в воздухе. УНЧ все-же думаю рабочий. Вывод сигнала AF_MUTE с контроллера, не коротит на землю, в чем может быть проблема? В неверной развязке УНЧ? В активном состоянии pam8406 должен быть высокий уровень на mute. В tda7233 наоборот. По входу думаю нужен конденсатор в развязку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3434] Автор : UA4FTA Дата : 17.04.2018 10:49 Меню 22 SDR,пункт 9 mute level 0. Сегодня утром включил Маламут--cat интерфейс не работает на обеих платах,варианты подключения всякие-разные-ни в какую!У меня XP и вчера как-то это запустилось,а сегодня никак-или это комп или что-то в программе-попробую на нетбуке ещё. Добавлено через 40 минут(ы): WINDOWS XP -лицензия-на обеих платах аналогично не работает cat,и при установке сразу сообщение-ПО не тестировалось на XP,может в этом вся беда,в XP,Евгений? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3435] Автор : AlexJ Дата : 17.04.2018 10:55 удалено -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3436] Автор : R3DI Дата : 17.04.2018 11:01 UA4FTA, да, вчера нешел ХР машину, звук идет, а на виртуалку код 10, по возможности буду разбираться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3437] Автор : UA0BHC Дата : 17.04.2018 11:06 ra9qba, если переключение в меню не поможет, попробуйте сбросить фрам, и снова в меню выставить mute level. У меня тоже сразу почему-то не переключилось, а после сброса - да. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3438] Автор : RK3AQW Дата : 17.04.2018 11:56 UA4FTA, странно у меня на 10 винде Х-64 все само ставиться и ловит,одно только,когда маламут подключаю,то все остальные медиа лишаются звука,но привык уже:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3439] Автор : Genadi Zawidowski Дата : 17.04.2018 12:05 ,но привык уже При подключенном трансивере поставьте устройством по умолчанию обычно использовавшеееся - динамики и микрофон. тюе чтобы зеленая стрелрчка стояла там где у меня на картинке. 287199 287200 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3440] Автор : R3NC Дата : 17.04.2018 12:06 Всем доброго времени суток! Подскажите двоечнику (мне), что это за шум?! Запаяна обвязка проца, кодека по приему нет. Спасибо!287201 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3441] Автор : UA4FTA Дата : 17.04.2018 12:13 UA4FTA, странно у меня на 10 винде Х-64 :smile: Именно на XP-самая древняя она,у всех добрых людей 7,8,10-а у меня XP-у кого на XP без проблем работает-отзовитесь,если имеются таковые-я думаю,таких нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3442] Автор : R3DI Дата : 17.04.2018 12:34 RV3NJ, если кратко , то кодека по приему нет. - это и есть ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3443] Автор : ra9qba Дата : 17.04.2018 12:39 ra9qba, если переключение в меню не поможет, попробуйте сбросить фрам, и снова в меню выставить mute level. У меня тоже сразу почему-то не переключилось, а после сброса - да. Спасибо. Переключил, заработало. Сначала с поднятой ножкой работал, проинвертировал в меню. Вместо 12R1 конденсатор 0.1 мкф установил, 12R3 убрал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3444] Автор : R3DI Дата : 17.04.2018 12:46 место 12R1 конденсатор 0.1 мкф установил, 12R3 убрал таки на схеме это подписано... 287202 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3445] Автор : ux1vx Дата : 17.04.2018 12:52 Доброго всем дня! Не могу победить плохую манипуляцию из N1MM и по САТ и на прямую через реле.... При подключении манипулятора все ОК. Файл с записью прикладываю. 287203 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3446] Автор : R3DI Дата : 17.04.2018 12:53 ux1vx, как телеграфист - не телеграфисту, поясните, что именно плохого, какие настройки, как делали запись и тд, по подробнее ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3447] Автор : ux1vx Дата : 17.04.2018 13:07 Евгений, приветствую! Точки смазываются, нет четкости в сигнале. Когда подключаю манипулятор - претензий нет. Запись делал из самоконтроля внешним микрофоном. В режиме man, как мне показалось, вообще никакие настройки, кроме времени задержки не работают... Вообще не нашел никакого мануала по настройкам, может что то дадите для самообразования?;-) не внимательно прочитал - думал: телеграфист -телеграфисту! Когда идет несколько точек подряд, они как бы ускоряются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3448] Автор : UA0BHC Дата : 17.04.2018 13:10 Всем доброго времени суток! Подскажите двоечнику (мне), что это за шум?! Запаяна обвязка проца, кодека по приему нет. Спасибо!287201 А что ещё впаяно? У меня, когда был только проц и часовой кварц, на экране в этой области была пустота. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3449] Автор : rz3qs Дата : 17.04.2018 13:12 Когда подключаю манипулятор - претензий нет. Трансивер работает. Ищите проблему у источника манипуляции, длительность точек плавает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3450] Автор : R3DI Дата : 17.04.2018 13:14 ux1vx, так вроде стандартно все WPM, Tone, Type, Delay, Weight, Sape. вот запись передачи через ur5eqf скорость там выбрана 100 управление PTT-CAT CW-DTR, запись через усб с самоконтроля. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3451] Автор : ux1vx Дата : 17.04.2018 13:22 Да, у Вас все ОК. С ur5eqf не могу состыковаться... Настроил omnirig и цепляюсь через него. Как у Вас сделано соединение? Через СОМ не получается а через омнириг не могу выставить РТТ-САТ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3452] Автор : rz3qs Дата : 17.04.2018 13:29 Да, у Вас все ОК. Не oK. Тоже точки плавают. Видно на "pse". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3453] Автор : R3DI Дата : 17.04.2018 13:38 ux1vx, лог - 817й ptt-cat cw- dtr, в цифровых модах порт omnirig cw- dtr, и проверил на полее высоких скоростях - так и есть - "режет " и есть пропуски по точкам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3454] Автор : R3NC Дата : 17.04.2018 13:52 UA0BHC,А что ещё впаяно? У меня, когда был только проц и часовой кварц, на экране в этой области была пустота. Если по блокам то: AMP IQ половина, CODEC все кроме кодеков, MIC AMP почти все, CPU все кроме памяти и батарейки, CONN половина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3455] Автор : R3DI Дата : 17.04.2018 15:14 RV3NJ, не "ломайте" себе голову по поводу какие флюктуации происходят на на входных пинах контроллера от кодека пока они "висят" в воздухе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3456] Автор : R3NC Дата : 17.04.2018 15:17 OK! Спасибо не буду! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3457] Автор : Сармат Дата : 17.04.2018 19:31 может у кого то была такая ситуация: правильная осциллограмма снята на выходе ум 40 метров, кривая на диапазоне 80 метров. Подавал сигнал на вход УМ и с генератора, картинка не меняется. Сначала был выходной транс на бинокле, заменил на трансы на кольцах как в SW. При переходе на кольца уровень сигнала стал выше, но форма сигнала на обоих диапазонах осталась без изменений. 287236287237 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3458] Автор : ux1vx Дата : 18.04.2018 10:35 Интересно, а есть варианты исправить эту проблему с манипуляцией? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3459] Автор : R3DI Дата : 18.04.2018 10:43 ux1vx, мне тоже интересно, у меня вариантов нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3460] Автор : ur7hfo Дата : 18.04.2018 12:39 Не oK. Тоже точки плавают. Видно на "pse". Не только видно, но и очень хорошо слышно. А с механического (не по линии DTR) ключа нормально идет передача ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3461] Автор : RA1CAC Дата : 18.04.2018 13:29 to R3DI. Заговорили о манипуляции CW, и я вот еще что подумал. Евгений, а не планируете ли Вы в режиме CW добавить строчки памяти/воспроизведения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3462] Автор : R3DI Дата : 18.04.2018 13:32 в режиме CW добавить строчки памяти/воспроизведения? это макросы что ли ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3463] Автор : RA1CAC Дата : 18.04.2018 13:38 это макросы что ли ?Нет, как дополнение к ключу - ячейки(правильнее-строчки) памяти с текстом для автоматической передачи в CW. Нажимаем кнопочку - пошла в эфир передача в CW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3464] Автор : R3DI Дата : 18.04.2018 13:41 RA1CAC, это и имел ввиду, давно есть такая мысль - планов громадьё - да вот ресурсов не хватает - человеко-часов ресурсов :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3465] Автор : RA1CAC Дата : 18.04.2018 13:45 ...ресурсов не хватает - человеко-часов ресурсов :-(Если что, могу поделиться исходниками ключа с ячейками - я его во все свои конструкции пихаю. Правда исходники у меня для STM8L. Но я думаю, сообразите, как их для STM32 портировать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3466] Автор : R3DI Дата : 18.04.2018 13:57 RA1CAC, спасибо за предложение, но думаю что как программист-программиста Вы меня понимаете, что вся "тягомотина" не в char CQ[], char RPT[], а в том как это в имеющеюся "кашу" запихать :-P Тут с манимуляцией на высоких скоростях такая проблема - 1/48180*1024 = 21,25мс это период возникновения прерывания полубуфера (прием или передача) (собсно сколько времени из этих 21,25 тратит dsp на обработку это и есть загрузка dsp) так вот автомат CW из них занимает ~0,8ms там он и проверяет состояние пина (DTR или физического) те 21-0,8мс проц не знает состояние пина - был он или не был - вот и получается что при высоких скоростях эта погрешность становится относительно велика. Тут или отдельный поток на цифровой магнитофон (с его реализацией) нужен и потом "подсовывать" буфер магнитофона в передающий буфер... все это как-то синхронизировать... короче мороки не на "5 минут". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3467] Автор : RA1CAC Дата : 18.04.2018 14:09 ...думаю что как программист-программиста Вы меня понимаете... :-P Разумеется. Тем более, что иногда бывает легче свое наваять, нежели в чужом ковыряться... :-P Но если что - имейте в виду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3468] Автор : ur7hfo Дата : 18.04.2018 14:35 Нет, как дополнение к ключу - ячейки(правильнее-строчки) памяти с текстом для автоматической передачи в CW. Нажимаем кнопочку - пошла в эфир передача в CW. Зачем придумывать что то свое, если есть почти стандарт такого рода передачи, называется winkey2 и работает с самыми популярными логами n1mm, tr4win, mixw, а если в логе такого функционала нет, то есть программа cwtype тоже работающая с winkey2. Добавить такой функционал или нет, решать автору. Насколько я понимаю, у нас нет аппаратных линий dtr rst, так как нет аппаратного usb-com конвертора (ftrl232, cp2101 итд), а обрабатывать прерывания от программных rts dtr , это наверное фантастика.. Но это просто мысли в слух, так как в stm32 пока не разбираюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3469] Автор : RA1CAC Дата : 18.04.2018 14:47 ...21,25мс это период возникновения прерывания полубуфера (прием или передача) (собсно сколько времени из этих 21,25 тратит dsp на обработку это и есть загрузка dsp) так вот автомат CW из них занимает ~0,8ms там он и проверяет состояние пина (DTR или физического) те 21-0,8мс проц не знает состояние пина - был он или не был - вот и получается что при высоких скоростях эта погрешность становится относительно велика. ...Т.е., если состояние пина изменилось за эти 21.25мс, а автомат его не поймал - привет горячий до следующего прерывания получается? Н-да.. вот где собака порылась. А если поступить следующим образом: по первому приходу сигнала(или по прерыванию) - запускать таймер, с периодом = длительности точки, а потом все телодвижения с CW манипуляцией делать по прерыванию от этого таймера, до окончания передачи символа или строки? ... Тут или отдельный поток на цифровой магнитофон (с его реализацией) нужен и потом "подсовывать" буфер магнитофона в передающий буфер... все это как-то синхронизировать... короче мороки не на "5 минут".По утверждению ux1vx, к манипулятору претензий нет. Узкое место - манипуляция через DTR. Потому как время прохождения сигнала от нажатия до получения этого нажатия процессором - разное. "Я так думаю!"(с) Наверное надо как-то минимизировать это время. Зачем придумывать что то свое, если есть почти стандарт такого рода передачи, называется winkey2 и работает с самыми популярными логами n1mm, tr4win, mixw, а если в логе такого функционала нет, то есть программа cwtype тоже работающая с winkey2.....Ну наверное затем же, зачем и Маламут делать. :-P Есть же трансиверов много хороших и разных... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3470] Автор : R3DI Дата : 18.04.2018 15:01 Узкое место - манипуляция через DTR. Потому как время прохождения сигнала от нажатия до получения этого нажатия процессором - разное. проверил светодиодной "кукушкой" - отличная реакция на DTR (в программе - по осциллу все четко, ничего не плавает), все дело именно как описал во втором предложении тут #3466 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1522666&viewfull=1#post1522666) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3471] Автор : RA1CAC Дата : 18.04.2018 15:05 отличная реакция на DTR (в программе - по осциллу все четко, ничего не плавает),...Это обнадеживает. Тогда остается только пробовать использовать таймер, как я писал в предыдущем посте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3472] Автор : R3DI Дата : 18.04.2018 15:31 запускать таймер, с периодом = длительности точки, а потом все телодвижения с CW манипуляцией делать по прерыванию от этого таймера, до окончания передачи символа или строки? не пойдеть - Маламут не знает какая скорость точки выставлена в во внешнем софте, таймер нужен с периодом 21,25/1024 и записывать состояние пина, тогда у автомата CW ( CW-DDS буфера 1024 ) будет информация о пине не за 0,8мс а за все 21,25 - вот как-то так.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3473] Автор : RA1CAC Дата : 18.04.2018 15:47 ...Маламут не знает какая скорость точки выставлена в во внешнем софте,...А этот вопрос нельзя решить так, чтобы Маламут определял скорость? Или нет не так, - а если к DTR подключить ручной ключ - длительность точки не имеет значения. Так? Тогда получается, что надо делать прерывания по изменению состояния DTR. Даже если эти прерывания как-то повлияют на основной поток dsp, мне кажется это не страшно, потому как в эти моменты будет происходить переключение RX/TX. Потому как, имхо, это не дело, загрузить проц опросом порта по самые не балуйся... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3474] Автор : ra9qba Дата : 18.04.2018 15:52 Вещательная станция 13578МГц напрямую детектируется трансивером с включенным УВЧ, если антенну развернуть на восток, то уже и без УВЧ на всем диапазоне 20 м слышна только ее работа:-(. При этом на 10 МГц, на эту -же антенну, ее нет(ДПФ и ФНЧ один). Фазовые шумы гетеродина большие? Или где искать? ДПФ все же разные на 10 и 14 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3475] Автор : R3DI Дата : 18.04.2018 16:00 Или нет не так, - а если к DTR подключить ручной ключ - длительность точки не имеет значения. Так? да - если не режим электронного ключа - тон пока есть удержание (по DTR "электронный" переходит в режим вертикального автоматом). Тогда получается, что надо делать прерывания по изменению состояния DTR. Даже если эти прерывания как-то повлияют на основной поток dsp, мне кажется это не страшно, потому как в эти моменты будет происходить переключение RX/TX. дело не в RX/TX, а именно в DDS(софтовый) которая формирует буфер в 1024 (за 0,8 ms) и в алгоритме для кодека, передача тона в CW не по режиму передачи RX/TX- а именно по информации в кодеке(в режиме передачи), да и с таймером записи засада - 21,25ms/1024 - в 20ns прерывания проц точно "тупить" начнет :-( ... проще наверное сделать гетеродинное манипулирование по DTR для работ с внешним софтом на высоких скоростях ( ну не будет Shape..)....может быть хм... ну или просто вставить "костыль" в виде delay в формирователь цикла DDS for(...1024 ) чтоб растянуть его с 0,8мс до 15 например и минимизировать ошибку :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3476] Автор : RA1CAC Дата : 18.04.2018 16:50 ... именно в DDS(софтовый) которая формирует буфер в 1024 (за 0,8 ms) и в алгоритме для кодека, передача тона в CW не по режиму передачи RX/TX- а именно по информации в кодеке(в режиме передачи),...Я не четко выразился, да, не режим трансивера RX/TX, именно софтовый я и имел в виду. ... проще наверное сделать гетеродинное манипулирование по DTR для работ с внешним софтом на высоких скоростях ( ну не будет Shape..)....может быть..Кстати да. Для режима CW достаточно передавать несущую на рабочей частоте. И использовать для этого свободный выход сишки. Хотя... ... ну или просто вставить "костыль" в виде delay в формирователь цикла DDS for(...1024 ) чтоб растянуть его с 0,8мс до 15 например и минимизировать ошибку :ржач:Не... так не надо.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3477] Автор : R3DI Дата : 18.04.2018 17:14 использовать для этого свободный выход сишки. Хотя... да можно и основной, но спад/нарастания не будет Не... так не надо. ну как так ? :-P Первым делом попробовал:ржач:, улучшило, но дотянуть только с 0,8 до 11,5 получилось(загрузка по времени 82%) - не оставлю канечно, но убедился что дело именно в этом. Все же остается вариант записи пина, 48к от таймера при передачи думаю не особо скажется, это когда программный ШИМ делал - сказалось на скорости "водопада" (да и скорость таймера была на много больше 96к*8 вроде) - но енто не быстрое дело -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3478] Автор : RA1CAC Дата : 18.04.2018 17:25 да можно и основной, но спад/нарастания не будет...Или вообще, один из сигналов взять CLK_I, CLK_Q. Будет т.н. "спортивный" режим CW.:-P ... Все же остается вариант записи пина, 48к от таймера при передачи думаю не особо скажется, это когда программный ШИМ делал - сказалось на скорости "водопада" (да и скорость таймера была на много больше 96к*8 вроде) - но енто не быстрое делоПанорама и водопад - хороши. Будет обидно пожертвовать их шустродействием. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3479] Автор : R3DI Дата : 18.04.2018 17:49 RA1CAC, таки на передачу нет водопада, так и не таймер, а настроил int по пину I2S_WS - теперь он точно буде синхронизирован "со словами в буфере" ( и это все только при ТХ в режиме CW) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3480] Автор : RA1CAC Дата : 18.04.2018 18:14 ...не таймер, а настроил int по пину I2S_WS - теперь он точно буде синхронизирован "со словами в буфере" ( и это все только при ТХ в режиме CW)Про синхронизацию с буфером понятно. А дальше не вполне. Обработчик int опрашивает состояние DTR? Или что он делает? Прошу пардона, не уловил я как изменение DTR связано с этим прерыванием? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3481] Автор : R3DI Дата : 18.04.2018 18:23 Обработчик int опрашивает состояние DTR? именно - цифровой магнитофон, бит(0/1-DTR) - 1 тик 21,25/1024 - 128(1024/8) слов будут нести всю пин информацию для полного 1024(21,25ms)DDS CW синтеза с точностью 21,25/1024 ms - но есть и (-) в этом - задержка на 21,25ms -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3482] Автор : RA1CAC Дата : 18.04.2018 18:28 Ага, кажется понял. Вы нашли лазейку, где можно с достаточной частотой опрашивать состояние DTR, не мешая при этом основному потоку. Ну а если задержка при этом стабильная, то она не страшна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3483] Автор : R3DI Дата : 18.04.2018 18:45 можно с достаточной частотой опрашивать состояние DTR, не мешая при этом основному потоку. именно так, проблема была в том что пока кодек "толкает" свой готовый 1024 буф на выход в течении 21,25ms , в это время я готовлю следующие 1024 (и у меня на это есть 21,25ms), сам CW DDS синтез занимал 0,8ms и 21,25ms-0,8ms информация о пине была потеряна. "Растянуть" CW DDS синтез (то о чем выше писал) не особо - есть и другие задачи у dsp и еще на main оставить нужно, а теперь ( ну планирую) CW DDS синтез за свои 0,8ms будет иметь полную информацию о времени действия пина за предыдущие 21,25ms с точностью 20,8ns строго синхронизированного с CW DDS синтезом (I2S WS) - те кольцевой буфер - int (I2S WS) его заполняет за 21,25ms - CW DDS синтез считывает за свои 0,8ms без потери информации о пине. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3484] Автор : RA1CAC Дата : 18.04.2018 18:52 :super: Вот бы еще результат посмотреть... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3485] Автор : R3DI Дата : 18.04.2018 19:01 ...если антенну развернуть... мне б такую антенну... да послушать как Маламут работает на такой :-P Вещательная станция 13578МГц напрямую детектируется трансивером... Попробуйте "поиграть" со смещением на смесителе (замена fst ?).... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3486] Автор : R3DI Дата : 19.04.2018 13:21 Вот бы еще результат посмотреть... накидал на скорую руку... все вроде как нужно и длительности стали не кратны 21,25мс, но заметил что длина точек плавает, расстроился, а потом думаю - дайка на физическом порте проверю - ха! и вот оно - сигнал DTR на физ порте с ur5eqf лога при скорости 200 (не знаю чего у него там) (см фото)... запись звука в другой момент - когда к порту маламута а не к физическому ( там в других местах точки плавают) 287343 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3487] Автор : RA1CAC Дата : 19.04.2018 14:37 - сигнал DTR на физ порте с ur5eqf лога при скорости 200 (не знаю чего у него там) (см фото)...Вот она, собака (не Маламут), порылась совсем в другом месте!:-P Однако,на мой взгляд, предыдущие изыскания были весьма полезны. Опять же, имхо, звук совсем не страшный, нестабильные точки создают ощущение ручной передачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3488] Автор : R3DI Дата : 19.04.2018 14:49 Вот она, собака (не Маламут), порылась совсем в другом месте! Алексей приветствую! И в Маламуте тоже была :oops:, но теперь ОК. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3489] Автор : RA1CAC Дата : 19.04.2018 14:55 ...была :oops:, но теперь ОК.Доброго дня, Евгений. А вот краснеть не надо.:-P Все мы "..не волшебники, а только учимся..."(с). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3490] Автор : R3DI Дата : 19.04.2018 15:26 запись ТХ 40WPM с N1MM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3491] Автор : ux1vx Дата : 19.04.2018 15:34 Приветствую, Евгений и Алексей! Как заинтересованное лицо читаю ваши посты с надежной на решение проблемы с CW. Пользуюсь UR5EQF и N1mm и при подключении к СДР1000 с обеих программ манипуляция идеальная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3492] Автор : R3DI Дата : 19.04.2018 15:39 День добрый! при подключении к СДР1000 с обеих программ манипуляция идеальная. А там каким методом манипуляция происходит ? Какие времянки нарастания/спада сигнала? И у меня большие сомнения про идеальность с UR5EQF ( но возможно это на моей системе так), т.к. проверил с физическим портом и осциллографом, не имеющему никакого отношения к Маламуту #3486 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1523087&viewfull=1#post1523087) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3493] Автор : ux1vx Дата : 19.04.2018 15:59 я поэтому и написал, что бы Вы на ur5eqf не грешили. манипулирую с СОМ порта через реле. Может и есть какие огрехи, но точно не такие явные как в вашем случае. Такое у меня только на маламуте. Добавлено через 5 минут(ы): вечером сделаю запись работы через ur5eqf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3494] Автор : R3DI Дата : 19.04.2018 16:03 ux1vx, не пойму , причем тут СДР1000 ? А там каким методом манипуляция происходит ? Какие времянки нарастания/спада сигнала? и что скажете на счтет #3486 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1523087&viewfull=1#post1523087) про UR5EQF и тот же код в записи с с N1MM #3490 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1523167&viewfull=1#post1523167) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3495] Автор : ux1vx Дата : 19.04.2018 16:26 я сужу как оператор, и воспринимаю манипуляцию на слух через СДР1000 и маламут. Из за этой картинки(3486) и написал Вам, так как у меня на слух тоже были небольшие проблемы с eqf, но они не явные - проскакивают временами и я не мог понять от куда это идет, теперь понятно. 3490 - на слух я проблем не вижу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3496] Автор : RA1CAC Дата : 19.04.2018 16:58 ...вечером сделаю запись работы через ur5eqfux1vx, приветствую. Спасибо, конечно, но боюсь, что Ваша запись будет не актуальна, т.к. Евгений уже кое-что поправил в прошивке. Прежде надо прошивку обновить. #3490 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1523167&viewfull=1#post1523167) звучит приятно. (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1523167&viewfull=1#post1523167) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3497] Автор : ux1vx Дата : 19.04.2018 18:01 ra1cac, здравствуйте! Все таки запись сделал, что бы показать, что больших проблем с ur5eqf нет. файл длинный, что бы было видно что манипуляция нормальная все время, по крайней мере на слух. Прогресс по этому вопросу радует! 287356 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3498] Автор : R3DI Дата : 19.04.2018 18:04 ux1vx, а какая у Вас система на компьютере ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3499] Автор : ux1vx Дата : 19.04.2018 18:12 хр 32бит. Пробовал 7ку, но работа с СДР на хр мне субъективно лучше. Повер сдр 1,18,6. Устарело наверное сильно?:) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3500] Автор : R3DI Дата : 19.04.2018 18:18 ux1vx, старый добрый ХР ;-), а как у Вас с виртуальным портом от Маламута, шаманить пришлось или так установили как есть ? ( У меня так и не получилось и трекер ничего загадочного не показал ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3501] Автор : ux1vx Дата : 19.04.2018 18:27 скачал инструкцию от ur5yfv и все заработало. правда в n1mm периодически порт отваливается, грешу на юсб кабель(китай есть китай), а так все работает и с wsjt, хотя в фт8 что то плохо - декодирует станции с трудом. пока ни одной связи не удалось... если бы мне еще и инструкцию по всем настройкам меню....:) А так трансивер обалденный! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3502] Автор : R3DI Дата : 19.04.2018 18:44 в n1mm периодически порт отваливается, грешу на юсб кабель(китай есть китай), это вряд ли, у меня тоже и в wsjt и в ur5eqf все стабильно, а вот в n1mm хлоп и потеряна связь, пока не пойму что ему не нравиться. пс. в фт8 много слышу и декодирует , вот только что провел связь с RW6APC ( ток я не очень разобрался с программой, после RW6APC R3DI 73 у меня стала в цикл и я отключил ее ) 287362 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3503] Автор : ux1vx Дата : 19.04.2018 18:57 да, только что поменял кабель на короткий и более качественный и ничего не поменялось... а по настройкам где можно посмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3504] Автор : R3DI Дата : 19.04.2018 19:00 ux1vx, да в общем то не знаю что на декодирование влияет, у меня не очень душа к цифре лежит , но вот так 287364 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3505] Автор : ux1vx Дата : 19.04.2018 19:13 подстроил время - заработало нормально. к вопросу о настройках меню - очень хочется разобраться! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3506] Автор : R3DI Дата : 19.04.2018 20:41 ux1vx, некоторое описание доступно на Ядиске, а до нормального все руки не доходят, меняется часто, да вот другие задачи... ...хм... это n1mm одним пакетом 2 команды присылает, однако... вот похоже в чем дело то... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3507] Автор : RX4HX Дата : 19.04.2018 23:12 и что скажете на счтет #3486 про UR5EQF и тот же код в записи с с N1MM #3490 Давно известная проблема с манипуляцией CW в EQF - если компьютер более ничем не занят, кроме как передачей CW, то на небольших (где то до 180 знаков в минуту) манимуляция там вполе нормальная, но если комп чем то еще занят - манипуляция срывается. В N1MM этой проблемы нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3508] Автор : ur7hfo Дата : 19.04.2018 23:49 ...хм... это n1mm одним пакетом 2 команды присылает, однако... вот похоже в чем дело то... Еще , при сильной и неравномерной загрузке процессора компа, он тоже дает рваную манипуляцию (по DTR) на больших скоростях, поэтому , winkey2 и придумали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3509] Автор : RA1CAC Дата : 20.04.2018 07:29 ...при сильной и неравномерной загрузке процессора компа, он тоже дает рваную манипуляцию (по DTR) на больших скоростях, поэтому , winkey2 и придумали.Ну вот, хотел я вумную вещь сказать, типа - Винда не является системой реального времени - а меня опередили...:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3510] Автор : RX4HX Дата : 20.04.2018 08:51 неравномерной загрузке процессора компа, он тоже дает рваную манипуляцию (по DTR) на больших скоростях Да Вы абсолютно правы - как бы странно это не казалось, но получить идеальную манипуляцию CW по ком-порту невозможно :) Но это тема другого форума...))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3511] Автор : RD3Q Дата : 20.04.2018 09:38 RX4HX, ну не надо так категорично! Программа CW type из лога EQF на трансивере SW 2016 прекрасно работает через usb-com!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3512] Автор : RX4HX Дата : 20.04.2018 12:40 Программа CW type из лога EQF на трансивере SW 2016 прекрасно работает через usb-com! Ну наконец то))) Хоть Вы сказали))) Только UR5EQF лог в данном случае к передаче никакого отношения не имеет - передает CWType - а с ним проблем нет))) Я имел ввиду именно когда передает сам лог - т.е. он "физически" осуществляет манипуляцию DRT/RTS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3513] Автор : RK3AQW Дата : 20.04.2018 14:16 Недавно работал с Маламута с одним корреспондентом из Самарской обл,так вот ,он говорит, что прием у маламута намного комфортнее чем у ic7700,который у него на столе,во как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3514] Автор : UT0UM Дата : 20.04.2018 14:18 прием у маламута намного комфортнее чем у ic7700 началось :ржач: интересно, а как Маламут по сравнению с СВ Мини? Тот вроде тоже, чудо-трансивер ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3515] Автор : RK3AQW Дата : 20.04.2018 14:32 7700 не имею,а вот 756 давно не включаю,шумов больше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3516] Автор : RD3Q Дата : 20.04.2018 14:47 RX4HX, а CWType как раз таки и не работает из лога! А в логе ТЛГ манипуляция не идет ни в какие ворота хоть физический порт, хоть виртуальный!:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3517] Автор : Genadi Zawidowski Дата : 20.04.2018 15:07 Игорь, чуть больше информации... Скриншоты настроек лога, менеджера устройств, где-нибудь работает с другими программами? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3518] Автор : R3DI Дата : 20.04.2018 15:25 это n1mm одним пакетом 2 команды присылает, однако... вот похоже в чем дело то... .... развлекалово продолжается - так и есть 2 команды в одном пакете - ответил так же 2 ответа в одном пакете - фигушки - а вот 2 ответа разнес во времени и пока стабильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3519] Автор : RD3Q Дата : 20.04.2018 15:48 Genadi Zawidowski, с другими программами работает. JTDX, WSJT-X, CwSkimmer, SSTV - все это работает. А CWType ну ни как не хочет! Или встаёт в нажатие или вообще нет манипуляции! Порт PTT - OmniRig, PTT pins - None ( менял по всякому), CW key - DTR ( тоже менял). Осталось попробовать через виртуальные порты, сплиттером подключить! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3520] Автор : R6YY Дата : 20.04.2018 16:02 ...корреспондент из Самарской обл,... говорит, что прием у маламута намного комфортнее чем у ic7700,который у него на столе,во как. Я поддержу это мнение. Такого чистого телеграфа я пожалуй не слышал со времён впервые увиденного мною Крота в пятигорском радиоклубе. Приёмник получился замечательный. Если бы добавить в трансивер второй приёмник в пределах одного диапазона (по образу ФТ-1к, К-3 и пр), получился бы бестселлер всех времён и народов. Евгений, примите мои комплименты. ПС Версия UR4QBP&UR8QP (http://ur8qp.com/publ/1-1-0-46) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3521] Автор : R3DI Дата : 20.04.2018 16:44 Если бы добавить в трансивер второй приёмник в пределах одного диапазона (по образу ФТ-1к, К-3 и пр) а это как, может есть где на это посмотреть ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3522] Автор : ux1vx Дата : 20.04.2018 17:06 В СДР1000 есть второй приемник. Если по такому принципу? Добавлено через 6 минут(ы): И еще бы быстрый доступ к основным функциям.... :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3523] Автор : R3DI Дата : 20.04.2018 17:39 В СДР1000 есть второй приемник. покажите пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3524] Автор : Genadi Zawidowski Дата : 20.04.2018 18:10 Для меня такая аналогия ближе: есть режимы работы split. Над этим есть dual watch, когда при необходимости в одно ухо слушаем один приёмник, в другое другой. В идеале у каждого свой режим работы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3525] Автор : R3DI Дата : 20.04.2018 18:14 dual watch, когда при необходимости в одно ухо слушаем один приёмник, в другое другой. Геннадий приветствую! Не совсем понял - это 2 приемника из полосы обзора, один в левый AF другой в правый ? или поочередное переключение ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3526] Автор : Genadi Zawidowski Дата : 20.04.2018 18:17 Э Переключиться можно и с одним. Это в два уха разные частоты. Полоса обзора или больше это уже от типа радиочасти зависит. У вас как-то придётся от нулевой частоты убегать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3527] Автор : R3DI Дата : 20.04.2018 18:20 Переключиться можно и с одним. ( как испорченный телефон :smile: , не пойму ) , в смысле A/B по таймеру переключать ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3528] Автор : Genadi Zawidowski Дата : 20.04.2018 18:22 Пока не режим dual watch просто слушаем одну из частот что в split. В режиме двойного приёма одновременно. Откуда идея прр таймер? Как вы себе такой приём предсвляете? Позже выложу запись. Зы. Лучше с телеграфом на передаче разберитесь... По вот совершенно не понять связи регулировки времени фронта/спада посылки и и скорости манипуляции... Это ворчание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3529] Автор : R3DI Дата : 20.04.2018 18:39 Лучше с телеграфом на передаче разберитесь... таки несколько страниц назад разобрался уже #3490 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1523167&viewfull=1#post1523167) По вот совершенно не понять связи регулировки времени фронта/спада посылки и и скорости манипуляции... невразумил в чем вопрос Откуда идея прр таймер? а это типа как в сибишках :ржач:, да нет конечна, зачем это нужно.... я просто не пойму про второй приемник на одном STM32F4. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3530] Автор : RD3Q Дата : 20.04.2018 18:49 R3DI, Женя в повСДР есть такая возможность слушать на прием в разных каналах прием 2х частот одновременно (в разных наушниках), или одну из частот приемника в оба уха!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3531] Автор : R3DI Дата : 20.04.2018 19:03 RD3Q Игорь, приветствую! Примерно так и понял, дуал вач смутило - сибишку вспомнил:smile:. В повСДР пади как минимум это на "пне" все крутится, а тут один ф4 на все с его внутренним ОЗУ. И выход моно, и даже если смекшировать, дсп так будет загружен (и то если все влезет) .... проще второй Маламут сверху поставить:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3532] Автор : R6YY Дата : 20.04.2018 19:25 а это как, может есть где на это посмотреть ? 287466 Например, VFO A ведёт приём на 7.011, VFO B принимает и передаёт на 7.015. Зачем? В пайлапах в погоне за ДХ станцией такая методика оказывает незаменимую услугу. Опер одновременно контролирует две частоты. Нынче большинство обходится функцией SPLIT, но DUAL WATCH - это совершенно иное качество работы в эфире. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3533] Автор : RK3AQW Дата : 20.04.2018 19:32 DUAL WATCH хоть и имею в айкоме,но за все время работы в эфире,в том числе в соревнованиях, пользовался от силы раза 3-4 ,а когда по спотам мышкой прыгаешь, то и второй приемник,как козе баян нужен -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3534] Автор : R3DI Дата : 20.04.2018 19:40 R6YY, эта картика наверное с не слабого (по сравению с ф4, мой пост выше) персонального компютера а не с автономного приемника, тут в маламуте по приему дсп примерно на 40% загружен, выше 60 начинает очень заметно тормозить все... Теоретически это возможно, но в полосе обзора 48к, пожертвовав водопадом, или переводом его на озу дисплея, и кучей врмемени на разработку софта. То что там на ...дцатьваттных вычислительных центрах с гигами оперативы - оно и так понятно, интересно было бы посмотреть реализацию на подобного рода автономных аппаратах, и duc/ddc тоже не в счет - другой принцип. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3535] Автор : R6YY Дата : 20.04.2018 19:59 ... интересно было бы посмотреть реализацию на подобного рода автономных аппаратах... Мне думается, что стоит посмотреть на блок-схемы айкомов 756про среии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3536] Автор : RX9UAO Дата : 20.04.2018 22:56 Опять народец понесло!Весна однако. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3537] Автор : rz3qs Дата : 20.04.2018 23:47 Опять народец понесло! Ну почему, а может при желании автора и хватит ресурсов для второго приемника внутри диапазона, только польза от этого в основном для работы с DX и если человек способен принимать информацию в свое ухо, DX только правое, зовущие его в левом. Да, еще будет польза в тестах, особенно работая QRP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3538] Автор : UT0UM Дата : 21.04.2018 00:02 ухо в какое то ухо может попасть нулевая частота как с этим быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3539] Автор : rz3qs Дата : 21.04.2018 00:15 в какое то ухо может попасть нулевая частота 0 Гц НЧ или что. С этим быть никак не надо, но могу сказать только про себя, каждый приемник в свое ухо, делаю их по центру головы и начинается каша. Можно на этом закончить, автор решит как жить дальше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3540] Автор : UT0UM Дата : 21.04.2018 00:18 или что палка с синтезатора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3541] Автор : rz3qs Дата : 21.04.2018 00:26 палка с синтезатора Давайте не будем грузить хорошую тему, а про все "палки" синтезатора могу Вам лично поведать, они не особо страшны при нормальном подходе, шум, вот самый главный враг синтезатора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3542] Автор : UT0UM Дата : 21.04.2018 00:35 Давайте не будем не будем подождем :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3543] Автор : ua3ycv Дата : 21.04.2018 08:54 не будемконечно!вот читаю "шапку" темы в первых двух строках всё написано. Добавлено через 24 минут(ы): а про все "палки" синтезатора могу Вам лично поведать, они не особо страшны при нормальном подходе,вот интересно о "подходе" у меня через 17кгц на любом диапазоне торчат палки с уровнем 8 балов что очень портит впечатленя о прекрасном аппарате-а вот реального ответа на методы борьбы с этой бякой я так и не прочитал в теме (может это только у меня:cry:). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3544] Автор : UA0BHC Дата : 21.04.2018 08:59 и без антенны 8 баллов? Такого не наблюдаю, во всяком случае не через 17 кГц. На каком конкретно диапазоне такое? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3545] Автор : ux1vx Дата : 21.04.2018 10:57 Евгений! По поводу телеграфа #3490 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1523167&viewfull=1#post1523167), как исправить у себя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3546] Автор : Палыч Дата : 21.04.2018 12:48 По поводу телеграфа #3490, как исправить у себя? Тоже интересуюсь! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3547] Автор : rk3tv Дата : 21.04.2018 13:23 Палыч, Ребята! Не торопитесь "будет Вам и белка, будет и свисток"! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3548] Автор : ua3ycv Дата : 21.04.2018 16:10 UA0BHC, и без антенны 8 баллов?b с антеной-видио сделаю завтра-и не зависит от диапазона :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3549] Автор : Палыч Дата : 21.04.2018 17:22 То Gennady Спасибо! "Надежды юношей питают!":super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3550] Автор : ua3ycv Дата : 22.04.2018 09:20 UA0BHC,https://youtu.be/6sMMIRUFze0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3551] Автор : Andreichk Дата : 22.04.2018 13:54 Народ, кто занимался самостоятельной перекомпиляцией проекта? Отзовитесь в личку битте, нужна помощь. Спасибо заранее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3552] Автор : Genadi Zawidowski Дата : 22.04.2018 14:08 Последнюю выложенную на яндекс диск версию мой IAR собрал, с кучей предупреждений. Конфигурация Debug. Для Release ничего не прописано. Работоспособность не могу прверить. 287590 ....зашибись доработочки! : 287593 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3553] Автор : dadigor Дата : 22.04.2018 16:13 Я немножко поковырялся, пока очень поверхностно. Загружал, приемник работает, передатчик не собирал.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3554] Автор : dadigor Дата : 22.04.2018 21:24 Последнюю выложенную на яндекс диск версию мой IAR собрал, с кучей предупреждений... Половина этих предупреждений относятся к оформлению заголовка библиотечного файла, начинающемуся со строки: "/* ........" или "/** ........". В этой строке нет привычного окончания двумя символами LF и CR. Компилятор версии 7.50 не любит такое оформление, выдает предупреждение "Warning[Pa050]: non-native end of line sequence detected (this diagnostic is only issued once) ", хотя версия 6.40 вроде бы съедала это за милую душу. Впрочем насчет старых версий могу ошибаться, сейчас проверить не могу. Решение этой бяки было описано здесь (http://microsin.net/programming/arm-troubleshooting-faq/warningpa050-non-native-end-of-line-sequence-detected.html). Я решаю это по-другому, придется потрудиться с каждым замеченным файлом так: два раза щелкнуть мышкой по замечанию типа Warning[Pa050] в окне Messages, двинуть курсор в открывшемся файле правее вездочки и нажать клавишу Delete. При этом вторая строка сольется с первой, образуя что-то вроде " /** ******************************************************************************" этого будет достаточно для устранения предупреждений такого типа при дальнейших компиляциях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3555] Автор : Andreichk Дата : 22.04.2018 22:30 не особо помогает, я все файлы уже перекоментировал, всё равно Total number of warnings: 212 .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3556] Автор : dadigor Дата : 22.04.2018 22:56 Терпение, только терпение. Во-первых лучше после правки файла сразу его сохранить. После этого можете попробовать сделать полный ребилд и убедитесь, что в этом месте предупреждения уже не возникает. Процесс довольно нудный, похоже что компилятор обжирается и показывает не все предупреждения сразу, по мере убития показываются новые файлы, но в конце-концов потихоньку убъете все предупреждения типа 050. У меня после этого появилось вот что: "Total number of warnings: 148 " Что-то около того будет и у Вас. Часть оставшихся предупреждений ругается на не выравненные структуры: "Warning[Pa039]: use of address of unaligned structure member" Часть связана с устаревшими библиотеками, не отвечающими требованиям компилятора (или наоборот), читайте здесь (https://electronix.ru/forum/lofiversion/index.php/t121551.html) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3557] Автор : Andreichk Дата : 22.04.2018 23:15 прогресс адназначна! Total number of warnings: 145 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3558] Автор : Genadi Zawidowski Дата : 22.04.2018 23:44 А потом убрать работу с непроиниченными переменными вроде того куска что я заскриншотил. Это первое попавшееся. Возможно больше и нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3559] Автор : Andreichk Дата : 22.04.2018 23:50 скачал по указанной выше ссылке архив IAR7.10_CMSIS_v3_20.zip (https://electronix.ru/forum/index.php?act=attach&type=post&id=85523) и подменил файл startup_stm32f4xx.s на startup_stm32f40xx.s / кол-во предупреждений упало до Total number of warnings: 53 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3560] Автор : dadigor Дата : 22.04.2018 23:56 Рад за Вас! Тогда идем дальше. )) В ассемблерном файле startup_stm32f4xx.s (не забудьте его сделать доступным для редактирования) поправьте все строки типа "SECTION .text:CODE:REORDER(2)" добавив :NOROOT вот так "SECTION .text:CODE:REORDER:NOROOT(2)" Легко делается при помощи команды найти и заменить. Улетит еще около сотни предупреждений.)) Теория этого дела )) описана в "Techical Note 30605", смотреть здесь (https://www.iar.com/support/tech-notes/assembler/warning25-label-xxxxx-is-defined-pubweak-in-a-section-implicitly-declared-root/) УПС! опоздал Тогда в файле tjpgd.c в mcu_load надо привести возвращаемый тип к правильному, заменив строки, подобные: "if (b < 0) return 0 - b;" на "if (b < 0) return (JRESULT)(0 - b);" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3561] Автор : Andreichk Дата : 23.04.2018 00:07 они уже там все SECTION .text:CODE:NOROOT:REORDER(1) у меня осталось 50 предупреждений -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3562] Автор : dadigor Дата : 23.04.2018 00:41 Тогда посмотрите добавленное в моем предыдущем посте, и плюс к этому в том же файле в jd_prepare добавьте новую переменную UINT jres и убейте (закомментируйте) переменную rc UINT n, i, j, len, jres; // JRESULT rc; и соответственно измените строку /* Create huffman tables */ jres = create_huffman_tbl(jd, seg, len); if (jres) return (JRESULT)jres; и эту /* Create de-quantizer tables */ jres = create_qt_tbl(jd, seg, len); if (jres) return (JRESULT)jres; Оно конечно будет работать и без этих костылей, но чтоб не болталось... еще 6 предупреждений долой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3563] Автор : UB9HCE Дата : 23.04.2018 06:22 Подскажите какова должна быть добротность индуктивностей в полосовых фильтрах? Можно ли при снятии АЧХ подключатся напрямую к фильтрам (без PE4259)? Сейчас я снял АЧХ без установки PE4259, вход-выход измерителя подключал напрямую к фильтрам. Пока собрал только два фильтра 0-2 МГц и 2-3,9 МГц. Вот такие АЧХ получились. Нормально ли? Пробовал смоделировать фильтр 0-2 МГц, получается так же. Однако на форуме встречал АЧХ где фильтр 0-2 уже на частоте 3,5 МГц имел затухание 58 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3564] Автор : RA1CAC Дата : 23.04.2018 07:51 ...Можно ли при снятии АЧХ подключатся напрямую к фильтрам (без PE4259)?...А почему нет? Просто имейте в виду, что ПЕшки в дальнейшем внесут свое небольшое затухание. По даташиту = 0.35 Дб на частоте 1000Мгц, значит на КВ - меньше. ... Сейчас я снял АЧХ без установки PE4259, вход-выход измерителя подключал напрямую к фильтрам. Пока собрал только два фильтра 0-2 МГц и 2-3,9 МГц. Вот такие АЧХ получились.Нормально ли?...Вполне нормально. НЧ фильтры Маламута получаются без проблем. А вот с ВЧ, 18...33Мгц, я попарился. Сквозная АЧХ авторского варианта фильтра 20..33Мгц, у меня получилась вот такой: 287665 Как видим, более 10 дб затухание, а на ВЧ половине = 12дб. Верхний скат фильтра низковат = на частоте 29.7 мгц затухание около 17 дб. Короче, надо настраивать. Решил сначала заняться ФНЧ, чтобы отсечь возможные непонятки. АЧХ ФНЧ 18..33 мгц после пересчета индуктивностей с Т37 на Т50, получилась вот такая: 287666 Разумеется мне это не понравилось, решил пересчитать весь фильтр в RFSimm, получилось вот так: 287668 АЧХ ДПФ 18..30 мгц стала выглядеть повеселее, затухание около 9 ДБ, по всей полосе, однако, на верхней границе диапазона 28Мгц, так и осталось чуть меньше 17 дб. Тут я решил, что пора проверить мою идею о параллельных ДПФ (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1520023&viewfull=1#post1520023). Собрал фильтр 5-го порядка на заранее отобранных и проверенных индуктивностях 1210 (tks Genadi Zawidowski). Емкости 0805, и то и другое 5%. Запаял вместо штатного фильтра... И потерпел полное фиаско!!! NWT7 нарисовал мне такую загогулину, что в приличном обществе показать стыдно. Не настроенный фильтр... расстроенный сам... вернул на место штатный ДПФ. Первая мысль - не годятся параллельные фильтры для низкоомных цепей. Дальше шибко думать надо, однако. Ладно, там кроме ДПФ, еще много чего настраивать... Но вчера первое QSO на Маламуте я провел на 80м! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3565] Автор : rv3bj Дата : 23.04.2018 09:12 Я собирал на версии IAR 6.50.3. Warning типа Pa050 просто подавил. Версия усеченная. Так в ней нет поддержки энкодера. Хочу выразить благодарность автору за выложенный материал. Может в будущем он откроет и более свежие версии. Хочу также обратиться к сообществу с просьбой не оставлять комментарии типа "....зашибись доработочки! :" выложенные Геннадием Завидовским. Нам надо всячески поддерживать авторов, а не критиковать... Такая критика просто отбивает желание авторов делиться своими наработками. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3566] Автор : dadigor Дата : 23.04.2018 09:37 Ну, уж если поддерживать автора, то и не писать, что версия усеченная... )) Версия честная, такая, как она и была на тот момент - там был только валкодер. Автор ничего не утаил, отдал на растерзание )) и дописать энкодер никто не мешает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3567] Автор : RA1CAC Дата : 23.04.2018 09:44 Я пытался собрать на IAR 8.11 Kickstart. Как и следовало ожидать, скомпилировалось все, а при сборке линкер заявил, что 130000 байт - это слишком много для Kickstart версии. ...Нам надо всячески поддерживать авторов, а не критиковать... Ну, уж если поддерживать автора, то и не писать, что версия усеченная... )) ИМХО, Геннадий Завидовский - один их тех немногих, кто имеет право на критику. Посмотрите его темы и разработки. Полагаю, что Евгений в курсе и не обижается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3568] Автор : rv3bj Дата : 23.04.2018 10:34 Что же мы все время пытаемся создать себе кумиров. Геннадий Завидовский наверное молодец. Но это не значит, что его критика, выраженная в такой форме, уместна. Не надо забывать, что мы все люди со своими достоинствами и недостатками. Просто надо быть терпимее... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3569] Автор : UB9HCE Дата : 23.04.2018 10:38 Спасибо за ответ. Как я понимаю основная задача подавить вторую гармонику гетеродина? Поэтому и думаю, что фильтр 0-2 МГц слабоват, подавление составляет ~38 дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3570] Автор : Genadi Zawidowski Дата : 23.04.2018 10:48 Ух ты... Я не с издевкой, просто то место куда сунулся могло перекочевать и в более новую версию война, которую мы не видим. И когда от дебаговой версии к релизной перейдут, просто отвалится работа si5351. Кстати, я не прочь, если кто конкретные замечания по моему коду сделает и ткнет носом в места ошибок. Меньше багов всегда лучше. Прошу извининения за форму, но программирование (конкретно ошибки) у меня никогда отрицательных эмоций не вызывало и не переносится на личность человека работавшего над программой. Просто улыбнуться и поправить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3571] Автор : RA1CAC Дата : 23.04.2018 11:07 Спасибо за ответ. Как я понимаю основная задача подавить вторую гармонику гетеродина? Поэтому и думаю, что фильтр 0-2 МГц слабоват, подавление составляет ~38 дБ.Вообще-то основная задача - подавить все, что за частотой среза, в т.ч. и вторую(третью, четвертую) гармонику, гетеродина, или комбинационных составляющих смесителя, или еще чего. Прикинул в RFSimm ФНЧ 5-го порядка (коим по сути и является фильтр 0..2Мгц) с частотой среза 2 Мгц. На частоте 3.5Мгц, рассчитанное подавление = 28 дб. Тоже самое, только 7-го порядка, подавление на 3.5мгц = 48Дб. Так что 38Дб у Вас - даже хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3572] Автор : UB9HCE Дата : 23.04.2018 11:22 Спасибо. Понятно. Ошибка моя, я имел ввиду 38 для частоты 4 МГц (вторая гармоника для 2 МГц). Если по точкам: 1,2 МГц - 0,36 дБ 2,0 МГц - 3,2 дБ 2,5 МГц - 15,9 дБ 3,0 МГц - 25,6 дБ 3,5 МГц - 33,4 дБ 4,0 МГц - 38,9 дБ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3573] Автор : ra9qba Дата : 23.04.2018 13:28 В чем может быть причина широкой полосы на передачу? 287687 Маламут, 5 Ватт. На панораме контрольного приемника, видно очень широкую полосу Маламута, колоколообразной формы. Пробовал снимал сигнал с ДПФ, существенных изменений нет. За полосой видно, что подавление более 50 Дб. В меню уменьшил мощность , IMD резко уменьшились при 50% мощности. Где-то ограничение идет. TXOUT BAND настроено было 100%, возможно где-то в формировании перегруз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3574] Автор : UN7GDZ Дата : 23.04.2018 14:24 Приветствую всех! Вот и я до передатчика добрался))) (кстати на гармоники очень сильно влияет номинал резистора 4R4, я его как раз по уровню гармоник и подбирал) ФНЧ ещё не доделал, так что сигнал с выхода трансформатора 5TR1 на аттенюатор 40 дБ и в осцилл. Получил вот такие картинки по спектру на ослике для 29 МГц 287695, 14 МГц 287696 7 МГц 287697. Это без ФНЧ неплохо мне кажется! Мощность получилась на 7 МГц порядка 30 Ватт на верху около 25 Ватт, ФНЧ наверно немного подрежет мощность. И чуть не забыл ток покоя выходных транзисторов 200 мА на каждом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3575] Автор : UA9olb Дима Дата : 23.04.2018 14:32 номинал резистора 4R4 И сколько в результате ток драйвера получился? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3576] Автор : UN7GDZ Дата : 23.04.2018 14:48 Питание драйвера 8 вольт ток 57 мА, резистор получился 330 Ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3577] Автор : RA1CAC Дата : 23.04.2018 15:02 ... 8 вольт ток 57 мА, резистор получился 330 Ом.У меня при 8-ми вольтах - 35 ма, дальше повышать не стал - ограничения нет при 100% мощности ни снизу ни сверху. А резистор получился 180 ом. Диод 4D1 - 4148. Такой вот разброс однако. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3578] Автор : UN7GDZ Дата : 23.04.2018 15:12 У меня при 8-ми вольтах - 35 ма, дальше повышать не стал - ограничения нет при 100% мощности ни снизу ни сверху. А резистор получился 180 ом. Диод 4D1 - 4148. Такой вот разброс однако. На драйвере все очень линейно, ни каких ограничений. А ток даже не смотрел пока вы не спросили))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3579] Автор : rv3bj Дата : 23.04.2018 15:36 Возвращаясь к теме комментариев относящихся к программному обеспечению и его возможной доработке, у меня есть предложение создать для этого другую тему, подобно теме "Детали для Маламута". Это позволило бы разгрузить эту ветку. В созданной ветке можно было бы выкладывать модифицированный софт, базирующийся на открытой автором версии 2.0. Таким образом можно было бы использовать коллективные знания и опыт различных участников. Это позволит для начала "почистить" код от имеющихся рудиментов (например поддержка шины FSMC...). Автор просто физически не в состоянии отвечать на постоянные вопросы и запросы пользователей и заниматься рефакторингом кода. При таком подходе никак не будут ущемляться права автора, а проект может получить дополнительное развитие. Хочу спросить у уважаемого сообщества мнения о целесообразности такого подхода... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3580] Автор : Andreichk Дата : 23.04.2018 17:03 поддерживаю предыдущего оратора. я лично имею намерение сделать полный хардфорк данного аппарата в в части дизайна информации н дисплее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3581] Автор : rv3bj Дата : 23.04.2018 17:41 Открыл новую тему Программное обеспечение для "Маламута" основанное на открытое автором версии V2.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3582] Автор : Oleg RV1CB Дата : 23.04.2018 21:00 Не знаю какой уровень подавали на вход анализатора, но Роде-Шварц (не помню название книги по измерениям спектра) рекомендует подавать на вход не более минус 10 dBm. При профессиональных измерениях спектра ТХ работаем именно с таким уровнем сигнала на входе анализатора. Это конечно мое личное мнение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3583] Автор : UN7GDZ Дата : 24.04.2018 04:53 Не знаю какой уровень подавали на вход анализатора, но Роде-Шварц (не помню название книги по измерениям спектра) рекомендует подавать на вход не более минус 10 dBm. При профессиональных измерениях спектра ТХ работаем именно с таким уровнем сигнала на входе анализатора. Это конечно мое личное мнение. На анализатор еще не подавал, это осцилл FFT считает. И уровень там около 350-440 мВ на 50 Ом (4-6 дБм). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3584] Автор : Love777888 Дата : 24.04.2018 09:50 Всем здравствуйте! Речь о передатчике-хорошо, а я вот по оказии купил Г4-102 и вот такая непонятка, выставляю 50 мкВ и чуда не увидел, на шкале с-метра всего 2 балла, подал на вход приемника непосредственно смесителя на 6С7 (исключив при этом затухания на PE) и прибавка 2 балла, убрал 6R7 сигнал упал на 1 балл вернул на место 10к. Подавал на вход 2 мкВ, еле, еле, загогулька на экране появилась. В чем может быть проблема? Подсоединял первую попавшуеся сибишку при 50 мкВ с-метр показует 8-9 баллов, можно снести на то что не настроен, на второй сибишке тоже показует 9 баллов. Может это из-за питания 3.3 вольта самих смесителей? Колечко в смесителе стоит от материнской платы с разьема изернет. Смотрю ролики на ютубе, там сигналы грохочут по +20 у людей, у меня я видел только вещалки чтоб так работали. Антенна сибишная 5/8 короткозамкнутая по автотрансформаторной схеме. Но дело скорее всего не в антеннне, т.к сибишки кричат на эту антенну, а маламут себя ведет подозрительно тихо. поробую поменять колечко на бинокль BN-43-2402 может и улучшится ситуация, но мало верится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3585] Автор : UA9olb Дима Дата : 24.04.2018 10:05 У меня примерно тоже самое только загогулька появляется при 0.5 мкв. Про то что показывает с-метр это надо настраивать через меню.Про подозрительно тихо можно маленько добавить усиление кодека в меню будет лучше. На СБ антенну я так в Сибири вообще не кого не услышу) Надо хотя бы что то полуволновое подлинее) Да хотел спросить 2 мкв это с увч? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3586] Автор : Love777888 Дата : 24.04.2018 10:27 У меня примерно тоже самое только загогулька появляется при 0.5 мкв. Про то что показывает с-метр это надо настраивать через меню.Про подозрительно тихо можно маленько добавить усиление кодека в меню будет лучше. На СБ антенну я так в Сибири вообще не кого не услышу) Надо хотя бы что то полуволновое подлинее) Да хотел спросить 2 мкв это с увч? Без увч. Усиление кодека это RX GAIN? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3587] Автор : UA9olb Дима Дата : 24.04.2018 10:33 Без увч Без УВЧ я думаю у всех так))) просто не мерил не кто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3588] Автор : R3DI Дата : 24.04.2018 11:55 Love777888, да оно, только скорее усиление дсп а не кодека. На показания водопада и спектра влиять не будет, только на общий шум уже по нч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3589] Автор : ra6ljm Дата : 24.04.2018 12:34 У меня проблема обратная. Без дпф шумы около 3-4 баллов, при подключении дпф, шумы возрастают до 7-8 и это без антенны. Попробовать уменьшить Куc ne5532 ? Кстати, у меня также питание смесителя 3,3в и кольцо тоже с материнки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3590] Автор : Павел Непийвода Дата : 24.04.2018 12:38 а я вот по оказии купил Г4-102 и вот такая непонятка, выставляю 50 мкВ и чуда не увидел, на шкале с-метра всего 2 балла, Откалибруйте С- метр , у меня не сопадает 1- 2 дБ. Генератор такой - Г4-102. С уважением Павел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3591] Автор : R3DI Дата : 24.04.2018 12:42 ra6ljm, а стабилизаторы линейные или импульсные? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3592] Автор : Love777888 Дата : 24.04.2018 12:56 Парни а как его калибровать? Пробовал что то поменять, пришлось полностью сбрасывать трансивер, подскажите процедуру калибровки. Спасибо! И извиняюсь за необразованность сколько это микровольт S+60? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3593] Автор : ra6ljm Дата : 24.04.2018 13:11 8в линейный, по пяти dcdc (1MHz) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3594] Автор : R3DI Дата : 24.04.2018 13:19 ra6ljm, может причина в том что дпф ловит дцдц, пробовали заменить на линейный ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3595] Автор : UA9olb Дима Дата : 24.04.2018 13:20 сколько это микровольт S+60? 50 000 по калибровке писали в теме.Где то в середине. http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot/page275 здесь писали о настройке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3596] Автор : ra6ljm Дата : 24.04.2018 14:01 R3DI, А вот это попробуем))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3597] Автор : RX9UAO Дата : 24.04.2018 14:08 Love777888,неудержался и еще раз померял.Результат выкладываю:https://cloud.mail.ru/public/EweC/yfbr98uHg https://cloud.mail.ru/public/LoLL/8FVoL9CTR Все настройки RX по умолчанию(кроме S метра). p.s.Может это поможет http://radiochief.ru/radio/s-metr-radiostantsii/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3598] Автор : UA9olb Дима Дата : 24.04.2018 14:18 Результат выкладываю:https://cloud.mail.ru/public/EweC/yfbr98uHg Это же у Вас без увч? Вообще классно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3599] Автор : UA0BHC Дата : 24.04.2018 15:29 Парни а как его калибровать? Пробовал что то поменять, пришлось полностью сбрасывать трансивер, подскажите процедуру калибровки. Спасибо! И извиняюсь за необразованность сколько это микровольт S+60? вот поточнее таблица для КВ http://www.radiolamp.ru/shem/tuner/3.php?no=21 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3600] Автор : Love777888 Дата : 24.04.2018 16:30 Love777888,неудержался и еще раз померял.Результат выкладываю:https://cloud.mail.ru/public/EweC/yfbr98uHg https://cloud.mail.ru/public/LoLL/8FVoL9CTR Все настройки RX по умолчанию(кроме S метра). p.s.Может это поможет http://radiochief.ru/radio/s-metr-radiostantsii/ Здорово работает! 0.5мкВ чуйка конкретная, у меня не так, какое кольцо применяли в смесителе, сам смеситель каким напряжением питается? И если это на входе подключено как вы обошли затухания на ПЕ? Вроде не в байпасе. Круто! Хорошая работа! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3601] Автор : RX9UAO Дата : 24.04.2018 17:19 Love777888, трансики в маламуте все на BN-43-2402 (дай бог памяти,подсмотрел у r6bk).Затухание на pe не мерил.Пашет,как есть.Многие маламутчики выкладывали видео rx,так у них посмотришь,прием тоже будь здоров.. Да, питание смесителя,как у Евгения r3di. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3602] Автор : Love777888 Дата : 24.04.2018 17:48 На бинокль мотать в три провода 5-7 витков, извините, но уже добить надо этот вопрос -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3603] Автор : RX9UAO Дата : 24.04.2018 19:05 Love777888,у меня по 5 витков.Мотал в один провод в одном направлении.Тр-торы расположил лежа.Одна обмотка со средней точкой с одной стороны,другая с противоположной(согласно печатки).Тр-тор садил на 2-х сторон.скоч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3604] Автор : UA9olb Дима Дата : 24.04.2018 19:23 Мотал в один провод в одном направлении. Cергей а для особо тупых можно как это где то посмотреть как это намотать? я что то вообще не понял( Померил у себя ну вообще раз в 10 хуже что с полосовиками что без них) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3605] Автор : ua9xos Дата : 24.04.2018 19:46 Мотал в один провод в одном направлении. Присоединяюсь к Дмитрию по поводу намотки бинокля. Кольцо как намотать понятно. А с биноклями до этого дел не имел -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3606] Автор : EW2MS Mikhail Дата : 24.04.2018 20:12 Всё очень просто. В три провода мотаете один виток, одну жилу вытигиваете, мотаете остальные витки, прозваниваете где конец той жилы которую вытянули. Затягиваете её в соседнее отверстия. Получаете с одной стороны бинокля одну обмотку, с другой две обмотки. Где конец с началом соединяете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3607] Автор : ua9xos Дата : 24.04.2018 20:34 Вроде понял. Провод как я понял не нужно скручивать. Диаметр провода 0.2 - 0.3 пойдет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3608] Автор : rn4haq Дата : 24.04.2018 21:02 287794 я так делал, бинокли от магистрального усилителя кабельного ТВ, 5 витков 0,25 мм скрученного в 3 провода, начало с концом это средняя точка. Сидят на термоклее. Откусим маленький кубик от палки клея и феном посадил трансформатор -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3609] Автор : UA9olb Дима Дата : 24.04.2018 22:08 посадил трансформатор Подскажите 6R7,6R8 какие у Вас? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3610] Автор : ra9qba Дата : 25.04.2018 06:38 "Бинокли от магистрального усилителя кабельного ТВ". Изначально тоже намотал на биноклях непонятно каких. Получил большую неравноверность АЧХ. В итоге намотал 15 витков на FT23-43. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3611] Автор : rn4haq Дата : 25.04.2018 07:10 По 1 кОму -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3612] Автор : Love777888 Дата : 25.04.2018 09:40 Здравствуйте, 0.5 мкВ, такого результата и еще величиной с клетку на дисплее не получил, поменял на бинокль с 2мкВ чутье стало 1мкв, ниже уже не различим сигнал 1кГц, но вроде лучше стало, хотя все субъективно, нужна нормальная антенна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3613] Автор : UA7KJ Дата : 25.04.2018 10:39 У меня трансы намотаны на BN-43-2402 7 витков скрутка 3 повода 3 скрутки на 1см чуйка 0.6мкв без УВЧ. Усиление RX в меню не накручивал все стоит по первоначальным настройкам. Смесители по схеме Евгения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3614] Автор : UA9olb Дима Дата : 25.04.2018 10:57 Смесители по схеме Евгения. Вчера делал лаб работу и тремя проводами в скрутке,и одним 3 раза,на кольце,на бинокле,и лёжа и стоя. Разница в одну точку на дисплее )(так что мотать можно на том что естьрезультат +- почти не уловим). То что паказывал Сергей в видео подавая 0.5 мкв получая палку в одну большую клетку у меня получается при подачи 5 мкв(.Буду ковыряться дальше) правда уже пока не знаю с чего начать(. Уже начал косить в сторону генератора) подключил монку так она вообще аж подпрыгивает от 0.5 мкв) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3615] Автор : UA7KJ Дата : 25.04.2018 11:06 правда уже пока не знаю с чего начать(. Сначала нужно откалибровать S meter. То ,что он показывает одну клетку или пол клетки не о чем не говорит можно накрутить по разному. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3616] Автор : UA0BHC Дата : 25.04.2018 11:09 "Бинокли от магистрального усилителя кабельного ТВ". Изначально тоже намотал на биноклях непонятно каких. Получил большую неравноверность АЧХ. В итоге намотал 15 витков на FT23-43. бинокли не от хорошей жизни ставим, просто нет колец 43-х в наличии, материал одинаковый у них с BN43-2402 вроде как. Великовато выглядят конечно, но работают же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3617] Автор : UA9olb Дима Дата : 25.04.2018 11:12 откалибровать S meter Не там же не S метр показывает сколько то а на панораме палочка величиной с одну большую клетку) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3618] Автор : Love777888 Дата : 25.04.2018 11:13 Сначала нужно откалибровать S meter. То ,что он показывает одну клетку или пол клетки не о чем не говорит можно накрутить по разному. Здравствуйте, с метр тут не при чем, у человека на видео была видна амплитуда ровно с клетку при подаче 0.5 мкв, тут что то не так, либо генераторы не калиброваны(не поверены), либо не знаю что там можно еще ковырять? Либо ПЕ из китая дают заметные завалы сигнала, что скорее всего может быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3619] Автор : UA9olb Дима Дата : 25.04.2018 11:19 Love777888,неудержался и еще раз померял.Результат выкладываю:https://cloud.mail.ru/public/EweC/yfbr98uHg Вот в этом видео. Добавлено через 5 минут(ы): [quote="Love777888;1525445"]Либо ПЕ из китая дают заметные завалы сигнала, что скорее всего может быть. ПЕ не причем у меня с фнч и полосовиками и просто на вход смесителя разница в 2-3 точки на экране) но при 0.5 мкв вообще еле уловимый пичек даже без ПФ и ФНЧ .Вот при 5 мкв Одна клетка как на видео. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3620] Автор : UA7KJ Дата : 25.04.2018 11:36 была видна амплитуда ровно с клетку при подаче 0.5 мкв Это тоже можно накрутить. Сейчас посмотрел еще раз видео обратил внимание на положение аттенюаторов там получается, что уровень сигнала выставлен 0.00 сколько то микровольт. Или я чего то не до понимаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3621] Автор : UA9olb Дима Дата : 25.04.2018 11:43 Это тоже можно накрутить. И вопрос где? Что то все меню проштудировал не нашёл. сигнала выставлен 0.00 сколько то микровольт. Пётр а интересно у Вас при 0.6 мкв сколько делений показывает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3622] Автор : Love777888 Дата : 25.04.2018 11:43 Что и где там можно накрутить? Человек сказал что там 0.5 мкВ, и согласен что в принципе ПЕ может чуток давят пару пикселей на экране. Еще вопрос что эта за полоска в середине экрана на том видео, я вижу она у всех есть и у меня тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3623] Автор : UA7KJ Дата : 25.04.2018 11:58 И вопрос где? Display > 3.Spect ofset -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3624] Автор : UA9olb Дима Дата : 25.04.2018 12:02 Display > 3.Spect ofset Да так только есть одно НО там поднимается не полезный сигнал а весь спектр все 48 кгц и кайфа от этого никакого(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3625] Автор : UA7KJ Дата : 25.04.2018 12:09 Вас при 0.6 мкв сколько делений показывает? Дмитрий я даже не обращал внимания. Не люблю я эти лабораторные работы. Я бы и чуйку не мерил если бы не мой друг любитель всяких измерений. Собрал трансивер слышу станции которые не слышит мой FT-897 из за своих шумов и тресков меня все устраивает за, что Евгению огромная благодарность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3626] Автор : UA9olb Дима Дата : 25.04.2018 12:14 Собрал трансивер слышу станции которые не слышит мой FT-897 из за своих шумов и тресков меня все устраивает за, что Евгению огромная благодарность. Аналогично слышал всех лучше чем на FT-897 за что его и продал) НО временно спилили деревья под окном вместе с антенной(( появилось время собрать все в корпус) ну и решил подстроить и смотрю у людей то чутья больше) Вот и пытаюсь понять почему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3627] Автор : UA7KJ Дата : 25.04.2018 12:25 смотрю у людей то чутья больше Я думаю, что у всех примерно одинаково. Я собрал уже три комплекта на прием и с разными трансами в смесителе и по чувствительности они все на одном уровне. А принимает он даже на кусок проволоки ну конечно не так как на бендовую антенну. Я думаю, что и у Вашего чуйка не меньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3628] Автор : ra9qba Дата : 25.04.2018 12:59 Smetr как ни калибровал , ничего хорошего не вышло. Если меняем offset от 40 до 30, SO read(set) поднимается на столько же величин( от 0 до 10), и уже без сигнала индикатор начинает показывать 2-3 балла. Потом поставил Smet OffSet 40, SO read(set) стало 0 и S-метр без сигнала опустился до S0-1 балла, Подал сигнал 50mV, установил S9+60 по шкале, значение в меню Smet S+60 у меня получилось 74. Далее аттенюатором -10Дб, по шкале S9+45 (Должно +50) Добавил еще -10 Дб, по шкале S9+27 (Должно +40) Добавил еще -10Дб, по шкале S9+12 (Должно +30). При 50мкВ на входе S=5 Возможно в софте ошибка? Получился практически линейный коэффициент зависимости. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3629] Автор : RX9UAO Дата : 25.04.2018 13:51 UA7KJ,да вы обсолютно правы-чуйка у всех примерно одинакова.Я писал про настройки RX-они все по умолчанию,залил прошивку и кроме эквалайзера и с-метра ничего не трогал.Все,как у автора.Да еще частоту подогнал.На передачу-да,там порылся немного.А вообще нужны конечно антенны(особенно для нашего QTH) Кусочек проволоки у нас не прокатит.Сибирь,она и в африке-сибирь. И еще,у меня вопрос:у кого какая разница получилась по уровню сигнала(в приделах р.л. диапазона) при дпф и байпасом(вчера блин забыл померить). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3630] Автор : UA4FTA Дата : 25.04.2018 17:45 У меня на 2-х платах,начиная с 20-ки падает чутье,и чем выше частота,тем заметнее,а на 27 мгц не слышу никого,если не включить обход и увч,да и тогда только соседей-я пока ничего не настраивал,но это как-то настораживает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3631] Автор : UT8IFM Дата : 25.04.2018 19:29 У меня такая же проблема, я грешу на 74hc4053, заказал новые но еще не доехали. У меня не авторская схема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3632] Автор : UA4FTA Дата : 25.04.2018 19:59 74HC74--у меня из разных мест,а результат одинаков,кодеки то-же разные менял-точно не в них,где-то ещё засада. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3633] Автор : UT8IFM Дата : 25.04.2018 21:50 UA4FTA, А в смесителях индуктивность по питанию Вы не мерили перед пайкой??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3634] Автор : UA4FTA Дата : 25.04.2018 22:00 Нет,не мерил.Стоят по 470 мкгн с ЧипиДипа.Думаете,стоит поменять на 1 мкгн?У меня есть подавитель помех,как советовал UR8QP-их ещё попробовать нужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3635] Автор : UT8IFM Дата : 25.04.2018 22:06 У меня вся модернизация сделана от UR8QP. Но приема на ВЧ нет. Я что мог проверил а индуктивность в 1 мкгн померить нечем... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3636] Автор : UA4FTA Дата : 25.04.2018 22:09 Сейчас сдую и результат напишу. По шумовой дорожке изменений нет-посмотрю ещё днём попробую индуктивность на 1 мкгн ещё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3637] Автор : UR3ACH Дата : 25.04.2018 22:25 При вращении валкодера идет помеха. На 28МГц самая сильная. Слышно каждый такт валкодера. Чем ниже диапазон- тем меньше помеха. Звук такой,как-будто иголка по грампластинке скользит. Пробовал подавать питание на валкодер от отдельного стабилизатора, помеха при вращении остается. И еще она реагирует на влючение-отключение АТТ и PRE. Соответственно если включить аттенюатор она меньше , если включить увч- то больше. У меня только так? Может кто сталкивался с такой проблемой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3638] Автор : UT8IFM Дата : 25.04.2018 22:53 UR3ACH, Да, сталкивались. Посмотрите https://www.youtube.com/watch?v=EXD705J8OW8 Добавлено через 10 минут(ы): UA4FTA, Только что достал свой прибор NWT. Положил щуп прибора возле TRX. Включал при атт -30 дб. прокрутил все (любительские диапазоны) на S-метре (не калиброван) +45 на 1,8 -7 мГц. + 30 выше по диапазонам. Может проблема в антеннах??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3639] Автор : Love777888 Дата : 25.04.2018 23:44 Парни приветствую, а что 74нс74 работает там?, наверное опечатка должна стоять 74ас74. Кстати я так понял у человека на видео идеальные дпф и по-моему намотанные отдельно на платке контура, потому наверное и чутье там будь здоров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3640] Автор : UT8IFM Дата : 25.04.2018 23:57 У меня после микросхемы 74lvc74 амплитуда вч 1-1.3 v. вплоть до укв. Как я понимаю, эта проблема только у UR3ACH и у меня, значит косяк в сборке или комплектующих. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3641] Автор : RX9UAO Дата : 26.04.2018 02:48 UA4FTA,c 74HC74 только нч диапазоны и будут работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3642] Автор : UA4FTA Дата : 26.04.2018 07:16 74lvc74ad у меня Антенна не при чём-сейчас на Megajet всех принимаю,а на Маламуте только какой-то рокот слышу,как-будто ворчит кто-то.ЧМ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3643] Автор : Love777888 Дата : 26.04.2018 08:00 Здравствуйте, на 74ас74, принимаю на си би всех в фм и ам, но как бы тоже заметно тише чем на сибишке, толи просто сибишка за счет своего супергетеродина шумит сильнее, маламут тише, но и чище, еще бы чуйки добится как на видео у человека, будем работать в этом направлении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3644] Автор : UA7KJ Дата : 26.04.2018 08:32 еще бы чуйки добится как на видео у человека Вы посмотрите положение аттенюаторов на том видео. Не известно сколько там выдает ГСС. Там выставлено 0.00х кто его знает сколько микровольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3645] Автор : Love777888 Дата : 26.04.2018 08:48 Приветствую! Ну это понятно все, я свой генератор занесу в БелГИЭ, уже договорился точно отмечу где тамто и сколько микровольт, тогда будет ясно, но как бы веришь человеку, да и потом кто тото подсоединял монку на 0.5мкВ, так она у него подскакивала от сигнала, тоесть монка слышит, а маламут не слышит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3646] Автор : UT8IFM Дата : 26.04.2018 09:06 Поменял lvc74 на ac74 результат есть, но ещё не определился в какую сторону. Шум с 0 балов на 28 мГц вырос до 8 балов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3647] Автор : UA4FTA Дата : 26.04.2018 11:53 Love777888 Про 74ас уже интереснее-вот была бы возможность её заменить на lvc74-измениться ли что у вас. Кто бы ещё написал,какие цепи за чувствительность отвечают и какой уровень сигнала в этих цепях? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3648] Автор : UT8IFM Дата : 26.04.2018 12:11 UA4FTA, Сейчас этим занимаюсь, к вечеру отпишусь в личку чтоб тему не замусорить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3649] Автор : Love777888 Дата : 26.04.2018 13:12 Love777888 Про 74ас уже интереснее-вот была бы возможность её заменить на lvc74-измениться ли что у вас. Кто бы ещё написал,какие цепи за чувствительность отвечают и какой уровень сигнала в этих цепях? Возможности такой нет, нашел АС и поставил ее, купил опять таки из китая 74АС74, так как когда собирал трансивер думал вдруг нерабочая та первая б/у шная, что та что те обе работают одинаково По поводу чуйки, смотрите схему от входа антенны и вплоть до смесителя RX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3650] Автор : FELIX 69 Дата : 26.04.2018 15:18 По поводу чуйки, смотрите схему от входа антенны и вплоть до смесителя RX[/QUOTE] Где бы схему посмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3651] Автор : R3DI Дата : 26.04.2018 15:48 FELIX 69, как вариант - на первой странице в первом посту, или по ссылке на Ядиске. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3652] Автор : EW2MS Mikhail Дата : 26.04.2018 18:42 Скажите двух тональник в меню где то можно изменять? Что то картинка у меня не очень получается(( Размазанный двухтональник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3653] Автор : R3DI Дата : 26.04.2018 21:10 EW2MS Mikhail, изменить можно изменив полосу ФОС, д.тон формируется как 1/4 и 3/4 от полосы фильтра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3654] Автор : ua3ycv Дата : 27.04.2018 08:59 Что то картинка у меня не очень получаетсяда-не очень!листья дуба:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3655] Автор : R3DI Дата : 27.04.2018 22:01 Есть некоторое количество плат версии 1.31, по вопросам в л/с. Добавлено через 33 минут(ы): ПС. Так же будет версия 1.4, описание доработок пока на Ядиске, как все подготовлю прикреплю в теме. Их пока ожидаю, реализация стандартная, через л/с, соответственно 1.31 чуть "доступнее" уже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3656] Автор : ua3ycv Дата : 28.04.2018 08:00 Евгений всёж опять не скромный вопрос-шанс появления прошивки для трансивера с режимом "трансвертор" имеет быть?или опять я всё прозевал:cry: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3657] Автор : FELIX 69 Дата : 28.04.2018 12:10 Есть некоторое количество плат версии 1.31, по вопросам в л/с. Добавлено через 33 минут(ы): ПС. Так же будет версия 1.4, описание доработок пока на Ядиске, как все подготовлю прикреплю в теме. Их пока ожидаю, реализация стандартная, через л/с, соответственно 1.31 чуть "доступнее" уже. Что такое л/с ? Всё это напоминает сборку Синклера. Сначала покупаешь схему, потом платишь за схему доработанную. Платы умышленно идут с ошибками. Может быть так и надо? Раньше всё было по другому, открываешь журнал Радио там все доработки к 10РТ. Как бы бесплатно собрать аппарат, пользуясь подсказками сообщества? Может быть не то читаем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3658] Автор : R3DI Дата : 28.04.2018 12:16 FELIX 69, ну так расскажите как нада , как Вы с первого раза спроектируете в одиночку транивер в 700 деталей и конструкцию и электронную часть и программную. А я Вас потом поспрашиваю умышленно сделали или как. И ошибок нет, у меня попрежнему оба на версии 1.0 и ни каких неудобств не испытываю. А что есь лс и почему нелзя тут об этом читайте правила флрума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3659] Автор : RA1CAC Дата : 28.04.2018 12:45 Дай Бог Вам терпения, Евгений. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3660] Автор : ua3ycv Дата : 28.04.2018 12:50 Парни задался целью прочитать ID процесора-комбинация "включение+с+д" даёт сброс настроек и не какой инфы не выводит:-(-"как заглянуть ему в душу"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3661] Автор : R3DI Дата : 28.04.2018 13:13 RA1CAC, спасибо! :super: ua3ycv, ответил в лс и тут добавлю по основным настройкам - установить... 1 тип DDS ( по необходимости и множитель) 2 частоту кварца DDS 3 Логический уровень сигнала MUTE на УНЧ (0 или 1 зависит от типа мс) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3662] Автор : UA0BHC Дата : 29.04.2018 06:18 Возвращаясь к вопросу о 4TR1, 4TR2. 4TR1 - 1:2; 4TR2 - 1:1. Кто-то говорит, что они у них одинаковые. На схеме указано I=3t+3t II=6t для 4TR2, для 4TR1 данные в схеме не указаны. Видел на одной из фото, что 4TR2 намотан на более мощном бинокле, кажется у вас, Евгений R3DI. Хотелось бы докопаться до истины. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3663] Автор : ua3ycv Дата : 29.04.2018 17:10 R3DI, тут добавлю по основным настройкам - установить...немного офф-топа но по теме.Все мои "тяжкие" потуги почитать ид проца привили несколько к неодекватной работе аппарата.пропал наглухо диапозон 71мгц вместо него появилась "розовая абра кодабра" при движении валкодером-частота менялась как ей захочется-ладно Бог то с ним-но вот с основными диап. то-же появились непонятки-пол дня потратил на "танцы с бубном"-решил переписать программу в проце-сколько не впихивал 4.9-так и не заработала как надо-вернул версию 2.5-только тогда удалось сбросить фрам и только потом поставил 4.9 версию и удалось почистить фрам-трансивер ожил-но так и не удалось увидеть ИД проца-только в "отладчике в окне " появились цифры с символы 0х413-но это явно не оно:-(что то у меня не так-явно кетайцы сделали "засаду"......пост является частной ситуацией-и ни на что не претендует;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3664] Автор : RA6ANR Дата : 29.04.2018 19:55 ua3ycv, а фото дисплея в режиме сброса Фрам можете показать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3665] Автор : ua3ycv Дата : 30.04.2018 07:47 RA6ANR, ua3ycv, а фото дисплея в режиме сброса Фрам можете показать?-с начала приготовил видио-но снять так и не успел-да и снимать не чего было:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3666] Автор : rn4haq Дата : 30.04.2018 08:26 Евгений а видеообзор новой платы будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3667] Автор : Andreichk Дата : 30.04.2018 17:06 а зачем вообще видеть ИД проца? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3668] Автор : ua3ycv Дата : 30.04.2018 18:19 а зачем вообще видеть ИД проца?для общего развития. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3669] Автор : Love777888 Дата : 30.04.2018 18:57 Парни всем здравствуйте! Такой вопрос, не могу побороть ДПФ 14-Мгц, уже все проверил каждую емкость, индуктивность проверил, моделировал в RFSIM, под те номиналы что есть, программа говорит гуд, а в реале ничего не выходит, в байпасс хорошо слышно, через ДПФ гиблое дело, другие дпф работают, причем не только приемник на 14Мгц плохо работает, но и передача соответственно, ПЕ тоже вроде переключают, правда не мерял осциллографом. Может есть методика какая нибудь, как его проверить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3670] Автор : R6GA Дата : 30.04.2018 19:47 Love777888,ПЕ тоже вроде переключают -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3671] Автор : dadigor Дата : 30.04.2018 22:08 Мне тут надысь удалось убить ПЕшку и я окончательно поял, что в печати фильтров нужно предусматривать что-то типа паяных перемычек, позволяющих отвязывать каждый фильтр от цепей общего входа и общего выхода ("спереди" и" сзади"). Джамперы будут излишними, а вот разрыв дорожки или посадочное место под 0-резистор в самый раз. Это значительно упростит как проверку/отладку, так и ремонт, особенно в ситуации с "левыми" ключами. ИМХО -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3672] Автор : Love777888 Дата : 30.04.2018 23:11 Ну нашел, наконец то, дроссель на 220 нГ, плохой был заменил, все заработало. Это моя невнимательность к китайским сюрпризам -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3673] Автор : UR3ACH Дата : 01.05.2018 19:20 Здравствуйте все участники. На диапазоне 28 МГц и не только идет по приему помеха в виде фона переменного тока. На всех диапазонах так. Только на нч она меньше. Питаю трансивер от АКБ. Плата НЕ авторская. Кодеки Китай. Когда захожу в меню то помеха пропадает. Т.е. по всей видимости это не наводка. Тогда что это. На записи слышно этот эффект. С 6й по 10ю секунду и с13й по 16ю секунду вход в меню и выход из него. Запись с выхода на наушники без антенны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3674] Автор : ua3ycv Дата : 01.05.2018 21:19 Андрей попробуйте "зашунтировать" вход антены сопротивлением на 10ком.ну или подобрать его-буквально вчера боролся с таким эффектом "гудящих РЕ-шек". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3675] Автор : UT8IFM Дата : 01.05.2018 21:28 UR3ACH, Я еще этот эффект не победил. Резистор на антенном входе мне не помог от фона но сигнал стал чище. Посмотрите какой у Вас сигнал выходит после AC74. Есть вероятность что микросхема не тянет вч бенды. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3676] Автор : UR3ACH Дата : 01.05.2018 21:33 попробуйте "зашунтировать" вход антены сопротивлением на 10ком Вход зашунтирован датчиком ксв-метра. Датчик tandem match. Но все равно попробую подключить резистор. Спасибо. Посмотрите какой у Вас сигнал выходит после AC74. Есть вероятность что микросхема не тянет вч бенды. Сигнал смотрел двухканальным осциллографом. Вроде нормальный. Правда таким осциллографом....:-( Те что у меня одноканальные и до 15МГц. Микросхема стоит 74lvc74. При заходе в меню фон пропадает!!! Вот в чем дело. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3677] Автор : UT8IFM Дата : 01.05.2018 21:39 При заходе в меню фон пропадает!!! Вот в чем дело. Это аргумент. Значит контроллер дисплея дает помеху. Ради прикола, запитайте дисплей от другого источника питания зарядки телефона например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3678] Автор : UR3ACH Дата : 01.05.2018 22:17 Значит контроллер дисплея дает помеху. Хмммм. При чем контроллер дисплея? Он что, начинает особенно работать при заходе в меню? Я просто не в курсе как он работает. Провода от дисплея идут через ферритовый цилиндр. Два витка на нем намотаны. Я думаю что помеха идет от узла синтезатора частоты. Близко он расположен к микросхемам в нч тракте. Хотя я хз. При прослушивании записи на спектре нч видно что помеха около 50-100Гц. Ради прикола, запитайте дисплей от другого источника питания Это первое что я сделал. Ничего не изменилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3679] Автор : UA0BHC Дата : 02.05.2018 04:27 UR3ACH, а у вас стоит трансформатор 10TR2, 10R16, 10R14, 10C26, 10R10 (по схеме Маламута естественно, потому что я не знаю, отличается ли схема)? Пробовал с трансформатором и без, с трансом вроде помягче звук получился. Но я не сравнивал выход, заходя или нет в меню. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3680] Автор : RW6MQ Дата : 02.05.2018 09:32 Здравствуйте все участники. На диапазоне 28 МГц и не только идет по приему помеха в виде фона переменного тока. На всех диапазонах так. Только на нч она меньше. Питаю трансивер от АКБ. Плата НЕ авторская. Кодеки Китай. Когда захожу в меню то помеха пропадает. Т.е. по всей видимости это не наводка. Тогда что это. На записи слышно этот эффект. С 6й по 10ю секунду и с13й по 16ю секунду вход в меню и выход из него. Запись с выхода на наушники без антенны. Посмотрите моё видео с 8минуты https://youtu.be/1MaVlTfAU9I такое часто бывает изза китайских микрух, которые не тянут частоты выше 20мгц, копните на участке выход с синтезатора и до смесителя, думаю собака тут зарыта;) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3681] Автор : смолянин Дата : 02.05.2018 11:38 всем ,делающим маламута,подскажите пожалуйста точные данные всех микросхем и транзисторов.буду заказывать на али.плату Евгений отправил и вот теперь надо все детальки до кучи собирать.дочитал до 160 странички.дочитаю до конца ,незнаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3682] Автор : UA0BHC Дата : 02.05.2018 11:47 список для версии плат 1.3 с ядиска https://docviewer.yandex.ru/view/22872385/?*=oD7Ysxb93239QkgIOFFm4vsc5kp7InVybCI6InlhLWRpc2stcHVibGljOi8vUEszazg0ekg4dDV0Z0NjNEF3Zjd0MjVJVUhha0ljam5IUHNnZDJMSG5XND06L3YgMS4zL1NEUl9NYWxhbXV0ZV9CT00oMS4zKS54bHMiLCJ0aXRsZSI6IlNEUl9NYWxhbXV0ZV9CT00oMS4zKS54bHMiLCJ1aWQiOiIyMjg3MjM4NSIsInl1IjoiNDE2OTE3MzUxMTQ4MDIzMjY5NiIsIm5vaWZyYW1lIjpmYWxzZSwidHMiOjE1MjUyNTA4MDcxNTh9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3683] Автор : смолянин Дата : 02.05.2018 11:59 спасибо.а что даже наши д9в используются? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3684] Автор : UA0BHC Дата : 02.05.2018 12:07 да, в детекторе КСВ-метра, можно аналогичными диодами менять, которые аналогичном узле КСВ-метров стоят. 1N5711 например могут применяться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3685] Автор : Алексей М. Дата : 02.05.2018 21:27 Всем здравствуйте, возможно ли здесь выложить данные по всем мелким трансформаторам т.к, в одном случае кто-то применяет готовые с алиэкспресс ,кто-то мотает на кольцах ,на биноклях. Хочется конкретики, рабочий вариант материал феррита, проволоки ,размер ,сечение ,количество витков. Сильно не ругайте, если где не увидел ткните носом! Заранее спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3686] Автор : UA4FTA Дата : 02.05.2018 23:25 Не идёт передача.Сигнал от микрофона на дисплее и наушниках есть-кодек как-бы работает?Сигналы на приём-передача одинаковые,вот только сами выходные сигналы не вижу-какое-то лёгкое волнение-где копать??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3687] Автор : UT8IFM Дата : 02.05.2018 23:39 Если измерения берете после полосовых, то там сигнал мизерный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3688] Автор : UA4FTA Дата : 03.05.2018 07:20 Я имел ввиду 4 сигнала от кодека до смесителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3689] Автор : R3DI Дата : 03.05.2018 10:51 UA4FTA, у кодека тх может ацп работает а цап нет. После прошивки нужно делать польный сброс настроек. Проверьте уровни михтхаут в меню и уст. уровень pwr в глвном экране. Ну а делее проверка монтажа деталей и тд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3690] Автор : UA4FTA Дата : 03.05.2018 12:40 Проверьте уровни михтхаут в меню и уст. уровень pwr в главном экране Power менял сразу,tx out выставлено 50%-или ещё что-то есть в меню? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3691] Автор : R3DI Дата : 03.05.2018 13:35 Я имел ввиду 4 сигнала от кодека до смесителя. тогда остается или монтаж или сам кодек -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3692] Автор : Love777888 Дата : 05.05.2018 01:49 Приветствую! Такой вопрос насколько точно должны быть сбалансированны микросхемы 7U1 и 7U2? Прочитал как то о запуске и поэтапной проверке узлов маламута, там Женя писал что уровни на выходах микросхем должны быть одинаковы, я конденсаторы входные не поднимал, просто ткнулся отверткой и разница на экране есть с 1/4 клетки при касании конденсаторов сперва 7U1 затем 7U2, я так понял нужно поиграться с обратными связями? А программно в кодеке можно балансировать эти уровни? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3693] Автор : ra6ljm Дата : 05.05.2018 07:18 Love777888, Тоже не мог понять почему разные уровни. Оказалось, при монтаже, в обвязке оу, вместо 470р установил 470R:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3694] Автор : Love777888 Дата : 05.05.2018 16:40 Love777888, Тоже не мог понять почему разные уровни. Оказалось, при монтаже, в обвязке оу, вместо 470р установил 470R:oops: Не это ясно, ошибки само собой бывают, перепроверил все, такое ощущение, что сама микросхема ОУ, имеет другой коэффициент усиления. Поиграюсь я в обвязке обратных связей, думаю выведу, вот бы еще подстроечники впулить туда, только монтаж не позволяет. Вот бы женя сделал бы программно баланс, по входу кодека, вообще бы песня была бы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3695] Автор : Палыч Дата : 06.05.2018 15:20 Коллеги! Как сделать калибровку частоты Маламута? Сравнил частоты настройки FT-950 и Маламута. Вот что получилось: FT-950- - Маламут 28 000 --28 010.310 21 000 --21 008.330 14 000 --14 006.010 7 000 --7 002.470 3 500 --3 501.250 Показания шкалы Маламута везде выше. Как привести в соответствие показания? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3696] Автор : Sergey_Lapin Дата : 06.05.2018 15:31 Палыч,http://www.google.com/url?sa=t&source=web&cd=2&cad=rja&uact=8&ved=0ahUKEwiKvezDi_HaAhXPyKYKHQw4C40QtwIIJzAB&url=https%3A%2F%2Fm.youtube.com%2Fwatch%3Fv%3Dn24k6ViU3XA&usg=AOvVaw05XZX8fNCNmWX9ulbkVgLJ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3697] Автор : ua3ycv Дата : 06.05.2018 15:58 Серёжа-ютуб "хромает"-сцылка не работает. Палыч,заходим в установочное меню(!) пункт-6 установка ДДС выбираем пункт-3 ну и подгоняем частоту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3698] Автор : Sergey_Lapin Дата : 06.05.2018 16:07 ua3ycv, Сейчас проверил все открылось. Я через оперу в инет выхожу. Может из за этого. Проще тогда в поисковике набрать...Калибровка SDR TRX " Маламут" по сигналам точного времени RWM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3699] Автор : dadigor Дата : 06.05.2018 17:30 Судя по всему у Вас частота кварца чуть выше номинала, простое деление дает коэффициент порядка 1, 00037. Умножьте номинал кварца на это число и установите в настройках. Скажем, если номинал кварца 26 МГц, установите 26000000 х 1,00037 = 26 009 620 Сейчас Маламут не под рукой, точно не помню где это в настройках, но как-то так я делал у себя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3700] Автор : UA0BHC Дата : 06.05.2018 17:41 в настройках это там же, где производится настройка частоты кварца si-шки, только мы настраивали мегагерцы, а там есть пункты с другими величинами частоты -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3701] Автор : VitaliyDF Дата : 06.05.2018 19:27 Здравствуйте, после аварии с питанием маламут начал вместо LSB принимать USB и на оборот, при перестройке частоты панорама двигается задом на перед. Менял местами FST3253 и кодеки (RX/TX) ничего не меняется. Что могло сгореть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3702] Автор : UA9olb Дима Дата : 06.05.2018 19:48 двигается задом на перед. Пробовали сделать обнуление FRAM? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3703] Автор : VitaliyDF Дата : 06.05.2018 19:59 Пробовал не помогает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3704] Автор : UA9olb Дима Дата : 06.05.2018 20:16 не помогает Ну тогда все цепи проверяйте где была авария( начиная от сишки ,драйвер,74АС.....,операционики. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3705] Автор : ua3ycv Дата : 07.05.2018 08:37 VitaliyDF, Здравствуйте, после аварии с питанием маламут начал вместо LSB принимать USB и на оборотпопробуйте перезалить программу -похожая ситуация была у меня недавно-при "неудачной попытки прочесть ид-проца"-поведение трансивера было аналогично вашей ситуации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3706] Автор : Love777888 Дата : 07.05.2018 09:14 Здравствуйте! С ДНЁМ РАДИО МАЛАМУТЦЫ! Желаю всем DX-ов и здоровья! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3707] Автор : RD3Q Дата : 07.05.2018 09:32 С праздником радиолюбители, с Днем Радио!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3708] Автор : VitaliyDF Дата : 07.05.2018 10:36 Обновление через дфу не помогло, может програматором нужно? Из-за 74лжц74 не может так чудить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3709] Автор : RD3Q Дата : 07.05.2018 10:41 VitaliyDF, Вы дали мало информации по дефекту! Переключение ЛСБ - УСВ есть или нет, может обе полосы принимает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3710] Автор : VitaliyDF Дата : 07.05.2018 11:08 Переключение есть, только на80m нужно переключать на верхнюю боковую и панорама задом на перед двигается. Эффект как если поменять местами каналы в сдре. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3711] Автор : R3NC Дата : 07.05.2018 11:09 Всех с праздником!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3712] Автор : VitaliyDF Дата : 07.05.2018 11:15 После работы сниму видео выложу, на праздник остался без радио((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3713] Автор : RD3Q Дата : 07.05.2018 11:21 VitaliyDF, теперь ясно, происходит инверсия приема. Попробуйте перепрошить процессор. По панораме - проверяйте энкодер, поменяйте местами провода направления вращения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3714] Автор : R3DI Дата : 07.05.2018 11:21 Всех с Днем Радио!!! VitaliyDF, если каналы местами поменять, то только нижняя с верхней изменятся, а вот панорама задом на перед двигаться не начнет, а вот если прием вести на гармониках то именно такой эффект и будет, проверяте частоты гетеродина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3715] Автор : RD3Q Дата : 07.05.2018 11:26 R3DI, Женя с праздником! :super::пиво: Да, на гармониках такой прием будет, надо проверить что с гетеродина выходит и далее! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3716] Автор : VitaliyDF Дата : 07.05.2018 11:43 Может частота н. е делится после сишки, понял куда копать. Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3717] Автор : UA0BHC Дата : 07.05.2018 15:50 1. Допустим, выходной каскад будет запитан отдельно от 24 вольт. Драйвер при этом питается от 12 или 8 вольт в зависимости от версии платы. Если 24 вольта внезапно пропадёт, а вы не заметив этого включитесь на передачу, не приведёт ли это к выходу из строя драйверов? 2. Можно без всяких переделок питать оконечный каскад и от 12 вольт, и от 24 вольт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3718] Автор : RW6MQ Дата : 07.05.2018 16:02 1. Если от 8 в точно нет, да и от 12 не должен. 2. Нет, при 24В сопротивление каскада изменится, нужно добавлять витки в выходном тр-ре, 1я-1,5+1,5в , вторичная 4в, как пример. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3719] Автор : rv3bj Дата : 07.05.2018 21:32 Всех с праздником! Обнаружил у себя интересную особенность при перестройке частоты приема валкодером на высокочастотных диапазонах в частности на 28 мгц слышно характерное "жужжание" во время вращения ручки. Думал, что это связано с особенностями Si5351. Однако при перестройки частоты с помощью энкодера такое "жужжание" не наблюдается. Может кто-то уже сталкивался с этим и может подсказать в чем проблема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3720] Автор : VitaliyDF Дата : 07.05.2018 22:33 https://youtu.be/nQLzdjeur4g Записал видео своей проблемы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3721] Автор : UR7HBP Дата : 07.05.2018 23:44 Свежий malamut-miniFAQ-v1.6 - причесал форматирование, добавил что появилось нового. Все пожелания\замечания в Л/С или на почту позывной собака гуглмайл -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3722] Автор : Сармат Дата : 11.05.2018 20:46 Идет к завершению второй маламут. Размеры корпуса примерно как у Icom-718. Вариант двухплатный. ДПФ на амидон Т25-2(6). Отдельная плата питания (+5в, +12в и преобразователь 12-24). Дисплей 3.2. 288853288854288855 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3723] Автор : RA1CAC Дата : 11.05.2018 21:08 А у меня пока только первый.:smile: 288856288857288858288859288860288861288862 https://rutube.ru/video/7ca2eddac0da639f10af 30bcdf33db82/ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3724] Автор : VitaliyDF Дата : 11.05.2018 22:36 Разобрался с инверсией боковых полос и панорамы, заменил SN65LVDS34 и 74LVC74. Все стало на свои места. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3725] Автор : ua3ycv Дата : 12.05.2018 08:48 ребята уточните куда "сунуть нос"-не устраивает чувствительность на 10м диапазоне-даже в режиме обхода и с включенным увч еле еле получаю 5мкв. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3726] Автор : RA1CAC Дата : 12.05.2018 10:01 ребята уточните куда "сунуть нос"...На мой взгляд, одна из причин малой чувствительности на ВЧ - потери в ДПФ и ФНЧ. По крайней мере, у меня есть причина так думать. Я сейчас пытаюсь "починить" у себя этот момент, и кое-какие подвижки уже есть. Закончу - расскажу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3727] Автор : VitaliyDF Дата : 12.05.2018 10:29 Уже не знаю что делать, была инверсия боковых полос - теперь начал принимать обе полосы. При перестройке частоты они расходятся и сходятся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3728] Автор : R3DI Дата : 12.05.2018 10:56 VitaliyDF, на ядиске есть файлик ifmalamutedsb, вроде так называется, посмотрите его, как правило все дело не в пропаях, реже - плохие детали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3729] Автор : R0LN Дата : 12.05.2018 13:29 Здравствуйте, собрал аппарат, вариант - только приёмник, не работает синтезатор на si5351, на выходе постоянно 49.156мГц и не меняется при перестройке, с процессора управление приходит, в настройках всё выставлено правильно, кварц 25мГц. Думаю может то что Siшка pre-programmed, хотя толком не пойму как это, si5351a-b04486-gt вот такая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3730] Автор : R3DI Дата : 12.05.2018 13:52 RC0LAJ, день добрый, судя по даташит и тому что Вы написали - похоже что сишка уже запрограммирована на определенную частоту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3731] Автор : R0LN Дата : 12.05.2018 14:50 RC0LAJ, день добрый, судя по даташит и тому что Вы написали - похоже что сишка уже запрограммирована на определенную частоту. Возможно, но нигде в интернете нет предостережения от покупки такого рода микросхем, как я понял что вроде в Siшку программируют стартовые частоты, но управляться то общим алгоритмом она всё же должна. Ну да ладно, буду менять, купил на Али SI5351A-B-GTR, думаю заведётся. 288889 Вот на силиконе нашёл, точно так и у меня на всех выходах. Добавлено через 20 минут(ы): Ещё нарыл, что при помощи "Si5351 ClockBuilder desktop" от silicon можно перепрограммить Siшку, так что может ещё и эта заведётся в "маламуте" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3732] Автор : Genadi Zawidowski Дата : 12.05.2018 15:21 У меикросхемы Si5351A-B04486-GT другой адрес управляющего регистра (0xC4/0xC5 вместо 0xC0/0xC1), при корректировке программы работает как обычная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3733] Автор : R3DI Дата : 12.05.2018 16:54 Есть платы версии 1.4, особо сильных отличий от 1.31, на мой взгляд, нет ( в том смысле, что в 1.31 можно по необходимости добавить нужные резисторы и емкости ). Вот что добавил: +поправил схему VOX +добавил разъем BAND+- +добавил RC фильтр на TX DAC (IQ) +добавил RC фильтр на MIC ADC TX +добавил RC фильтр на LINE ADC TX +добавил RC фильтр на AF PA (DAC RX) +добавил RC фильтр на SWR +перевел питание драйвера Pre/Drv +8V +добавил разъем SMA PA In/Out +добавил разъем +TX +падстек индуктивстей с отверстиями BPF dip/smd +добавил Cblock ENCODER +добавил Cblock PTT_A/B +добавил Cblock USBpwr +питание операционников 5V (для LM321) +добавил Rrst LCD ( в режиме dfu не пропадают надписи ) +добавил R LCD LED ( для ШИМа подсветки от аппаратного таймера с 105 кГц) (схема и монтажные карты на Ядиске в папке 1.4) 288904 Так же еще есть некоторое количество плат версии 1.31. Кого инетересует пишите в л/с. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3734] Автор : ua3ycv Дата : 12.05.2018 16:57 На мой взгляд, одна из причин малой чувствительности на ВЧ - потери в ДПФ и ФНЧ. По крайней мере, у меня есть причина так думать.я ФНЧ пока исключаю-а вот с полосовиками у меня не айс-буду решать.очень надо 28мгц-для укв трансвертора-потери там очень не нужны! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3735] Автор : VitaliyDF Дата : 12.05.2018 22:04 У меня нет сигнала с выходов FST3253 RXQP, RXQM. Сигнал появляется если откинуть выход CLK_I или CLK_Q с выхода 74LVC74. Я так понимаю нету сдвига фаз. Двух лучевого осциллографа нет, что-бы проверить сдвиг фаз(( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3736] Автор : R3DI Дата : 12.05.2018 22:47 Двух лучевого осциллографа нет, что-бы проверить сдвиг фаз(( может такой метод поможет проверить\оценить сдвиг фаз одним лучом ... лично не проверял - это так - теоретически... 288924 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3737] Автор : R6GA Дата : 12.05.2018 23:18 Наверно так будит правильно.Входное сопротивление ослика большое.288925 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3738] Автор : VitaliyDF Дата : 12.05.2018 23:35 Пробовал таким методом - http://life-prog.ru/2_105852_izmerenie-fazovih-sdvigov.html Сдвига не увидел -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3739] Автор : dadigor Дата : 13.05.2018 05:15 Наверно так будит правильно.Входное сопротивление ослика большое.288925Нагрузка не нужна, высокоомный вход годится для проверки, метод вполне рабочий, сам так делал в прошлом году еще на Пионе, когда не нашлось под рукой второго щупа. Просто скрутил два выводных резистора ОМЛТ-0,125, зацепил щупом общую точку и ткнул аккуратненько другими концами резисторов на триггеры. Логика проста, когда на одном из триггеров 0 а на другом 1, в общей точке резисторов полпитания, когда оба нуля - 0, когда обе единицы - питание. Так что диаграмма правильная. Проще проверять сдвиг на самой низкой частоте, меньше искажений на экране. Добавлено через 40 минут(ы): Что-то не спится... От скуки проверил на Маламуте, выкрутил приемник на 50 кГц, взял два резистора, оказавшихся под рукой, по 27 К, для высокомного входа осциллографа это не принципиально. Диаграмма на осциллографе точно такая, как и должна быть, так что пробуйте смело, займет это дело несколько минут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3740] Автор : UA0BHC Дата : 13.05.2018 09:21 VitaliyDF, а у вас случайно платы не альтернативные? Тут не так давно с похожей проблемой кто-то обращался, оказалось, что под SN65LVDS34 неверно была разведена плата. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3741] Автор : ra6lpo Дата : 13.05.2018 10:14 Платы под 1206 бывают? Очень все мелко.В.В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3742] Автор : UA0BHC Дата : 13.05.2018 10:38 мелко, но под линзой вполне нормально распаивается. Самый мелкий шаг у проца и SI5351, они же не бывают в другом корпусе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3743] Автор : Палыч Дата : 13.05.2018 11:25 Очень все мелко.В.В. Это факт! При монтаже SMD 0603 пытался установить на плату хлебную крошку!:super: Пока не разглядел... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3744] Автор : dadigor Дата : 13.05.2018 11:52 Лет пять уже пользуюсь очками типа таких https://mysku.ru/blog/china-stores/50742.html . Рекомендую всем купить что-то подобное, привыкаешь за 10 минут, помогают не только при монтаже, но и при чтении номиналов/названий элементов, очень удобно. Покупал на али. Обычно пользуюсь второй по увеличению линзой, но иногда полезны и другие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3745] Автор : UR3ACH Дата : 13.05.2018 13:21 Добрый день всем. Может кто-нибудь внятно объяснить почему идет помеха при вращении валкодера. От энкодера ''трещетки'' помех нет. Валкодер стандартный китай на 400 импульсов. Пробовал внутри него убирать-оставлять микросхему стабилизатора + фееритовая трубочка на кабель. Плохая земля, мало земли на плате? Вообще как-то можно убрать этот неприятный эффект? Я уже менял и 74lvc74 и sn65lvds34. Штук пять разных производителей 74lvc74 и пару lvds34 менял. Особой разницы не заметил. С антенной шум при вращении на нч маскируется шумом эфира. можно в принципе нечего не делать, так и оставить, но меня это что-то напрягает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3746] Автор : UV5EUT Дата : 13.05.2018 13:29 VitaliyDF, а у вас случайно платы не альтернативные? Тут не так давно с похожей проблемой кто-то обращался, оказалось, что под SN65LVDS34 неверно была разведена плата. Не в плате дело... А в установленной микросхеме. Если DS90lv028 припаять вверх ногами, то получится sn65lvds34.Не получится!!! Смотрим даташит.... Получится два повторителя - сдвига не будет. Поправте miniFAQ Я впаяв DS90LV028A вверх ногами - получил два сигнала на выходе с одинаковой фазой!!!!на плате под SN65LVDS34D соеденены ноги 8-5 и 6-7 ****** что при перевороте DS90lv028 получаем (соеденены два неинверт. входа и два инвер входа) два повторителя http://www.cqham.ru/forum/attachment.php?attachmentid=288113&d=1525034490&thumb=1 (http://www.cqham.ru/forum/attachment.php?attachmentid=288113&d=1525034490)http://www.cqham.ru/forum/attachment.php?attachmentid=288114&d=1525034511&thumb=1 (http://www.cqham.ru/forum/attachment.php?attachmentid=288114&d=1525034511) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3747] Автор : ra6lpo Дата : 13.05.2018 14:51 Да есть и очки, есть микроскоп монтажный, но одно дело проц припаять, а другое всю плату спаять. В.В. И платы в Крыму купил да потом бросил, 0605 очень мелко. В.В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3748] Автор : ua3ycv Дата : 13.05.2018 17:22 Может кто-нибудь внятно объяснить почему идет помеха при вращении валкодера. От энкодера ''трещетки'' помех нетнаверное не кто.у меня то-же интересный эффект-но на "высоких" бендах.пробовал валкод китайский и фирмы копал от фт-100-всё одно-"жужака остаётся. но меня это что-то напрягает.и меня-так как трансивер предназначен для трансвертерного режима на УКВ-а там любой "лишний шорох"-напрягает.Но иногда эта "жужа" пропадает сама по себе-что напрягает в двойне! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3749] Автор : UR3ACH Дата : 13.05.2018 20:48 Небольшое видео работы при вращении валкодера. Антенна не подключена. https://youtu.be/FP41H1Qoab4 Самое интересное,что до того как помыл плату,помеха была меньше. Перепаивал микросхемы синтезатора. Использовал спирто-канифольный флюс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3750] Автор : ub3gce Дата : 13.05.2018 21:42 Самый мелкий шаг у проца и SI5351, они же не бывают в другом корпусе? Ну почему не бывают, вот пожалуйста, из силиконовой долины288972 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3751] Автор : R3DI Дата : 13.05.2018 21:43 UR3ACH, Аднрей, как-то у Вас сильно проявляется, мне помогло отказ от трансформатора между си и лвдс, укорочение провода валкодера и установка на него трубку-защелку, совсем эффект не прошел но свел к минимумам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3752] Автор : UT8IFM Дата : 13.05.2018 22:19 UR3ACH, Забавно. А не пробовали поменять в меню шаг перестройки тоже на 1 кгц??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3753] Автор : Васген Дата : 14.05.2018 03:16 Прошу подсказать, белый экран, надпись маламут посередине и изображение песика, внизу цифры. Что это такое? Два раза перепрошивал (поиском в теме не нашел). Обвязка у проца вся есть. Нет микросхемы памяти. Но прочитал что она и не нужна для запуска. 288976 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3754] Автор : ua3ycv Дата : 14.05.2018 07:39 а что Вы "зашили"-да и желательно кнопки приделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3755] Автор : UR3ACH Дата : 14.05.2018 07:52 А не пробовали поменять в меню шаг перестройки тоже на 1 кгц??? Нет. Только что попробовал. Ничего не изменилось. Просто перестройка с шагом большим. укорочение провода валкодера Попробую укоротить. У меня в трансивере провод длинной около 20см. Я просто хочу разобраться почему так возникает.Мне не понятен алгоритм работы. Контроллер считывает импульсы потом передает команду в Si5351.Это если грубо. Вот на каком участке помеха- мне не понятно. Валкодер-контроллер или контроллер Si5351? Я вот еще не пойму. В наушниках постоянный фон.Отпаивал конденсаторы переходные- фона нет. Я пару страниц назад выкладывал запись. Может с этим связано? И почему на ВЧ диапазонах слышно сильней помеху от валкодера чем не НЧ. Хоть бы кто еще отписался. Есть помеха, нет помехи. Я уже думаю что только у меня такая фигня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3756] Автор : UR7HBP Дата : 14.05.2018 08:15 Прошу подсказать, белый экран, Без FRAM при включении пишет ошибку. Нажатие на KEY1(PE15) выводит такое изображение.... Нужен часовой кварц, ждет когда часы запустятся. Не все часовые кварцы одинаково полезны))Три штуки поменял пока заработало. Распаял процессор, память, кварцы Проходит заставка и появляется такая картина... Нажмите SET (она же KEY1 она же M.VODE)+(Меню) 3 раза! После прошивки в обязательном порядке сделать чистку памяти (режим очистки FRAM = ON(вкл)+(C+D)key)ни разу не шитая FRAM, может содержать в себе т.н. "цифровой мусор", а это чревато. Поэтому ее надо очищать сразу же, при первом включении, после прошивки. А что означает маленькая красная двоечка, появляющаяся в левом верхнем углу экрана, поверх буквы А (черная на белом)? память не установлена. Без памяти нормально, кукушка для отладки. Больше тут http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1529040&viewfull=1#post1529040 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3757] Автор : UA7KJ Дата : 14.05.2018 08:27 UR3ACH,Посмотрите вот этоhttps://www.youtube.com/watch?v=EXD705J8OW8 может поможет. белый экран, надпись маламут посередине и изображение песика, внизу цифры Цифры в низу это ID контролера. Зашейте для начала V4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3758] Автор : dadigor Дата : 14.05.2018 10:14 Я просто хочу разобраться почему так возникает.Мне не понятен алгоритм работы. Контроллер считывает импульсы потом передает команду в Si5351.Это если грубо. Вот на каком участке помеха- мне не понятно. Валкодер-контроллер или контроллер Si5351? Поскольку синтезатор один и управляется одинаково, что от энкодера, что от валкодера, команды от процессора идут одни и те же и сигналы на шине управления соответственно тоже одинаковые, то логика подсказывает, что источник помех - сигналы от валкодера. Было только одно сомнение, может быть энкодер надо крутить намного быстрее, чтобы помеха от энкодера стала слышимой (возможно просто от нее звук тоном пониже) - попробовал, это сомнение не оправдалось. Чтобы окончательно отделить мух от котлет, заблокировал в открытой прошивке валкодер. То есть энкодер управляет синтезатором, а валкодер нет. Включил на 24 Мгц, покрутил валкодер - программно отключенный он поет точно так же, как и при нормальной работе. Вывод - дело однозначно в сигналах от валкодера. Видимо фронты сигналов слишком крутые, надо укорачивать провода от него - это раз, валить фронты - это два. На всякий случай можно попробовать еще и поиграть с развязкой питания валкодера, типа сунуть туда дроссель и блокировочную емкость, но мне кажется, дело именно в сигнальных линиях. Сейчас не могу поэкспериментировать, может быть завтра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3759] Автор : RD3Q Дата : 14.05.2018 10:21 R3DI, Женя а как с CW режимом, есть продвижения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3760] Автор : Andreichk Дата : 14.05.2018 10:40 слушаю сейчас какую-то классику на ЧМ 10.300 МГц. полосы в 24 кГц явно не хватает местами... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3761] Автор : Васген Дата : 14.05.2018 11:13 а что Вы "зашили"-да и желательно кнопки приделать. Зашил с яндекс диска последнюю версию 4.9 hex. Все как надо через программатор. Шьется все без ошибок. Кнопки пробовал на землю замыкал (имитация). Реакции на кнопки нет. Думаю на проц- заказывал в китае. Попробую еще кварц заменить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3762] Автор : UA4FTA Дата : 14.05.2018 12:08 Начал запускать аппарат на передачу и сразу заметил,что переход на приём происходит с задержкой,реле отрабатывает,водопад появляется,а звук запаздывает.Где нужно подправить? Ещё на плате с TDA слышен белый шум-на PAMe такого нет-всё чисто. Евгений,а что посмотреть,поконкретнее? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3763] Автор : R3DI Дата : 14.05.2018 12:17 Васген, принтскрин окна бы, а так напонятно. UA4FTA, или постоянка проходит, или тоже посмотреть бы, можт включено чего. Про PAM так и есть, потому то его и добавил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3764] Автор : dadigor Дата : 14.05.2018 12:36 Кнопки пробовал на землю замыкал (имитация). Реакции на кнопки нет. Думаю на проц- заказывал в китае. Попробую еще кварц заменить. На экране что-то есть? У Вас же раньше "песик" был, значит проц и кварц работают. Вы точно ВСЕ девять кнопок пробовали имитировать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3765] Автор : Васген Дата : 14.05.2018 12:42 На экране что-то есть? У Вас же раньше "песик" был, значит проц и кварц работают. Вы точно ВСЕ девять кнопок пробовали имитировать? Замыкал от пинов каждую на землю по разу, совместно несколько одновременно не нажимал. Замыкал от пинов каждую на землю по разу, совместно несколько одновременно не нажимал. Светодиод не мигает кстати, так и не понял он вообще должен гореть? Тангенту подключал (только подсветка работает), тоже нажимал на ней все кнопки, кроме передачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3766] Автор : dadigor Дата : 14.05.2018 12:52 Васген, а что на экране? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3767] Автор : Васген Дата : 14.05.2018 12:58 Залил прошивку sx.hex286505 вот, что получилось. Не работает. Не пойму как она работает у других Маламутчиков. На 333 стр. форума тоже самое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3768] Автор : dadigor Дата : 14.05.2018 12:58 У автора в подписи ссылка на яндекс диск. Там всё. Непонятно только, что же зашито у Вас сейчас? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3769] Автор : Васген Дата : 14.05.2018 13:38 У автора в подписи ссылка на яндекс диск. Там всё. Непонятно только, что же зашито у Вас сейчас? dadigor все понял, не то прошил. Скачал все заново с архива автора, все запустилось. Дальше буду накидывать детали. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3770] Автор : Andreichk Дата : 14.05.2018 13:42 я чёй-то не пойму, каким образом на КВ диапазоне у меня ловятся местные ЧМ-радиостанции на частотах 10.011 и 10.300 МГц, если они вещают на частотах , выше 100 МГц ? блин, да их там не 2, а много...... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3771] Автор : R3DI Дата : 14.05.2018 13:52 Andreichk, запросто, об это в теме уже обсуждали, смеситель хорошо принимает(и передает!) на нечетных гармониках и 7я,9я,11я для него не проблема ( например 10,3*9 = 92,7 ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3772] Автор : RU3XW Дата : 14.05.2018 15:50 R3DI, Женя а как с CW режимом, есть продвижения? Присоединяюсь. В пункте CW Setup -> Cw SHAPE напрашиваются значения ниже "0". В этом случае получается что-то более менее удовлетворительное до скорости ~20...25 WPM - выше начинает рвать посылки. Спасибо. Юрий RU3XW -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3773] Автор : Алекс88 Дата : 14.05.2018 17:16 Однозначно-меняйте си-шку. Была такая же проблема и стояла мертво такая же частота. Поменял-все пошло. Си-шки были точно такие же-4486 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3774] Автор : R0LN Дата : 15.05.2018 05:02 Однозначно-меняйте си-шку. Была такая же проблема и стояла мертво такая же частота. Поменял-все пошло. Си-шки были точно такие же-4486 Да, спасибо, уже заказал другие, из этой потом часы сделаю:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3775] Автор : R0LN Дата : 15.05.2018 12:29 Ещё влетел с пешками(PE4259), сегодня набил ДПФы, стал проверять - фигня какая-то, смотрю, а все пешки сигнал пропускают во все стороны, засада. Брал на Али 50штук за 338₽, код на корпусе только 259. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3776] Автор : rv3bj Дата : 15.05.2018 12:37 dadigor Очень хороший результат. Похоже действительно сам валкодер является источником помех. Полезно поэкспериментировать с различными вариантами его подключения. Для начала я бы отключил от платы сигнальные провода, оставив только питание и землю. Далее, разобрав валкодер, подключил бы конденсаторы на сигнальные провода внутри валкодера. Подобрать их емкость такой большой, чтобы валкодер продолжал работать нормально, а фронты были бы завалены. Также полезно поставить в разрыв линии питания валкодера индуктивность и дополнительный электролит, желательно танталовый. Провода от валкодера желательно укоротить и повесить ферритовую защелку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3777] Автор : autosat Дата : 15.05.2018 12:43 влетел с пешками(PE4259) Проверенный вариант PE4259 с AliExpress (http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0&p=1495414&viewfull=1#post1495414) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3778] Автор : UR3ACH Дата : 15.05.2018 15:44 Похоже действительно сам валкодер является источником помех. Бился я с помехой. Пару страниц назад задавал вопрос. Удалось частично снизить помеху. Почти полностью. Самая большая на 28 с понижением частоты она уменьшается. Укоротил кабель от валкодера до минимальной длинны. Одел ферритовые трубки. 2шт длинной по два сантиметра. Проницаемость на знаю, но по виду одна с блестящим ферритом а другая с матовым на вид. Подключил от отдельного стабилизатора на 5В. подключил бы конденсаторы на сигнальные провода внутри валкодера. Подобрать их емкость такой большой, чтобы валкодер продолжал работать нормально, а фронты были бы завалены. Надо разобрать и посмотреть есть там вообще какие-нибудь конденсаторы. Если ставить- то какой емкости приблизительно? Электролиты или керамику? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3779] Автор : rv3bj Дата : 15.05.2018 16:08 Все правильно. Похоже помеха идет на вход приемника по эфиру в виде наводки. С повышением частоты уровень наводки тоже повышается. Конденсаторы на сигнальных проводах у автора стоят 100 пф. Если нет возможности проконтролировать форму сигналов VAL_A и VAL_B осциллографом, наблюдая завал фронтов, то надо пробовать установить керамические конденсаторы 1000 - 10000 пф. При этом надо проверять устойчивость работы валкодера особенно при быстрой перестройке по частоте. Также полезно подключить электролитический конденсатор параллельно выводам питания внутри валкодера. Думаю, при этом можно оставить подключение к штатному стабилизатору на плате +5 вольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3780] Автор : ua3ycv Дата : 15.05.2018 16:16 и очень бы хотелось увидеть эти сигналы в виде скриншотов с осцылографа-какие они должны быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3781] Автор : rv3bj Дата : 15.05.2018 16:28 Это должны быть прямоугольные сигналы сдвинутые по фазе на четверть периода друг относительно друга. Скриншот надо снимать двухканальным осциллографом в режиме однократной развертки. Не думаю, что кто-то будет с этим заморачиваться. Просто при увеличении емкости подключаемых конденсаторов фронты этих сигналов будут заваливаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3782] Автор : UA7KJ Дата : 15.05.2018 17:17 Бился я с помехой Нужно начинать с того какая у Вас версия платы. Как Вы подали сигнал с сишки на SN65LVDS34, или какую Вы используете, через фильтр или нет. Какой уровень выходит с si5351? Я давал ссылку на видео Эдуарда где все наглядно показано. Недавно мой друг обнаружил какую то завязку с трансформатором смесителя. После экранировки транса помехи от валкодера ушли. Валкодеры тут не причем ставил китайские и самодельные все нормально. А вот от сишки зависит в большей степени, верней от уровня ее сигнала приходящего на SN65LVDS34. Уберите делитель на выходе si5351 и фильтра если они есть. Ни какие укорочения кабеля и добавки конденсаторов и трубок не помогут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3783] Автор : UR3ACH Дата : 15.05.2018 19:21 какая у Вас версия платы Я писал что версия не авторская. Я когда начинал собирать трансивер, то в этой ветка было постов 50. Версия платы http://ur5yfv.com.ua/index.php/forum/sdr-konstruktsii/13-osnovnaya-plata-sdr-trx-malamut.html Как Вы подали сигнал с сишки на SN65LVDS34 Через конденсатор без фильтров и трансформатора. Была доработка на форуме. ссылку на видео Эдуарда Я ее пересмотрел до дыр. У меня немного другой эффект. После экранировки транса помехи от валкодера ушли Как технически он это сделал? Жестью вокруг экранировал. Как катушку индуктивности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3784] Автор : Сармат Дата : 15.05.2018 19:51 запустил свой второй маламут на прием пока289076289077 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3785] Автор : dadigor Дата : 15.05.2018 22:44 К сожалению я не могу пока поэкспериментировать с помехами от валкодера, далеко от паяла. Считайте мою идею дурацкой, но попробуйте в разрыв обеих сигнальных линий от валкодера поставить резисторы порядка 470 Ом, прямо на ножках валкодера, может быть поможет... Когда-то мне такая штука помогла. Может быть еще пару емкостей на землю, но это уже потом, если будет эффект от резисторов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3786] Автор : Love777888 Дата : 16.05.2018 08:42 Всем здравия! Такой вопрос может кто решил вопрос с чувствительностью маламута, особенно на вч бендах? Были проходы на си би и 10-ке, сибишка слышит хорошо, а маламут тихо, ребят у кого чуйка в 1 мкВ дайте ссылку где брали смесители. Пришёл к выводу что не фнч не дпф не виноваты, подавал микровольт прямо на смеситель в итоге тоже самое может капля выше гулька на экране по сравнению со всей цепочкой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3787] Автор : ua3ycv Дата : 16.05.2018 09:12 Считайте мою идею дурацкой, но попробуйте в разрыв обеих сигнальных линий от валкодера поставить резисторы порядка 470 Ом, прямо на ножках валкодера, может быть поможет...ну не знаю-быстро "набросил"330 ом-уровень помехи понизился-но осталось-надо продолжать борьбу. кто решил вопрос с чувствительностью маламута, особенно на вч бендах?присоеденяюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3788] Автор : RW6MQ Дата : 16.05.2018 10:02 По чутью. Какая чувствительность на входах RXQP, RXQM, RXIP, RXIM -? На смесителе должно теряться 6-8ДБ. Не более. Если не хватает чуйки на входах 5532 - ищите причину в них. 5 раз повторял авторскую схему - всегда чутьё было достаточным. В УВЧ всегда использовал ERA 2, т.к. ERA3 - и её 20дб усиления много для 28мгц, в самый раз RPE в 15дб, не более. Добавлю. Затухания от ант гнезда до смесителя на 28,5мгц - 8дб, УВЧ использую 15дб - нехватки в чутье никогда не испытывал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3789] Автор : UA9olb Дима Дата : 16.05.2018 10:09 всегда чутьё было достаточным. Добрый день всем! Эдуард вот только достаточность у всех разная) .Померили бы в одном из своих аппаратиков инструментально и выложили) что бы было видно к чему стремиться). А то в эфире с хорошей антенной прекрасно всех слышно, подключаешь к приборам чувствительность 5 мкв или чуть лучше),без увч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3790] Автор : RW6MQ Дата : 16.05.2018 10:19 5 мкВ без PRE, если брать авторский вариант с PRE 20дб, то получается чуйка в 0,5 мкВ, по моему это очень хорошо и достаточно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3791] Автор : UA9olb Дима Дата : 16.05.2018 10:36 это очень хорошо и достаточно. Согласен.Только люди намеряют 0.5-0.6 мкв без PRE ) Вот интересно как этого добиваются? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3792] Автор : RW6MQ Дата : 16.05.2018 11:15 Согласен.Только люди намеряют 0.5-0.6 мкв без PRE ) Вот интересно как этого добиваются? Вот поэтому я не пытаюсь такие величины мерить - нечем. :) Прямо ОООчень хороших АТТ у меня нет, чтобы с имеющихся источников ослабить качественно сигнал ниже 20мкВ. :-| -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3793] Автор : Love777888 Дата : 16.05.2018 12:04 RW6MQ, а можно подробнее как выяснить? Подаем пусть 10мкВ дальше со смесителя выходы на операционники, что там мерять во сколько ослаб сигнал уже после смесителя? Дальше 5232 выясняем как он усиливает, так? Тоже самое но с 1мкВ и делаем выводы. Прошу поправить меня. Просто коли так то можно в обратных связях ОУ поставить скажем номиналы по 6к2 и тем самым увеличим усиление, но и RX GAIN можно увеличивать, но это не означает что приемник начинает набирать свою чуйку просто звук громче и все. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3794] Автор : UA9olb Дима Дата : 16.05.2018 12:37 Подаем пусть 10мкВ дальше со смесителя выходы на операционники, что там мерять во сколько ослаб сигнал уже после смесителя? Не так не намереешь ничего. Надо мерить чувствительность всего тракта скажем цепляем на динамик вольтметр, ставим ручку усиления на макс,в антену 50 ом резистор или закоротить.Засекаем на вольтметре уровень шума ,подключаем генератор и добавляем уровень пока на вольтметре уровень увеличится в 3.16 раза или 10 дб. считываем с атт генератора значение .И так померить с ФНЧ и ПФ ,и без них.И можно померить с обходом и увч). Что хотел сказать что что-бы получить 0.5 мкв с фильтрами без увч ,чувствительность без фильтров на смесителе должна быть ~ 0.2 мкв -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3795] Автор : RD3Q Дата : 16.05.2018 13:15 UA9olb Дима, точно по таким же методом измеряли чувствительность военных КВ радиостанций! И правильно RW6MQ сказал,без хороших АТТ без просачивания сигнала, измерять чувствительность лаптями!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3796] Автор : Love777888 Дата : 16.05.2018 13:18 UA9olb Дима, это все конечно грамотно, вы сами не пробовали измерять чуйку самого смесителя? Может китайская подделка виной тому. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3797] Автор : RN3R Дата : 16.05.2018 13:21 Вот послушайте запись 10 м диапазона. Приём ведётся на дельту 20 м диапазона - не самая лучшая, но чувствительности трансивера вполне хватает. https://yadi.sk/i/hyK_HqC63VzFsa -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3798] Автор : Love777888 Дата : 16.05.2018 13:27 RN3R, а преамп выключте, речь идет о приеме без увч -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3799] Автор : RN3R Дата : 16.05.2018 13:40 Прохождение не устойчивое, но что есть смотрите... https://yadi.sk/i/zaiCwOSo3VzKdk -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3800] Автор : R3DI Дата : 16.05.2018 13:48 а преамп выключте, А зачем ? В той же монке установлен не отключаемый преам перед смесителем, а в маламуте есть возможность не тратить 30..50мА когда в этом нет необходимости -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3801] Автор : dadigor Дата : 16.05.2018 15:14 ну не знаю-быстро "набросил"330 ом-уровень помехи понизился-но осталось-надо продолжать борьбу. Вот, значит помогает. А теперь там же примерно по 1000 пФ на землю, на выводы резисторов, идущие к синтезатору. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3802] Автор : Love777888 Дата : 16.05.2018 15:29 А зачем ? В той же монке установлен не отключаемый преам перед смесителем, а в маламуте есть возможность не тратить 30..50мА когда в этом нет необходимости Да тут разговор о том что у некоторых чуйка в 0.5мкВ, без увч, но увы не у всех. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3803] Автор : Alexei4 Дата : 16.05.2018 15:44 "Маламут" интересное устройство получилось, и достаточно компактное смотрю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3804] Автор : UA9olb Дима Дата : 16.05.2018 17:09 вы сами не пробовали измерять чуйку самого смесителя? Мерил конечно)у меня ~1 мкв ( чуть хуже даже. Так что ищу дальше в чем проблема. И заказал в чипе FST шки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3805] Автор : Love777888 Дата : 16.05.2018 17:39 Так же и у меня, может оно и должно быть так? И где там еще можно искать? А со всей цепочкой? Наверное от микровольта жалкое подобие остается? Как у человека при 0.5 на видео одна клетка на экране получилась? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3806] Автор : UA9olb Дима Дата : 16.05.2018 17:45 на видео одна клетка на экране получилась? Видел на фото какие у него полосовики) наверно из за них)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3807] Автор : Love777888 Дата : 16.05.2018 19:15 Не думаю в байпасе на экране разницы фактически нет, по высоте гульки может 1 пиксель. Еще меня раздражает, то что эта эра-3 см добавляет нехило шуму. Тупо шумовая дорожка, а в ней что, уже ничего не услышишь. Думаю вообще увеличить резистор, в цепи ее питания поставить переменник и определить лучшее отношение сигнал шум -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3808] Автор : UA4FTA Дата : 16.05.2018 20:33 Мерил конечно)у меня ~1 мкв ( чуть хуже даже. Так что ищу дальше в чем проблема. И заказал в чипе FST шки. У меня FST с Чипа-всё равно на 2-х платах всё одинаково-чутья не хватает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3809] Автор : R7KGA Дата : 16.05.2018 22:01 А никто не пробовал поставить вместо FST3253 трехвольтовый SN74CBTLV3253PW ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3810] Автор : Love777888 Дата : 16.05.2018 22:43 R7KGA, Андрей это ничего не меняет, я подкидывал на свой 5вольт. Ничего не менялось -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3811] Автор : UR3ACH Дата : 16.05.2018 23:33 Надо антенны. Ну не хватает чутья на веревку. Я вообще забил на 28. На 27,300 слышно каких-то итальянцев в FM. И то не всегда. Антенна на 80м inv-v. Еще просьба!!! Может кто сделать запись с тансивера без антенны на 28 Мгц. Усиление RFgain 650. Есть какой-то рокот. Я уже спрашивал. Когда входишь в меню установок он пропадает. Ничего не менялось Это аналог. Ничего и не должно поменяться. Я ставил подстроечник. Крутил. При половине питания нормальная работа. + - Уже не то. При повышенном питании лезут шумы. С уменьшением до какого-то уровня есть прием, потом тишина. Это очень грубо и на слух. Вообще этот трансивер перестает быть одноплатным.Надо делить ВЧ от НЧ и так далее. На одной плате это не очень. Я автора понимаю. Походы и т.д. Я только за!!! Но не все же в поле работают. Я две связи провел, когда чинил SW-2013.Все.. Свое на год отработал))))) Добавлено через 25 минут(ы): Полосовики. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3812] Автор : Love777888 Дата : 16.05.2018 23:49 Не в веревках дело, у меня антенна как раз гп си би, и настроена она в 10м диапазон, но чуйка слабая, я даже по маршрутчикам это вижу они идут слабее чем на простейшей си бишке, не подумайте не придераюсь, трансивер хороший, но одна мысль что у кого то он работает лучше не дает покоя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3813] Автор : UR3ACH Дата : 17.05.2018 00:03 трансивер хороший, но одна мысль что у кого то он работает лучше не дает покоя. Аналогично. Я тоже не пойму. Или так должно быть. Тогда можно успокоиться. Или может что-то не так. Вот в чем вопрос. Может где косяк какой-нибудь. Я например транс на смеситель намотал на кольце 1000 нн косичкой. Косичкой как косы заплетают. Всегда так мотаю. Видел на форуме что мотают на "свинном рыле" т.е на двух дырочном феррите. Попробую еще на нем намотать транс для смесителя. Но думаю что большой разницы не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3814] Автор : UA9olb Дима Дата : 17.05.2018 07:13 Еще меня раздражает, то что эта эра-3 см добавляет нехило шуму. Тупо шумовая дорожка, а в ней что, уже ничего не услышишь. Думаю вообще увеличить резистор, в цепи ее питания поставить переменник и определить лучшее отношение сигнал шум Вообще по всей идее у Вас ничего не выйдет) Из чего складывается шум ? Это коэффициент шума нашего усилителя +потери в тракте от антенны до усилителя) а там если посчитать одних ПЕ 8 шт по 0.35 дб=2.8 дб (это в лучшем случае)+ потери в фильтрах По всей идее УВЧ надо было поставить хотя бы перед АТТ уже бы избавились от 4-ти ПЕ и их шума) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3815] Автор : Radiotester Дата : 17.05.2018 07:24 На китайские еra3sm+ много нареканий. Брака и потделки с косяками полно. Надо ее тестировать в трансивере ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3816] Автор : ur3ilf Дата : 17.05.2018 11:15 . Брака и потделки с косяками полно. Вы ещё аудиофорумы почитайте про подделку NE5532. Китайцы один кристалл схожий с параметрами LM358 а часто уступающий даже ему ставят куда хотят. В маламуте после смесителя стоит NE5532 и поставив подделку легко можно потерять в чуйке и выиграть в шумах. Именно на такое я наткнулся собирая трансивер прямого преобразования и запаяв мелкосхемы с алиэкспресс. И дело в том что местные магазины скорее всего тоже у китайцев закупаются. Вместо NE5532 можно поставить другие. Например AD823 от аналог-девайс и т.д. Да это будет дороже но и результат лучше. В тракте передачи подделки работают нормально. Там уровни совсем другие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3817] Автор : UA9olb Дима Дата : 17.05.2018 12:57 про подделку NE5532 Стояли операционники с Али ,думаю поменяю ) сбегал в чип и дип (взял подороже там предлагали 2 варианта за 17 и за 37) купил поставил и разницы нет))) может дествительно в одном подвале деланные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3818] Автор : ur7hfo Дата : 17.05.2018 16:09 Например AD823 от аналог-девайс Распиновка вроде одинаковая, интересно кто то реально их ставил в Маламут ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3819] Автор : UR7HBP Дата : 17.05.2018 16:28 Распиновка вроде одинаковая, Lov noise 16nV/Hz против 5nV/Hz интересный вариант получается -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3820] Автор : UA9olb Дима Дата : 17.05.2018 18:32 Подскажите в меню есть пункты: L0.12 H 2.82 Что это за пункты? для чего и как нaстраивать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3821] Автор : R3DI Дата : 17.05.2018 18:35 L0.12 H 2.82 Что это за пункты? это границы ФОС, хотел сделать для быстрой их настройки, но не то того, так пока и остались для информации -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3822] Автор : RD3Q Дата : 17.05.2018 18:37 UA9olb Дима, это фильтр, от120Гц до 2,83 кГц - верхний и нижний скаты! Настраивай на свой вкус! Меня устраивает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3823] Автор : ua9xos Дата : 18.05.2018 18:47 Подскажите, может сишка не запускаться из за питания. на нее поступает ровно 3 вольта после дросселя. На дроссель поступает 3.3 вольта и выходит 3 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3824] Автор : UA0BHC Дата : 18.05.2018 18:58 чот большое падение, ключ не перепутали случайно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3825] Автор : ua9xos Дата : 18.05.2018 19:11 чот большое падение, ключ не перепутали случайно? Нет с ключом все в порядке. 289236 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3826] Автор : RD3Q Дата : 18.05.2018 19:16 ua9xos, а кварц генерит? Измерьте ток потребления СИшки, 0.3 вольта это много просадки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3827] Автор : R3DI Дата : 18.05.2018 19:18 ua9xos, просадка большая, проверьте монтаж и на кз тоже все пины междусобойчики и на землю, да по разному. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3828] Автор : ua9xos Дата : 18.05.2018 19:36 ua9xos, а кварц генерит? Измерьте ток потребления СИшки, 0.3 вольта это много просадки. Он должен прослушиваться на приемнике? Просто нет осциллографа. Добавлено через 8 минут(ы): Нашел инфу по моей сишки 5351 aadr 116 пишут что работает с кварцем от 30 мгц. Попробую поменять если есть такой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3829] Автор : RD3Q Дата : 18.05.2018 19:37 ua9xos, Да на другом приемнике, трансивере должна быть слышна несущая на частоте кварца. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3830] Автор : R3DI Дата : 18.05.2018 19:41 ua9xos, а какое оммическое сопротивление установленного дросселя, а то можт 30 ом и при 10мА как раз такое падение и будет, может дроссель заменить, и все в порядке с сишкой... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3831] Автор : ua9xos Дата : 18.05.2018 19:57 ua9xos, а какое оммическое сопротивление установленного дросселя, а то можт 30 ом и при 10мА как раз такое падение и будет, может дроссель заменить, и все в порядке с сишкой... Сопротивление дросселя 6 ом а ток потребления сишки 28 ма -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3832] Автор : RD3Q Дата : 18.05.2018 20:00 ua9xos,дроссель выпаивали или в плате измеряли? Поставьте перемычку временно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3833] Автор : dadigor Дата : 18.05.2018 20:01 RC0LAJ, Вы уже выпаяли "неправильную"сишку? Если нет, то вот файл, скомпилированный под другой адрес сишки, как советовал Genadi Zawidowski, вместо С0 поставил адрес С4. Это слегка переделанная версия 2.0, так что не обращайте внимание на нюансы, главное проверить, завелась сишка или нет, есть ли перестройка частоты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3834] Автор : ua9xos Дата : 18.05.2018 20:05 RC0LAJ, Вы уже выпаяли "неправильную"сишку? Если нет, то вот файл, скомпилированный под другой адрес сишки, как советовал Genadi Zawidowski, вместо С0 поставил адрес С4. Это слегка переделанная версия 2.0, так что не обращайте внимание на нюансы, главное проверить, завелась сишка или нет, есть ли перестройка частоты. Нет еще. Кварц и дроссель на место вернуть. Попробую прошиться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3835] Автор : dadigor Дата : 18.05.2018 20:19 ua9xos, у Вас другая проблема. Эта прошивка сделана для тех, у кого сишка "зашита"на фиксированную частоту (смотрите пост 3731), я эту прошивку не проверял - у меня не на чем, моя сишка заводится нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3836] Автор : ua9xos Дата : 18.05.2018 22:12 RC0LAJ, Вы уже выпаяли "неправильную"сишку? Если нет, то вот файл, скомпилированный под другой адрес сишки, как советовал Genadi Zawidowski, вместо С0 поставил адрес С4. Это слегка переделанная версия 2.0, так что не обращайте внимание на нюансы, главное проверить, завелась сишка или нет, есть ли перестройка частоты. Прошился, что то вообще не запускается, черный дисплей и релюха не щелкает. а сишка есть такая зашитая. Вернулся на 4.9, все заработало кроме сишки будем копать дальше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3837] Автор : Сармат Дата : 18.05.2018 23:20 Завершил свой второй маламут, все нормально.289260 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3838] Автор : dadigor Дата : 19.05.2018 08:17 Прошился, что то вообще не запускается, черный дисплей и релюха не щелкает. а сишка есть такая зашитая. Вернулся на 4.9, все заработало кроме сишки будем копать дальше Перезалил файл прошивки. Проверил у себя, приемник после прошивки запускается, но нормальная сишка естественно не перестраивается, адрес на шине у нее другой. Надо пробовать только тем, у кого впаяна прошитая на определенную частоту сишка - Si5351A-B04486-GT. Проверить, есть ли вообще перестройка частоты, на остальное не обращать внимание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3839] Автор : R0LN Дата : 19.05.2018 11:16 RC0LAJ, Вы уже выпаяли "неправильную"сишку? Если нет, то вот файл, скомпилированный под другой адрес сишки, как советовал Genadi Zawidowski, вместо С0 поставил адрес С4. Это слегка переделанная версия 2.0, так что не обращайте внимание на нюансы, главное проверить, завелась сишка или нет, есть ли перестройка частоты. Спасибо, постараюсь проверить в понедельник, занимаюсь творчеством на работе, когда выпадает свободное время, пока эту Siшку выпаял и на эксперименты забил, жду ещё детали и Siшек заказал достаточно, и с Али пару и у Silab сэмплы выцыганил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3840] Автор : Love777888 Дата : 19.05.2018 19:35 Здравствуйте всем! Все-таки не даёт покоя чутье маламута, стояли в обратных связях ОУ 3кОм 4 штуки, заменил их на 33кОм и да все сигналы выросли, но и добавился шум в виде горбыля.289310. Это подделка из Китая у меня вместо ОУ? Попробую уменьшить на килоом 10 поставить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3841] Автор : Radiotester Дата : 19.05.2018 21:26 Love777888, О каком ОУ речь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3842] Автор : Love777888 Дата : 19.05.2018 21:45 Как о каком об двух ОУ не5532 используемых в приемнике, так сказать ПЧ. При таком усилении ПЧ получается загогулина в одну клетку на экране от 0.6 мкВ. Но шум, скорее всего от тракта самого смесителя и самих ОУ Теперь на свою 5/8 я слышу всех так как в видосах с ютуба, как у людей. Может действительно фиговые 5532? Заменить например на AD823? Но где их купить хорошие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3843] Автор : autosat Дата : 19.05.2018 22:07 Но где их купить хорошие В "Чип и Дип": AD823ARZ (https://www.ru-chipdip.by/product/ad823ar) — Шум 16нВ/√Гц NE5532D (https://www.ru-chipdip.by/product/ne5532d) — Шум 5нВ/√Гц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3844] Автор : Сармат Дата : 19.05.2018 23:14 посмотрите в сторону NE5534 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3845] Автор : R3DI Дата : 20.05.2018 14:41 Озадачились мы тут на днях с Иваном RA6ANR показаниями S-метра, и вопрос это привел к реальной чувствительности и динамическому диапазону приемника. За не имением приборов все это канечно сложновато, но, как говориться - "голь на выдумку хитра" было сделано следующее ( вкратце).... Есть другой проект (с кодом маламута), в нем кодек WM8731, att PE4306 , пара АТТ на "слепышах" по 20db. Осциллом был выставлен сигнал на генераторе 50мВ.эфф.(на входе приемника) и откалиброван С ментр на показания S+60 и работу АТТ PE4306, так же написал код расчета Сметра по показаниям спектра (не по НЧ АРУ). Затем проверил показания - задавая сигнал математически в коде, полные 24 бита=S+60, и вводя кофф-ы аттенюации(в коде к сигналу) проверил соответствие. Оба (алгоритма) Sметра стали показывать одинаковые цифры, соотв-е и установкам АТТ PE4306 и на отдельный/вместе атт 20дБ ( а вот 2 платки по 20дБ, 40 дБ не дали, но это было ожидаемо, на макетках же ). Все это к чему - при отключении антенны - Маламут показал порядка -90 дБ(2.9кГц) шумовой дорожки ( и примерно 105дБ/0.5кГц )(кодек на WM8731 гараздо скромнее, даже установленный на отдельной плате 50х50мм) ( шаманство с экранами и землями на операционники уменьшило еще на 1..2 дБ)) ) - а теперь так и получается - если усиление операционников такое что S+60 не перегружает кодек, то собственный шум порядка S3..4 балла и соответствует порядка 1 мкв чувствительности ( что у многих и получалось ). Теперь про повышение - думаю не стоит это делать за счет НЧ тракта (разбалансировка, граничная частота опреционников и тд...) да и одноплатная конструкция все таки, возможно стоит подумать в "сторону монки" - не отключаемый УВЧ и заменить ЕРА на что то более малошумящее, но и не забывайте про динамику, сигналы +40 начнут перегружать кодек. Как сделать и как быть - смотрите исходя из своих условий приема. В моих условиях - в этом нет необходимости. Так же еще раз сравнил с FT817ым слабые, едва слышимые станции - если в ФТ из 10 7 слов разбирал то в маламуте 5, но не было такого что в ФТ станция есть а в маламуте и присутствия нет - меня такой результат полностью устроил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3846] Автор : Love777888 Дата : 20.05.2018 19:21 Евгений приветствую! Сейчас специально прогнал по вещалкам плюс 60 бомбят, все чисто никакого перегруза, выставлено AGC1, просто мне не совсем ясно почему у кого-то пашет как надо, у других чутье в 5 мкВ, вот и решил в обратных связях поменять номиналы резисторов. Честно говоря я обалдел, что у трансивера проснулись уши, только шум вот поднялся, буду продолжать работу в этом направлении, и это не сравнится ни с какой era-3sm. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3847] Автор : EU1SW Дата : 20.05.2018 19:28 Все это к чему - при отключении антенны - Маламут показал порядка -90 дБ(2.9кГц) шумовой дорожки ( и примерно 105дБ/0.5кГц ) а разница между полосами 2,9 и 0,5 кГц должна быть -7,6 дб, а не -15, при правильной математике такое может быть если какая нибудь палка попадает в широкую полосу, но не попадает в узкую -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3848] Автор : R3DI Дата : 20.05.2018 19:44 EU1SW, буду у аппарата посмотрю, это все примерно по памяти, помню 91..92 на 2.9 и помню циферку за 100 но возможно это даже 0.3кГц а может и 0.2 был фильтр, может и попадает что цифровое . но 90 это точно, под конец работы пощелкал фильтрами на узкие увидел за 100 и побег домой )). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3849] Автор : Павел Непийвода Дата : 20.05.2018 21:42 возможно стоит подумать в "сторону монки" - не отключаемый УВЧ Я не думаю что это будет хороший вариант- первое это перегруз смесителя? ( включите УВЧ и подайте с ГГС сигнал с уровнем +20 - +60 и смотрите панораму )Павел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3850] Автор : EU1SW Дата : 20.05.2018 23:25 если усиление операционников такое что S+60 не перегружает кодек, то собственный шум порядка S3..4 балла и соответствует порядка 1 мкв чувствительности Евгений, мене тут немного резануло цифрами, и я полез отвлеченно с карандашиком глядеть в параметры примененного АЦП и операционников, начитал я что микровольт - ограничения NE5532, и есть резервы опустить шумовой пол децибелл на 6-7 без потери перегрузочной способности ) Не думали над этим? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3851] Автор : R3DI Дата : 20.05.2018 23:26 EU1SW, Сергей, думаю что 6-7 вполне можно и на операционниках, а там уже пусть каждый решает под свои нужды каким путем пойти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3852] Автор : EU1SW Дата : 20.05.2018 23:30 да, я ОУ имею ввиду, что нибудь с шумом 0,9 - 1,1 нв/√Гц было бы вообще отличной парой этому АЦП ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3853] Автор : Love777888 Дата : 20.05.2018 23:37 А есть ли такие? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3854] Автор : R3DI Дата : 20.05.2018 23:41 0,9 - 1,1 нв/√Гц было бы вообще отличной парой этому АЦП ) да уж, пади стоят как пол маламута :smile:. АЦП хорош в своем роде по цена-качество и не нашел подобное. Но есть подозрение что эти циферки можно достичь только тапа как не тестборде 10х10 см с одной лишь мс и чтоб в комнате кроме измерительного прибора более ничего не было :smile:, хорошо если ошибаюсь. Пробовал кодек WM8731, так вот отключение таких операциоников от его входа (правда немного другая схема) опускала планку примерно на 5..7 дБ ,а вот в маламуте подняв конденсаторы наоборот, шум поднялся и намного :shock:, возможно нужно было входы "притянуть", но все на скорую руку было и не до того. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3855] Автор : EU1SW Дата : 20.05.2018 23:41 А есть ли такие?Разумеется есть, этап форумских фантазии пройден давно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3856] Автор : R3DI Дата : 20.05.2018 23:45 А есть ли такие? AD8599 наверное к примеру - 1,1 нв/√Гц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3857] Автор : EU1SW Дата : 20.05.2018 23:49 но все на скорую руку было и не до того. Вроде бы конкурентов даже близко нет... ))) AD8599 наверное к примеру - 1,1 нв/√Гц lt1115 lt6231 opa211 ina163 и тд -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3858] Автор : R3DI Дата : 20.05.2018 23:52 конкурентов даже близко нет да на них, на конкурентов, как-то... :ржач:, семья дом работа - это близко;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3859] Автор : EU1SW Дата : 20.05.2018 23:57 ну эт да, семья, дом, работа - наше все я просто когда еще раньше занимался SDRами для звуковых карт то осталось немного понимания, где их границы достижимые находятся ) у меня получались цифры сильно отличающиеся, ну и как то подумалось, что у маламута есть еще резервы ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3860] Автор : R3DI Дата : 21.05.2018 00:08 ну и как то подумалось, что у маламута есть еще резервы ) Сергей, а вот это обнадеживает ;-), думаете есть смысл попробовать к примеру АД8599 (впаять прям на то место) ? У нас они более чем в 10 раз дороже, в китае дешевле но есть подозрение 358й за эту цену взять :ржач: Пробовал кодек WM8731, так вот отключение таких операциоников от его входа (правда немного другая схема) опускала планку примерно на 5..7 дБ ,а вот в маламуте подняв конденсаторы наоборот, шум поднялся и намного , возможно нужно было входы "притянуть", но все на скорую руку было и не до того. это я про NE5532 имел ввиду -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3861] Автор : EU1SW Дата : 21.05.2018 00:12 Думаю что есть смысл! хотя бы попробовать, что бы потом знать, возможно потом вылезет питание, или еще что - но это лишь повод, и устранимо ) З.Ы. я думаю, что просто непритянуто оказалось, от этого и шум подскочил а кодек 8731 сами же понимаете, децибелл 20 как проигрывает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3862] Автор : R3DI Дата : 21.05.2018 00:26 731 сами же понимаете, децибелл 20 как проигрывает ага, оно мне такое на дисплее и показало, кодек 8731 на отдельной платке и только он, -85дБ лин.вх.(0дБ)-ацп , что соотв. 7й странице даташит значению MIN. ... и тут мне стало интересно, где то попадалось что в монке чувствительность чуть ли не 0.15 мкв - а что тогда там с сигналами за S9+20 , как они их принимають....? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3863] Автор : EU1SW Дата : 21.05.2018 00:35 Вероятнее всего 9+40 это их порог по переполнению, или около того... ) поинтересуюсь на досуге Еще не нашел у Вас на схеме емкость 2700 пф параллельно входам АЦП, в даташите его роль описана как весьма важная... А нет, нашел, он на стороне ОУ ) поэтому видимо при подъеме разделительных шум и повалил Его бы поближе ко входу, иначе по этим линиям 6 с копейками МГц будет гулять до конденсатора и обратно, тоже можно шумов нахватать во все Найквисты ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3864] Автор : R3DI Дата : 21.05.2018 00:39 9+40 ага, поправился за +20 канечно, спать пора ). не нашел у Вас на схеме емкость 2700 пф параллельно входам АЦП, 7С6,7С15 имеет ли разница что отделены танталами ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3865] Автор : EU1SW Дата : 21.05.2018 00:41 Да, я в предыдущий пост дописал насчет него, извините, что не сразу ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3866] Автор : R3DI Дата : 21.05.2018 00:43 оэтому видимо при подъеме разделительных шум и повалил Его бы поближе ко входу, иначе по этим линиям 6 с копейками МГц будет гулять до конденсатора и обратно, тоже можно шумов нахватать во все Найквисты ) точно, с этого тест и начну, установлю с другой стороны танталов а их подниму, как проделаю доложу что получилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3867] Автор : EU1SW Дата : 21.05.2018 00:49 А так тоже не совсем корректно получится, если перенести его на сторону АЦП и просто поднять танталы то подтягивающие резисторы внутри самого АЦП не будут шунтированы по переменке, потому как в рабочем режиме их шунтирует низкоомный выход ОУ, и они радостно зашумят, потому что достаточно высокоомные. Правильней будет снять питание с ОУ и закоротить перемычками их выходы на общий. ) Ну и на сегодня 73! ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3868] Автор : R0LN Дата : 21.05.2018 01:12 Перезалил файл прошивки. Проверил у себя, приемник после прошивки запускается, но нормальная сишка естественно не перестраивается, адрес на шине у нее другой. Надо пробовать только тем, у кого впаяна прошитая на определенную частоту сишка - Si5351A-B04486-GT. Проверить, есть ли вообще перестройка частоты, на остальное не обращать внимание. Спасибо, прошивку вашу протестил, вы были правы Si5351A-B04486-GT без проблем управляется по другому адресу 0хС4, примем к сведению. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3869] Автор : dadigor Дата : 21.05.2018 07:29 Спасибо, прошивку вашу протестил, вы были правы Si5351A-B04486-GT без проблем управляется по другому адресу 0хС4, примем к сведению. Отлично! Прав был не я, а Genadi Zawidowski, я только попробовал сделать тестовую прошивку, делов на 5 минут. )) Теперь можно попросить уважаемого автора сделать прошивку для бедолаг, напоровшихся на прошитые сишки. Нужно просто изменить #define базового адреса сишки в заголовочном файле si5351.h с 0xC0 на 0xC4 и скомпилировать прошивку. Это займет немного времени. R3DI, может быть имеет смысл выложить и такую прошивку, чтобы народ не покупал и не перепаивал непрошитые сишки взамен прошитых, если уж такое случилось? Судя по форуму, народ периодически налетает эти грабли... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3870] Автор : ua3ycv Дата : 21.05.2018 09:13 народ периодически налетает эти грабли...а может всё же внимательно относится к ВОМу ну и читать даташиты?под каждые "грабли" не написать Автору прошивок-у него уже нет время поспать.:пиво:и как понимаю есть соседняя тема связанная с "программным тюненгом"-может там "затачивать"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3871] Автор : dadigor Дата : 21.05.2018 11:40 Судя по спасибо от автора, видимо действительно напряг поменять одну цифру в исходнике выложенной версии 4.9. Ну нет так нет, не за себя хлопотал, народ налетает на продаванов, неточно описывающих товар, потом перезаказывает микросхемку и ждет еще месяц. Их трудности, конечно, согласен. )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3872] Автор : R3DI Дата : 21.05.2018 11:52 Спасибо за понимание, нет желания и возможности работать как Геннадий, ему "сделайте с такой пч, а вот с таким кварцем, а теперь с другой пч,но на той под другой дисплей". Сейчас в метро, а домой в 11ом..12ом.. часу вечера, ейбогу не тотого , это кажется просто - циферку поправить, а нужно сесть,закрузить, нати где оно все это у меня и в каком состоянии, скомпилить проверить, выложить и подписать еще, а то начнется - я вот это прошил а оно не работает. Будет время введу в меню, но пока есть чем занаться...на ближайших пару лет:ржач: Извиняйте, если чего, не хочу никого обитеть. _____________ Это чтоб не потерять, к вопросу о динамике и тд... http://www.sherweng.com/table.html _____________ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3873] Автор : RK3AQW Дата : 22.05.2018 16:49 По поводу УМ у кого завал на ВЧ,ставим в плечи истоков тр-ров RD16, выходного трансформатора по конденсатору 100-200 пик(подобрать)и на массу(проще сдвоенный переменник в оба плеча и замерить емкость),АЧХ более менее ровная тогда получается,на НЧ до 10 МГц не влияет,еще надо тщательно настроить ДПФ. п.с. кому не ответил в личку ,извиняюсь,были неприятности со здоровьем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3874] Автор : R6GA Дата : 22.05.2018 22:11 Извеняюсь, не понял .QUOTE=RK3AQW;1533033]По поводу УМ у кого завал на ВЧ,ставим в плечи истоков тр-ров RD16, выходного трансформатора по конденсатору 100-200 пик -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3875] Автор : chet Дата : 23.05.2018 00:54 стоков наверное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3876] Автор : RK3AQW Дата : 23.05.2018 02:17 оговорился:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3877] Автор : vicg2004 Дата : 23.05.2018 22:19 Доброго вечера! Прочитал много страниц и факи и т.д., так и не понял, что нужно выбрать в меню чтобы заработал свежеприобретенный микрофон mh-48a6j. Или что-то нужно переделывать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3878] Автор : R7KGA Дата : 23.05.2018 23:35 В меню нужно выбрать в качестве источника MIC (это там где LINE и USB) Все остальное работает и так нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3879] Автор : ux1vx Дата : 24.05.2018 10:37 Добрый всем день! После перепрошивки проблемы с манипуляцией устранены. Спасибо автору за оперативность! Теперь не могу состыковать нормально с N1MM - диапазоны переключаются, манипуляция нормальная, но лог не видит частоту трансивера. У UR5EQF частота видится, все переключается, но не могу настроить манипуляцию... Запутался уже в настройках. Подскажите, какой алгоритм стыковки, пож! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3880] Автор : RD3Q Дата : 24.05.2018 11:14 ux1vx, с EQF работает, нужно в меню CW на свой вкус подстроить. Работает из цифрового модуля - cw, через CWType не получилось запустить! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3881] Автор : ux1vx Дата : 25.05.2018 09:49 RD3Q, все равно не добью, никак. На передачу становится, но манипуляции нет... Не можете сделать скрины своих настроек? Буду очень благодарен! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3882] Автор : RD3Q Дата : 25.05.2018 10:24 ux1vx, сейчас на работе, только вечером. На память в конфигурации РТТ выставлено : OmniRig, PTT - RTS, CW key - DTR . Ну и OmniRig стандартно для управления по САТ.;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3883] Автор : un7las Дата : 26.05.2018 03:49 Всем привет. Такой вопрос. Трансивер стартует только с нажатой кнопкой меню. А без нее очень медленно запускается и не приема ни цифровой шкалы нет. А в динамике какоето хрюканье? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3884] Автор : dadigor Дата : 26.05.2018 09:26 Не уверен, но я бы на всякий случай проверил цепи FRAM FM24CL64, если она вообще впаяна. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3885] Автор : RA1CAC Дата : 26.05.2018 14:19 Не, вряд ли. Без нее работает, только предупреждение выдает при старте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3886] Автор : UA7KJ Дата : 26.05.2018 14:40 медленно запускается и не приема ни цифровой шкалы нет. А в динамике какоето хрюканье? Было похожее. Оказалось не исправен был кодек по приему. После его выпайки стало все запускаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3887] Автор : dadigor Дата : 26.05.2018 15:59 Не, вряд ли. Без нее работает, только предупреждение выдает при старте. А я и подумал, может быть кнопку меню давит для прохождения предупреждений, просто описано скупо. )) Ведь без впаянной памяти предупреждения будут при каждом старте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3888] Автор : Палыч Дата : 26.05.2018 17:50 После перепрошивки проблемы с манипуляцией устранены. Спасибо автору за оперативность! Поделитесь, что залили? Я где-то пропустил корректировку? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3889] Автор : un7las Дата : 26.05.2018 18:29 Было похожее. Оказалось не исправен был кодек по приему. После его выпайки стало все запускаться. Аппарат работал отлично. Питал от аккумулятора. При низком напряжения питания проц ушел в аварию видимо. После этого началос вот такое. При удерживании кнопки меню запускается как надо и прием идет нормальный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3890] Автор : ua3ycv Дата : 26.05.2018 18:31 Питал от аккумулятора. При низком напряжения питания проц ушел в аварию видимо. После этого началос вот такое.вот и я "попал" так-же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3891] Автор : UA0BHC Дата : 26.05.2018 20:41 RW6MQ Эдуард порадовал новым видео https://www.youtube.com/watch?v=ouewvg6fe_w&t=101s, в котором на плате версии 1.31 была разрешена проблема плохой земли возле si-шки, помех от проца на вч при кручении валкодера. Ну и ещё несколько косяков показано разных сборки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3892] Автор : Васген Дата : 27.05.2018 01:56 Что то не могу настроить прием. С сишки выход есть, частота в два раза выше чем на дисплее. На SN65lvds34D на выходах 2,3 (противофазных) напряжение 1,7 вольта а должно быть 3,3 в. правильно? Значит тут надо копать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3893] Автор : UA0BHC Дата : 27.05.2018 07:25 а на входах SN65lvds34D какие уровни? По какой схеме собрал - с 10TR2, или без него? читал уже на https://yadi.sk/d/QuyGdEwM3H4HcB/v%201.3 файл if_Malamute-DSB.pdf? (там по настройке этого узла) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3894] Автор : Васген Дата : 27.05.2018 11:03 ДА, да именно от туда и начал настройку этого узла. Собрано без tr2. От сишки от 10с41 идет на LVD на LVDB идет +от CPU. На выходах 2,3 SN65lvds34D напряжение 1,7 вольта осциллограф не подключал и дальше соответственно и на LVC74 выходах тоже 1,7 в. На сишку напряжение 3,4 вольта приходит через индуктивность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3895] Автор : UR3ACH Дата : 27.05.2018 12:13 напряжение 3,4 вольта приходит через индуктивность. Измерьте напряжение после индуктивности. На некоторых дросселях есть падение напряжения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3896] Автор : Васген Дата : 27.05.2018 13:16 Измерьте напряжение после индуктивности. На некоторых дросселях есть падение напряжения. После индуктивности 3,4 вольта. все так и измерял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3897] Автор : UA0BHC Дата : 27.05.2018 14:06 Васген, надо именно осциллографом смотреть. И что на входах, до сих пор интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3898] Автор : Васген Дата : 27.05.2018 17:48 Васген, надо именно осциллографом смотреть. И что на входах, до сих пор интересно. ВЫход с 10-го выхода Si5351 289783 Выход с 2,3 SN65lvds34D 289784 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3899] Автор : UA0BHC Дата : 27.05.2018 18:45 Да, должно быть побольше на выходе. Делитель на 10R19, 10R20 убран? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3900] Автор : Васген Дата : 27.05.2018 19:16 Делителя нет. Так пробежался вся обвязка минимальная стоит. Все соответствует. Сишка оригинальная. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3901] Автор : RA1CAC Дата : 28.05.2018 06:11 ...От сишки от 10с41 идет на LVD на LVDB идет +от CPU. ...На LVD_B надо 10С26 подключить. Делитель не нужен. И никаких плюсов от CPU. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3902] Автор : Васген Дата : 28.05.2018 09:43 На LVD_B надо 10С26 подключить. Делитель не нужен. И никаких плюсов от CPU. 289813 Вот так ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3903] Автор : VitaliyDF Дата : 28.05.2018 09:53 289815Вот так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3904] Автор : Love777888 Дата : 28.05.2018 16:33 Гдето на форуме видел другую схему. И делитель там был и емкости проходная 0.1 мкф, об землю тоже вроде 0.1, специально снял крышку с трансивера точно с делителем. А в чем преимущество этой схемы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3905] Автор : UU5JPP Дата : 28.05.2018 16:52 Гдето на форуме видел другую схему. И делитель там был и емкости проходная 0.1 мкф, об землю тоже вроде 0.1, специально снял крышку с трансивера точно с делителем. А в чем преимущество этой схемы? 289836 Сделано так и прекрасно работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3906] Автор : ua3ycv Дата : 29.05.2018 08:14 Парни подскажите как правильно сделать настройки в меню для корректной работы CW-декодера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3907] Автор : Wireless Дата : 29.05.2018 10:13 Скажите, пожалуйста, схема VOX в версии схемы 1.4 проверенная? Не работает, с монтажем проблем нет. При подаче пилообразного сигнала с генератора на вывод VOX процессора - переходит на TX. При подачи на первую ногу MCP6001 - не работает. Также и с микрофоном. На MCP6001: - на 5й ноге +5В - на 3й ноге напряжение меняется от 1.1 до 2.1 В. При изменении VOX Level в меню от 0 до 4095 - на 1й ноге около 0 В -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3908] Автор : UA0BHC Дата : 29.05.2018 10:48 Wireless, у вас микруха MCP6001 без U? У них разная маркировка по даташиту. 289898 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3909] Автор : Wireless Дата : 29.05.2018 11:12 Да, всё верно. Я сижу , голову ломаю. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3910] Автор : ux1vx Дата : 29.05.2018 11:20 Палыч - перепрошивал трансивер. Подробней могу в личке рассказать, или на колл, собака, укр нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3911] Автор : R3DI Дата : 29.05.2018 11:21 Wireless, на вокс и lm321 подойдет, в другие места нужны R-T-R выходом или на 5в питания переводить, но и у 321 вроде есть ртр (нужно с определенными буквами), в теме Детали было упоминание, сделайте поиск. ПС. У Вас же 1.4 версия - там операционники уже на 5и вольтах, смело ставьте 321 , не обязательно с РТР выходом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3912] Автор : ux1vx Дата : 29.05.2018 11:35 Работал на маламуте в WPX contest cw. Заметил что мощные станции слышно в двух местах - на основной частоте, и тихонько на нечколько кГц выше - это зеркалка? Лечится как нибудь? Работа трансивера очень понравилась, сравнивал с SW-2012, так разница колосальная. Динамика, избирательность на высоком уровне. Слабые станции принимал в включеным УВЧ при отстройке от мощных буквально на еденицы герц - фильтра работают отлично. Не хватает нормальных настроек для работы с N1MM, это большой минус.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3913] Автор : RW6MQ Дата : 29.05.2018 11:46 Заметил что мощные станции слышно в двух местах - на основной частоте, и тихонько на нечколько кГц выше - это зеркалка? Да, зеркалка, у Вас не откалиброваны IQ каналы, на яндекс диске, у Евгения лежит видею как и на сколько давится зеркалка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3914] Автор : R3DI Дата : 29.05.2018 15:20 ------------------------------------------- на состояние 29.05.2018 версия схемы 1.4 Осмелюсь предположить, - что это уже окончательный вариант печатной платы для трансивера "Маламут". В ней учтены все дополнения/изменения о которых упоминалось в темах. Прошивка 4.9 - не последняя версия, есть и более поздние модификации (на стадии тестирования) и уверен, что будут еще. Особых отличий от предыдущих версий( для печатных плат ) нет - все версии совместимы (схемы/прошивки). В 1.4 добавлены RC фильтры (где это было необходимо), блокировочные конденсаторы, драйвер РА переведен на 8 Вольт, дополнительные разъемы... Кого интересует печатная плата для корпуса G767/8 - пишите в л/с. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3915] Автор : UT8UU Дата : 29.05.2018 16:04 Тоже хочу похвалить аппарат: работал в CQ WW WPX CW. Удалось провести 630 QSO на трех диапазонах (20-40-80 метров, 5 ватт, частный сектор, мачта 10 метров + G5RV + MFJ 993B, вокруг куча многоэтажек). Чувствительность у аппарата такая, что на 40 метров я слышал Бразилию, Китай, Австралию и Новую Зеландию. Сработал с бигганами из Штатов, Канады и Карибского бассейна. Использовал 5MContest (OmniRig, Yeasu FT817), так как N1MM в упор не видит частоту трансивера, да и переключает через пень-колоду. Порадовала очень красивая и быстрая панорама - это мой первый трансивер с панорамой, до этого работал на Kenwood TS-480HX и Icom IC-706mkIIg. В сравнении с этими аппаратами Маламут мне показался намного лучше. Но, так как параллельно их не сравнивал, то это, скорее всего, просто субъективное мнение владельца новой и красивой игрушки. Заметил вот такую странность: иногда после завершения передачи в трансивере отключались все фильтры и он орал благим матом, аж уши закладывало. Но стоило дать хотя бы одну точку, как все возвращалось на место. Кто сталкивался с таким? Это ошибка программы трансивера? Или "железная" проблема? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3916] Автор : Васген Дата : 29.05.2018 17:09 Подскажите без 4tr2 и 4 tr1 и без LM7805 (4U1) сигнал от антенны с входа, не будет доходить до частотных фильтров? Остальное все установлено, кроме усилителя выходного. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3917] Автор : RA1CAC Дата : 29.05.2018 17:26 ...у 321 вроде есть ртр (нужно с определенными буквами), ... Если быть точным: LMV321ILT (https://www.chipdip.ru/product/lmv321ilt). Я их поставил вместо всех MCP6001U. Все нормально работает. Кстати, а вот VOX я чойта не удосужился проверить... надо будет посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3918] Автор : UA0BHC Дата : 29.05.2018 17:29 Васген, приём будет доходить, через 4U2 R3DI, Евгений, SMA разъёмы для чего предназначены? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3919] Автор : Васген Дата : 29.05.2018 17:48 Васген, приём будет доходить, через 4U2 Ясно , ну вот она то (4U2) и не запитана получается от 4U1 (7805). Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3920] Автор : UA0BHC Дата : 29.05.2018 17:52 4U2 запитывается от 3,3 вольт же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3921] Автор : Васген Дата : 29.05.2018 18:26 4U2 запитывается от 3,3 вольт же. Точно, не увидел. Спасибо. Значит надо проверять перед ней и с нее выход в первую очередь от антенны. А от нее уже идет на BPF. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3922] Автор : UA0BHC Дата : 29.05.2018 18:39 Васген, так и не понял, заработал приёмник или нет? Нет нужды пока с фильтрами разбираться, можно коснуться металлическим предметом в руке (предварительно разрядив статику) конденсатора 6С7. Приёмник должен среагировать. Тогда уже дальше разбираться с PE-шками, аттеньюаторами, предусилителем, ДПФ-ами и всем остальным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3923] Автор : Васген Дата : 29.05.2018 18:45 Васген, так и не понял, заработал приёмник или нет? Нет нужды пока с фильтрами разбираться, можно коснуться металлическим предметом в руке (предварительно разрядив статику) конденсатора 6С7. Приёмник должен среагировать. Тогда уже дальше разбираться с PE-шками, аттеньюаторами, предусилителем, ДПФ-ами и всем остальным. UA0BHC (http://www.cqham.ru/forum/member.php?18195-UA0BHC) Да приемник заработал (шумов много только), вот и перешел к следующему этапу. Антенна Луч (20 метров) более менее слышно на 80 м. диапазоне, на 40-ке днем что то никого не услышал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3924] Автор : R3DI Дата : 29.05.2018 21:46 R3DI, Евгений, SMA разъёмы для чего предназначены? Для возможности подключить другой РА Добавлено через 58 минут(ы): Пробовал тут на днях асинхронный режим для юсбаудио, все отлично, но нужно освободить одну точку и тут мне попалось уже несколько статей где пишут -""Конечные точки (endpoints) Communication Class Interface требует для себя как минимум одну конечную точку, которая задействована для управления устройством. Для этого используется конечная точка по умолчанию номер 0 (default control endpoint). Дополнительно (что необязательно) может выделена другая конечная точка для оповещения о событиях (events notification). Обычно это конечная точка типа Interrupt IN..."" Может кому попадалась такая реализация cdc без Interrupt IN? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3925] Автор : Genadi Zawidowski Дата : 29.05.2018 23:39 без Interrupt IN Не попадалась, я сам делал. К сожалению, у меня при работе под Windows XP, Windows 7 такой виртуальный компорт не обменивался данными. Под W10 все нормально. В хост данные не шли - тех. детали сейчас не важны. Из хоста нормально. Если Вы внимательно посмотрели на дескрипотоы USB моих устройств (выкладывал, да и исходники доступны, дамп с подкрашеными ендпоинтами еще раз в данном сообщении) - при работе на FS контроллере STM32 с ограниченым количеством endpoints я на interrupt назначаю номера несуществующих - так работает. Метод назначить на один и тот же endpoint в разных интерфейсах тоже не очень работоспособен - перестает работать через USB HUB. но нужно освободить одну точку А с ресэмплером не понравилось чем-то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3926] Автор : R3DI Дата : 30.05.2018 00:32 Геннадий, рад поприветствовать ! Да тоже на один назначить не работает, на не существующий ер в 7ке срабатывает команда только однократно, потом ждет ответа от той ер. То ли глубоко в стек лезть и может возможно с несуществующей точке NAK отправить, то ли свой драйвер под винду писать на 2е ер - ни то ни то делать не хочется. Вроде как существует сокращенный класс CDC но его реализацию так ни где и не нашел. Ресемплинг я не делал, просто сказал хосту что нужно работать чуть быстрее(на выход) и буфер организовал - но это совсем костыль ))). В другом проекте (DDC DUC, ) мастером модуль будет (потому и осваивал асинхронный режим), там канечно и HS вывел и точек придостаточно, но думаю врдуг и в Маламуте красиво можно сделать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3927] Автор : UT0UM Дата : 30.05.2018 00:35 В другом проекте (DDC DUC, ) :up: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3928] Автор : Genadi Zawidowski Дата : 30.05.2018 00:40 У меня на Несуществующей работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3929] Автор : R3DI Дата : 30.05.2018 00:46 работает. под 10кой или и под 7ко тоже ? В любом случае, спасибо за пдф:пиво:, завтра на свежую голову "повкуриваю" нужную часть. на Несуществующей .. можт я на ней драйверы по запарке не переставил , проверю еще разок... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3930] Автор : Genadi Zawidowski Дата : 30.05.2018 01:54 Драйвер? Inf? Не влияет. Вариант с несуществующими EP работает под xp, w7 и w10. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3931] Автор : R3DI Дата : 30.05.2018 15:45 Вариант с несуществующими EP работает под xp, w7 и w10. у меня не работает - срабатывает одна команда (вход-выход), а потом перестает полинг от хоста точки IN, команды в трансивер проходят, но хост больше "не слушает" ответы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3932] Автор : Genadi Zawidowski Дата : 30.05.2018 19:30 Вы как раз описываете поведение с усеченным дескрипторов CDC на седьмой и xp. Сегодня проверю, присвою ендпоинтам прерываний номера с двенадцатого и посмотрю... На 407 процессоре нет возможности проверить, буду на f746. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3933] Автор : Genadi Zawidowski Дата : 30.05.2018 21:07 Проверил. на Windows7 не работает, на W10 работает... хост больше "не слушает" ответы. Да, под W7 именно эта проблема. На W10 что с этим USB CDC ни делай, все равно работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3934] Автор : R3DI Дата : 30.05.2018 21:20 Геннадий, спасибо за тесты, видать поправили в 10ке. Есть еще рабочий вариан в 7ке, тоже костыль:smile:, этой инт.точке указал то же адрес что и входная, работает не отваливается, но иногда ответы уходят не туда куда нужно (в интерапт). Из 2000 запросов пришло 1950 (примерно). Т.е. трансивер команды не теряет, хост его (ответ)может принять не туда куда нужно:-P, но это так - балавство канечно. Еще раз спасибо за проверку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3935] Автор : Genadi Zawidowski Дата : 30.05.2018 21:40 Совмещение адресов ендпоинтов плохо через хабы работает. Кажется, устройство не стартовало совсем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3936] Автор : ux1vx Дата : 31.05.2018 08:40 Откалибровал зеркалку. Начал с 7ки - там ничего не обнаружил, а на 20м была заметная. Она, кстати, хорошо давится в режиме авто. Осталась одна проблема - стыковка с N1MM. Для меня это очень важно. Товарищи програмисты, помогите! Help! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3937] Автор : R3DI Дата : 31.05.2018 11:45 ux1vx, по возможности поработую над этим вопросом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3938] Автор : ux1vx Дата : 31.05.2018 15:36 Спасибо! Значит есть надежда! 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3939] Автор : UT0UM Дата : 31.05.2018 17:18 стыковка с N1MM САТ нет? :shock: USB CAT интерфейс вроде ж есть ux1vx, а что не так? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3940] Автор : Genadi Zawidowski Дата : 31.05.2018 17:29 Может, поможет? http://www.cqham.ru/forum/showthread.php?16211-Цифровая-шкала-с-CAT-интерфейсом-на-PIC16F876A&p=902371&viewfull=1#post902371 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3941] Автор : UT0UM Дата : 31.05.2018 17:35 с N1MM может тут тоже можно так (http://www.cqham.ru/forum/showthread.php?28975-%CA%EE%ED%F2%F0%EE%EB%EB%E5%F0-SDR-%F2%F0%E0%ED%F1%E8%E2%E5%F0%E0-%D2%FE%EB%FC%EF%E0%ED&p=1297824&viewfull=1#post1297824) пофиксить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3942] Автор : ux1vx Дата : 01.06.2018 10:03 UT0UM, n1mm не видит частоту трансивера, диапазоны переключаются, манипуляция идет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3943] Автор : UT0UM Дата : 01.06.2018 23:57 n1mm не видит частоту трансивера ну все правильно у меня точно так же было по той ссылке, что я дал Тюльпан не успевал отвечать на запросы логгера поставьте Kenwood Slow в логгере -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3944] Автор : R3DI Дата : 02.06.2018 00:45 UT0UM, это вряд ли, в Маламуте кат 817й, в n1mm есть настройка полинга, но я не не пробовал (нет под рукой трансивера). Там проблема в том что прога 2е команды отправлчет одним пакетом , а вот ответ желает получать раздельно, те причина ясна, но пока нет времени заняться этим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3945] Автор : UT0UM Дата : 02.06.2018 01:12 кат 817й а ну я в монке тоже как-то не сразу его с н1мм подружил а нука щас поищу в чем там дело біло ааа точно так и было я им год назад писал что n1mm работает без проблем, потомуч то шлет одну команду, а n1mm + не работает, потому что шлет две Dear Andreas, it mistake I tested newest FW 2.2.10 no CW via DTR and also some trouble with N1MM+ CAT N1MM send one command to radio 00 00 00 00 03 (Read Frequency and mode) but new N1MM PLUS send 2 commands to radio 00 00 00 00 03 and 00 7A 00 00 BB (read eeprom of radio) and radio answer nothing and I can't use mcHF with new N1MM+ contest logger can you fix it? 73! Alexey UT0UM Данила пофиксил это в итоге Может у них "скопипастить" этот кусок? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3946] Автор : Алекс88 Дата : 03.06.2018 16:00 Доброго всем вечера! Две недели не включал Маламута (распаяна приемная часть) . Сегодня включил-на экране красным цветом надпись: DFU MODE и больше ничего. На кнопки не реагирует...:-( Что могло произойти и как из этого модуса выйти? Пробовал перепрошивать-прошивается нормально а потом опять та же надпись на экране... Буду благодарен за любой совет! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3947] Автор : autosat Дата : 03.06.2018 16:10 Проверьте цепь KEY1 (46 нога 11U1) на замыкание на общий провод... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3948] Автор : Алекс88 Дата : 03.06.2018 16:21 Сделаю спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3949] Автор : RW6MQ Дата : 03.06.2018 16:37 И промойте изопропиловым спиртом тожки stm и максимум до чего сможете подручными средствами жотянуться. Может причина и не в остатках флюса, но лишним небудет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3950] Автор : Алекс88 Дата : 03.06.2018 16:42 Самое "чудное" сто до этого все работало....Придется еще раз STM промыть может быть остатки паяльной пасты где то "спрятались". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3951] Автор : Love777888 Дата : 03.06.2018 19:46 Парни приветствую! А может кто поделится, кто чем паяет, у меня пайка из за пасты, флюса получается жирной, оно в принципе не проводит ток, т.к не кислотный флюс, но монтаж отмыть после этой пасты сложно, спирт не особо берет ее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3952] Автор : UA0BHC Дата : 03.06.2018 20:16 Love777888, паяю паяльником с тонким жалом, ссылку давал на него в деталях. Припой юзаю вот такой для smd и микрух с малым шагом ног https://www.chipdip.ru/product0/9000383646 (сейчас нет в наличии) спиртом нормально моется, да пишут про него, что можно не мыть. Есть тема "Кто чем паяет?", в которой затронуты все аспекты http://www.cqham.ru/forum/showthread.php?21655-%CA%F2%EE-%F7%E5%EC-%EF%E0%FF%E5%F2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3953] Автор : Алекс88 Дата : 03.06.2018 22:26 В общем причина найдена! Кондер 10н который был припаян на контакт кнопки MODE оказался "сопротивлением" и коротил 46ю ногу на землю... спасибо всем кто "не прошел мимо"....:super::super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3954] Автор : Сармат Дата : 04.06.2018 01:05 .... Кондер 10н который был припаян на контакт кнопки MODE оказался "сопротивлением" и коротил 46ю ногу на землю... спасибо всем кто "не прошел мимо"....:super::super: Когда собирал SW-2015 в партии 100Н оказались такие коротыши, главное после сборки проблем не было, но со временем штуки 5 кондеров ушли в 20 Ом по очереди. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3955] Автор : UU7JD Дата : 04.06.2018 14:47 Подскажите пожалуйста, кто в курсе дела - я правильно понимаю, что встроенный электронный ключ Маламута не имеет памяти на 1 знак? Или где-то есть возможность переключения режима? Как-то неудобно работать без памяти одного знака, постоянно ошибки возникают. Обычно во всех ключах новой разработки и встроенных в трансиверы можно выбирать желаемый вариант... И еще один момент - при выборе в меню ручного ключа (man) и нажатии на PTT (на тангенте) трансивер переходит на передачу вне зависимости от того, подключен к разьему вертикальный ключ (или внешний электронный ключ) или нет. А если выбран режим auto, то такого не происходит. Это специально так задумано или у меня что-то не то? Добавлено через 46 минут(ы): И еще один момент - как можно регулировать громкость сигнала самоконтроля в телеграфном режиме? Кто CW работает - подскажите пожалуйста... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3956] Автор : R3DI Дата : 04.06.2018 14:58 встроенный электронный ключ Маламута не имеет памяти на 1 знак? да это так - не имеет. И еще один момент - при выборе в меню ручного ключа (man) и нажатии на PTT (на тангенте) трансивер переходит на передачу вне зависимости от того, подключен к разьему вертикальный ключ (или внешний электронный ключ) или нет. А если выбран режим auto, то такого не происходит. Это специально так задумано или у меня что-то не то? да специально. И еще один момент - как можно регулировать громкость сигнала самоконтроля в телеграфном режиме? убавить громкость самоконтроля можно через меню "Moni Vol" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3957] Автор : UU7JD Дата : 04.06.2018 15:39 Евгений, а очень сложно модифицировать ПО встроенного ключа - так, чтобы память на 1 знак была? Для CW это гораздо более удобный режим. Телеграфисты будут очень благодарны... Или сделать так, чтобы в режиме вертикального ключа при нажатии тангенты трансивер не переходил в режим передачи несущей. Чтобы можно было использовать внешний ключ и тангенту в качестве альтернативы педали (или иметь возможность параллельно подключить педаль) Попробую объяснить, для чего это нужно. Если звать DX-станцию или станцию со спец. позывным нужна оперативность при переходах RX/TX - они как правило работают на высоких скоростях. Проще всего такая оперативность достигается при использовании отдельной педали PTT (ну или тангенты). Использовать автоматический переход на передачу при нажатии ключа неудобно - если задержка CW_Delay маленькая, то антенное реле хлопает при каждой посылке. Если CW_Delay большая - теряется начало ответа вызываемой станции. А вот при приеме CW Маламут показал себя с самой лучшей стороны... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3958] Автор : R3DI Дата : 04.06.2018 16:02 при использовании отдельной педали PTT передачу по РТТ делал для возможности передавать не имея ключа под рукой, но есть в этом нет необходимости то можно будет этот режим "выкинуть". так, чтобы память на 1 знак была? я не совсем понимаю что это значит - "память на 1 знак", и не уверен что есть ресурс у мк для его реализации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3959] Автор : UU7JD Дата : 04.06.2018 16:46 Евгений, передача без ключа по PTT - ну это для очень больших экстремалов. Практически это вряд ли когда-нибудь понадобится. Проще из гвоздя, монетки и пары проводов соорудить некое подобие вертикального ключа. Память на один знак это вот что. Представьте, что Вы передаете букву Н: тире и точка. Кратковременно манипулятором замкнут контакт для передачи тире и сразу переброшен в положение для передачи точки. Тире еще передается, но уже замкнут контакт ключа для передачи точки. Если есть память на 1 знак, то после окончания передачи тире будет передана точка. Буква Н нормально прозвучала. Если такой памяти нет, то точка передана не будет - потому что надо было подождать окончания передачи тире и только после этого замкнуть контакт для передачи точки. Таким образом, вместо буквы Н будет передана буква Т (одно только тире). Ошибочка... И такие сбои практически неизбежны, потому что достаточно сложно контролировать моменты окончания передачи знаков (точек и тире), из которых состоят буквы, цифры и т.п. . Поэтому наличие в ключе памяти на 1 знак - это вещь очень даже нужная... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3960] Автор : R3DI Дата : 04.06.2018 17:36 UU7JD, спасибо за пояснение. Возможно можно будет так сделать, думаю... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3961] Автор : Алекс88 Дата : 04.06.2018 21:00 Точно так оно и случилось... кетай:evil: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3962] Автор : ux1vx Дата : 04.06.2018 21:17 Приветствую всех маламутчиков! Сегодня замерил мощность, точнее напряжение на нагрузке 75ом, 50ом нет. Получилось 1,8 - 14В, 3,5 - 17, 7 - 20, 10 - 13, 14 - 30, 18 - 10, 21 - 12, 24 - 14, 28 - 30. На всех бендах в настройках ТХ стоит 100%. Как лечить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3963] Автор : VitaliyDF Дата : 04.06.2018 21:28 Я бы с ДПФ начал, у меня он на десятке и сороковке в два раза мощность резал. Вылечил заменой индуктивностей на выводные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3964] Автор : R3DI Дата : 04.06.2018 21:29 ux1vx, да как и обычно - вычислять "больной узел" методом последовательного исключения. В ТХ сетапе настройки , к примеру, по 30% по всем бендам сделать, компенсацию 1.0/0.0 и покаскадно вести проверку от кодека до антенного разьема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3965] Автор : ux1vx Дата : 04.06.2018 21:45 R3DI - компенсацию 1.0/0.0 - это где выставляется? Сам не нахожу? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3966] Автор : UA9olb Дима Дата : 04.06.2018 22:03 в настройках ТХ стоит 100% У меня это не есть максимум( на разных бендах по разному.( включал тон и крутил по максимуму) Запитать выходной каскад от 22 в резко подскакивает мощность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3967] Автор : rt6dz Дата : 04.06.2018 22:16 Будьте добры подскажите что чем можно заменить по версии 1.31.Нашел список на яндексе.Но читая форум ктото менял указанные в списке на другой аналог.Если конечно не затруднит .И еще можно качественное фото распаянной платы для оринтации для сборки.Желательно на почту rk3qj@mail.ru Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3968] Автор : UA9olb Дима Дата : 04.06.2018 22:32 ктото менял указанные в списке на другой аналог. Это наверно от безысходности.А так если найдете все по списку и замечательно.Лучше смотреть в теме Детали для маломута. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3969] Автор : UU7JD Дата : 05.06.2018 10:04 Заметил вот такую странность: иногда после завершения передачи в трансивере отключались все фильтры и он орал благим матом, аж уши закладывало. Но стоило дать хотя бы одну точку, как все возвращалось на место. Вчера тоже произошло аналогичное событие. Складывается впечатление, что это имеет место быть только в режиме CW при использовании автоматического перехода RX/TX - по нажатию телеграфного манипулятора. Если переход RX\TX выполняется нажатием PTT на тангенте, то этот эффект не наблюдается. В SSB такого тоже пока не было. Но статистика еще очень небольшая... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3970] Автор : R3DI Дата : 05.06.2018 10:47 Бывает и в ССБ, прм прд видимо реже, и не с фильтрами там, а похоже, на рассинхронизацию по i2s, это так редко что довольно сложно поймать что бы начать анализировать и с этим чтото делать. В монке видел интересные строки, похоже именно для этого, в функции автобаланса при запредельных значений происходит перезапуск и кодека и и2с, но мне такой подход не нравиться, да и автобаланс не всегда активен. ux1vx, IQ Imb[band] и там поля для ТХ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3971] Автор : bugsy Дата : 05.06.2018 13:39 Добрый день! Столкнулся с о следующей проблемой: уровень сигнала на выводе 30 процессора SCK существенно занижен, около 1 вольта. На этой линии по схеме только кодеки и дисплей. Дисплей отключал, кодеки и процессор менял, плату мыл, прошивку и сброс делал - результат тот же. Это только у меня? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3972] Автор : RA1CAC Дата : 05.06.2018 16:15 ...на выводе 30 процессора SCK существенно занижен, около 1 вольта.... Это только у меня?Возможные варианты: 1. Залипуха. 2. Непротрав ПП (маловероятно) Вопросы: 1. Чем измеряли 1в? 2. Дисплей работает? 3. Что будет, если подтянуть сигнал 30 выв. к +3.3V резистором 1...3к? 4. Что будет, если отпаять 30 вывод проца от ПП и посмотреть осциллом? 5. Что будет, если проследить всю дорожку от 30 вывода, с хорошей лупой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3973] Автор : bugsy Дата : 05.06.2018 22:31 Измерял осциллографом, вывод поднимал, подтягивающий резистор 3,9 кОм на шину 3,3 Вольта ставил. Дисплей работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3974] Автор : R3DI Дата : 05.06.2018 23:25 Дисплей работает. тогда что смущает, то что осциллограф показывает ? Может у Вас ограничение по диапазону на нем, там в мк SCK выше 48 мГц (если мне не изменят память). Фото можете прикрепить осциллограммы на приборе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3975] Автор : ua3ycv Дата : 06.06.2018 07:44 Фото можете прикрепить осциллограммы на приборе?согласен-о проблеме мало информации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3976] Автор : bugsy Дата : 06.06.2018 08:34 Чуть попозже выложу фото осциллограмм. Кстати, может быть кто-то посмотрит в своем аппарате, какая частота . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3977] Автор : RA1CAC Дата : 06.06.2018 08:45 ...вывод поднимал, подтягивающий резистор 3,9 кОм на шину 3,3 Вольта ставил...И...? Как ведет себя сигнал на 30 выв. в этих случаях? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3978] Автор : bugsy Дата : 06.06.2018 10:34 Амплитуда та же самая. На осцилограмме на 30 выв.видны пачки импульсов, похожие на MOSI, но существенно меньшей амплитуды. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3979] Автор : R3DI Дата : 06.06.2018 13:04 какая частота . 52 мГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3980] Автор : RA1CAC Дата : 06.06.2018 15:09 bugsy, а более конкретно можно? А то я своего личного телепата уволил недавно - ни фига не понимал чужих мыслей, гад.:ржач: Амплитуда та же самая... Это в каком случае? С поднятой 30-й ногой, или с подтягивающим резистором? ...На осцилограмме на 30 выв.видны пачки импульсов, похожие на MOSI, но существенно меньшей амплитуды. А это в каком случае? ...похожие на MOSI, но существенно меньшей амплитуды.Существенно меньшей чем что? Лог. 1? 1 Вольт? Насколько существенно? Одной из причин "существенно меньшей амплитуды" может быть малая длительность импульса SCK - входные цепи осциллографа его слегка интегрируют, поэтому верхняя часть импульса SCK просто не отображается. Если с поднятой 30-й ногой та же амплитуда - 1. Забить. 2.Не париться. 3. Искать проблемы в другом месте. В случае с подтягивающим резистором, есть подозрение на замыкание с каким-то сигналом. Но тогда, возможно, не работал бы дисплей. Поскольку дисплей работает, для успокоения совести вернуться к п "5. Что будет, если проследить всю дорожку от 30 вывода, с хорошей лупой?" - чтобы исключить залипуху. Если исследование с лупой не дадут результата - 1. Забить. 2.Не париться. 3. Искать проблемы в другом месте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3981] Автор : bugsy Дата : 06.06.2018 15:56 Спасибо, что ответили. Возможно мой осциллограф такую частоту (52 МГц) и не тянет. Однако амплитуда остальных сигналов на шине SPI кроме SCK нормальная - 3,3В. Работает и ладно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3982] Автор : AlexJ Дата : 06.06.2018 19:56 осциллограф такую частоту (52 МГц) и не тянет У меня 100МГц осциллограф, на 31н. размах 3,2В, на 30н. около 2х В. Так что не берите в голову..., все это ошибки при измерениях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3983] Автор : un7las Дата : 08.06.2018 07:04 Привет всем.Я так понял что после ухода в аварию проц не лечится и придется заказывать новый? И как сделать чтоб проц не уходил в аварию? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3984] Автор : UA9olb Дима Дата : 08.06.2018 07:10 ухода в аварию А как это? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3985] Автор : RA1CAC Дата : 08.06.2018 07:19 после ухода в аварию проц не лечится и придется заказывать новый? А как это?Рискну предположить, хотя недавно писал, что уволил личного телепата: 1. ДТП - да не лечится. 2. Запитал проц от 12в. - улетучился волшебный дым - да, не лечится. Больше ничего в голову не приходит. Какие еще типы аварий бывают у чипов STM? un7las, просветите подробнее, плз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3986] Автор : UA0BHC Дата : 08.06.2018 07:48 Было похожее. Оказалось не исправен был кодек по приему. После его выпайки стало все запускаться. Аппарат работал отлично. Питал от аккумулятора. При низком напряжения питания проц ушел в аварию видимо. После этого началос вот такое. При удерживании кнопки меню запускается как надо и прием идет нормальный. видимо, вот так. FRAM сбрасывали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3987] Автор : RA1CAC Дата : 08.06.2018 08:38 ...Питал от аккумулятора. При низком напряжения питания проц ушел в аварию видимо... ... FRAM сбрасывали?Не представляю, до какой степени надо посадить аккумулятор, чтобы перестал отрабатывать стабилизатор 3.3в - такое возможно? Однако, на мой взгляд, пониженное питание - не причина для порчи памяти - процессор работоспособен до напряжения 1.8в. Но очевидно, что прошивка или FRAM - испорчены. Не берусь предположить о причинах - здесь писали, что такое возможно при большом КСВ. А что если сначала обновить прошивку а потом сбросить FRAM? (с) Капитан Очевидность :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3988] Автор : R3DI Дата : 08.06.2018 10:50 Мне тоже пока не ясно описание уходав аварию, получается может уйти при каждом вкл. и выключении питания ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3989] Автор : UR3ACH Дата : 08.06.2018 13:02 Какое напряжение после ПФ в режиме ТХ при 100% мощности? Сигнал однотоновый. Я намерил 150мВ. Диапазон 3,5 МГц. Нагрузка 50 Ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3990] Автор : Палыч Дата : 08.06.2018 14:19 Я намерил 150мВ. Диапазон 3,5 МГц. Нагрузка 50 Ом. Андрей, подскажите для ориентировки 150мВ какие? Up-p или Uэфф? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3991] Автор : UR3ACH Дата : 08.06.2018 15:25 Up-p или Uэфф? Uэфф. Измерял ВЧ вольтметром В3-48А. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3992] Автор : Васген Дата : 08.06.2018 16:09 Подскажите, есть у кого 3-д модель лицевой панели под корпус 768 (дисплей 2.8)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3993] Автор : ut29641 Дата : 09.06.2018 07:05 290654 140х190х80. Печатка не доделана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3994] Автор : UR3ACH Дата : 09.06.2018 23:53 Какое напряжение после ПФ в режиме ТХ при 100% мощности? Сигнал однотоновый. Я намерил 150мВ. Диапазон 3,5 МГц. Нагрузка 50 Ом Процитирую сам себя. Какое напряжение??? Всем лень тыкнуть осциллографом или ВЧ вольтметром? А то в соседней ветке все подбирают до мН или до mH. Все строят... А что-где-когда... Да хз. У меня работает и ладно. Мне надо 0,3 В минимум для усилителя. Хотя он такой как у автора. Ну почти такой. С SW-20ХХ. Вот по этому и спрашиваю. Думаю что делать? Или ставить еще предварительный каскад, чего не хотелось бы. Или можно накрутить что-то программно. Хотя везде все по 100% в настройках. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3995] Автор : R3DI Дата : 10.06.2018 00:50 UR3ACH, у меня сейчас нет Маламута, померить не могу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3996] Автор : Сармат Дата : 10.06.2018 01:19 Процитирую сам себя. Какое напряжение??? Всем лень тыкнуть осциллографом или ВЧ вольтметром? А то в соседней ветке все подбирают до мН или до mH. Все строят... А что-где-когда... Да хз. У меня работает и ладно. Мне надо 0,3 В минимум для усилителя. Хотя он такой как у автора. Ну почти такой. С SW-20ХХ. Вот по этому и спрашиваю. Думаю что делать? Или ставить еще предварительный каскад, чего не хотелось бы. Или можно накрутить что-то программно. Хотя везде все по 100% в настройках. Ну нет такой необходимости в предварительном каскаде. Даже при 60 проц выхода в меню, сигнал после ДПФ раскачивает УМ (как в SW20XX) до 80 вольт размаха на 50 Ом при 14вольтах питания. Правда, у меня ДПФ намотаны на кольцах Т25-2(6). 290716 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3997] Автор : R3DI Дата : 10.06.2018 01:48 сигнал после ДПФ раскачивает УМ (как в SW20XX) до 80 вольт размаха на 50 Ом при 14вольтах питания. обалдеть - 128 Вт при 14 Вольтах УМ (как в SW20XX) - это круто. Я тоже такой как в SW20XX хочу 8-) аа, размах - непривычно это как то видеть, тогда это это 16 Вт получается...не, не хочу )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3998] Автор : Integral Дата : 10.06.2018 02:30 Что бы раскачать усилитель по схеме SW-20XX, нужно на вход 300-350мВ (действующее значение). Так заложено в самом трансивере SW. При этом напряжение на затворах RD16 должно быть 8-9В. Естественно если уровень приходит меньше, то и на выходе будет соответственно столько же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 3999] Автор : ua9xos Дата : 11.06.2018 18:22 Подскажите, есть в настройках в меню возможность подогнать показания вольтметра? Что то не нашел. Или только делитель подбирать 11R1 и 11R2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4000] Автор : ua3ycv Дата : 11.06.2018 18:39 искали?в меню 3 позиция пункт 2 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4001] Автор : UR3ACH Дата : 14.06.2018 21:43 Все!!!! Закрутил крышки. Спасибо Евгению R3DI за конструкцию и всем участникам кто помогал. Еще радиолюбителям с сайта ur4qbp. Небольшое видео https://www.youtube.com/watch?v=mJxmE_NPmR4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4002] Автор : UT8IFM Дата : 14.06.2018 22:00 Ну а с помехой на вч от энкодера разобрались??? Какую антенну применяете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4003] Автор : UR3ACH Дата : 14.06.2018 22:11 Ну а с помехой на вч от энкодера разобрались??? Да. Все было до боли просто. Она есть,но как у всех. Если прислушиваться и без антенны. Отверстия для крепежа платы я рассверливал а они были с металлизацией. Ну и там где разьем с ДПФ я просто не прикручивал плату при настройке. Пошевелил- контакт на корпус возле разьема появился и помеха существенно снизилась. Еще поменял плохие новые микросхемы по приемной части на 4558 взятые со старого модема. Снизился шум по приему. Наверно микросхемы какие-то "левые". Еще поменял все конденсаторы на 0,1мкф. Половину из них после выпайки имели емкость 50-400 пф. Или и того меньше. Вот и купи дешевых комплектующих. Какую антенну применяете? Inwerted V на 80 метров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4004] Автор : Wireless Дата : 15.06.2018 18:41 Добрый день! Функция MUTE реализована? Не могу найти в меню. Прошивка 4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4005] Автор : R3DI Дата : 15.06.2018 19:54 Wireless, если Вы про активацию на передачу - то нет, как то и не понадобилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4006] Автор : Wireless Дата : 15.06.2018 20:08 Нет, я имею виду обычный Mute, выключение звука при приеме в динамике. Если не затруднит - подскажите, как он включается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4007] Автор : R3DI Дата : 15.06.2018 20:11 Wireless, если память не совсем изменяет, то в меню sdr-mute level. А для чего? Не проще громкость регулятором убрать в ноль? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4008] Автор : Wireless Дата : 15.06.2018 20:25 R3DI, mute level я нашел, он выставляет лог 0 и 1 в зависимости от установленной микросхемы УНЧ. В моем понимании, если есть mute level - значит должен выключатся звук при приеме одной кнопкой. Но я так понял, он только на передачу включает монитор. В принципе, можно и в ноль убавить регулятором. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4009] Автор : R3DI Дата : 15.06.2018 20:39 Это не тот mute что в телевизорах, и кнопки такой в меню нет. Был для УНЧ в момент передачи, что бы он не "пел", но tda-шка работала ужасно с этим режимом да потом появилась более-менее нормальная антенна и УНЧ при передачи петь перестал, PAM с mute работает отлично, но отпала сама необходимость. А монитор активируется кнопкой MONI, напомню - это не совсем монитор, а транслирует в динамик то что идет на смеситель, соотв АМ и ФМ будут звучать в своей первозданной красоте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4010] Автор : AndreySWL Дата : 17.06.2018 12:10 Доброго времени суток всем! Вчера стал обладателем Маламута. Аппаратом доволен на все 100 % . Вопрос такой имеется : как подключить его к компу для работы в цифре? Какие шнуры необходимы ? Какие настройки нужно делать в компе? Гугл мне не помог в данном вопросе. Буду признателен за помощь , заранее спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4011] Автор : UA0BHC Дата : 17.06.2018 13:14 AndreySWL, почитайте здесь http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot/page171&highlight=%E4%F0%E0%E9%E2%E5%F0 и здесь http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot/page341&highlight=%E4%F0%E0%E9%E2%E5%F0 сам драйвер на яндекс диске по ссылке в шапке этой темы. Искать CAT_inf.zip -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4012] Автор : AndreySWL Дата : 17.06.2018 13:44 Искать CAT_inf.zip Там 3 файла - их все надо скачивать и устанавливать ? Или какой-то один ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4013] Автор : UA0BHC Дата : 17.06.2018 13:53 прочитайте сообщение #1705 по первой ссылке. Каждый драйвер на установленную в Маламуте полосу, как я понял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4014] Автор : AndreySWL Дата : 17.06.2018 15:34 Похоже радость была недолгой. Вчера, после распаковки, так как аккумулятор был разряжен до 9 вольт, я слушал Маламут только на прием, на передачу даже и не пробовал включать. Сегодня зарядил аккум, в Маламуте показывает 12.4 В , пробую включить передачу на тангенте,которая шла вместе с трансивером, видно мой сигнал на индикаторе, но индикатор выходной мощности показывает ноль! В меню выставлено 25% ( пробовал разные варианты,толку ноль) , индикатор КСВ показывает 0.1 - ничего не работает вообщем :-| Брак получается??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4015] Автор : UT8IFM Дата : 17.06.2018 15:40 AndreySWL, Ну а на выходе аппарата сигнал есть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4016] Автор : R7KGA Дата : 17.06.2018 15:41 Входной источник какой указан? LINE, MIC, USB ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4017] Автор : AndreySWL Дата : 17.06.2018 16:08 Сигнал есть, на приемник переносной слышу себя, источник указан MIC Добавлено через 12 минут(ы): источник MIC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4018] Автор : UA0BHC Дата : 17.06.2018 19:25 AndreySWL, покажите как он выглядит. Ибо не всё что называют Маламутом на самом деле он. Фото снаружи, под крышками. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4019] Автор : RA1CAC Дата : 18.06.2018 06:07 Все!!!! Закрутил крышки. Поздравляю!:пиво: Спасибо Евгению R3DI за конструкцию и всем участникам кто помогал. Еще радиолюбителям с сайта ur4qbp. Присоединяюсь. ...индикатор выходной мощности показывает ноль! В меню выставлено 25% ...А нагрузка какая-нибудь подключена? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4020] Автор : UT8UU Дата : 18.06.2018 12:37 индикатор КСВ показывает 0.1 - ничего не работает вообщем :-| У меня было похожее: индикатор показывал то же самое в любом из режимов, включая передачу тона. Оказалось, что отпал проводок коммутации РА. Передача реально шла, а усилитель не включался, из-за чего и КСВ был 0.1. Посмотрите провод управления, который идет от самой большой гребенки на плате трансивера к усилителю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4021] Автор : AndreySWL Дата : 18.06.2018 20:17 Ибо не всё что называют Маламутом на самом деле он А нагрузка какая-нибудь подключена? У меня было похожее: индикатор показывал то же самое в любом из режимов, включая передачу тона. Оказалось, что отпал проводок коммутации РА. Передача реально шла, а усилитель не включался, из-за чего и КСВ был 0.1. Посмотрите провод управления, который идет от самой большой гребенки на плате трансивера к усилителю. Это действительно Маламут,без сомнений. 291263 Нагрузка - антенна, 49 Ом КСВ 1.08 j = 0 Все это исходя из показаний антенного анализатора "UT8UU" - да, точь-в точь всё как вы описали,такие же симптомы у меня. К сожалению, ничего уже проверить не могу, трансивер уехал в ремонт к продавцу, так, как всё это произошло на второй день после того,как я его принес домой. Продавец с пониманием отнесся к моей проблеме и решил помочь. За что ему огромное человеческое Спасибо! На фото напряжение питания 8.9 В - да, это действительно так и было, аккумулятор был разряжен, НО! я его на передачу в первый день не включал, только на приеме работал.И на следующий день,зарядив аккумулятор включил ТХ ,но ..... :-|:-|:-| включая передачу тона Да, и этот режим пробовал - безрезультатно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4022] Автор : UA0BHC Дата : 18.06.2018 20:23 Дьявол в деталях, точнее в блоках. Истинный Маламут - одноплатный. Если есть блоки, - это не совсем он, хотя морда и прошивка одинаковые. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4023] Автор : Сармат Дата : 18.06.2018 23:21 Дьявол в деталях, точнее в блоках. Истинный Маламут - одноплатный. Если есть блоки, - это не совсем он, хотя морда и прошивка одинаковые. А что есть истинные и ложные Маламуты? Не надо людей вводить в заблуждение. Какие то дьяволы в деталях. Есть Маламуты исполненные на авторских платах и на платах других радиолюбителей. По схеме они ни чем не отличаются. Если есть дополнительные платы, то значит добавлено что то свое, которое не ухудшает характеристики самого аппарата. У меня есть дополнительная плата, где размещены стабилизатор 12-5 в, которая вынесена с основной платы, узел коммутации РА и преобразователь 12-24 в. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4024] Автор : autosat Дата : 19.06.2018 23:39 Как-то поднимался вопрос о затухании PE4259 выше 10МГц. Вот АЧХ сигнала "сквозь" 2 PE4259 в режиме bypass, точки подключения 3J1,3J2: 291319 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4025] Автор : RA1CAC Дата : 20.06.2018 06:56 ...вопрос о затухании PE4259 выше 10МГц. ... Спасибо. Но может быть все же ниже 10мгц? Потому как РЕ4259 сертифицирована для частот 10...3000 мгц. А АЧХ ДПФ снимали в 3J1, 3J2? Можете показать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4026] Автор : Genadi Zawidowski Дата : 20.06.2018 07:22 Кажется первый маркер на 1.3 МГц стоит на скриншота. По ощущениям что-то не то, может прибор калибровался по тракту? Слишком ровно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4027] Автор : RA1CAC Дата : 20.06.2018 07:30 Геннадий, приветствую. Неравномерность небольшая есть - на первом маркере -0.72 дб, на втором = -1.1, разница 0.38дб. По даташиту затухание ПЕшки примерно 0.34 дб. Если измеряются всего две ПЕшки (3U15, 3U16) - ИМХО, очень даже похоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4028] Автор : UA9olb Дима Дата : 20.06.2018 07:50 АЧХ сигнала "сквозь" 2 PE4259 в режиме bypass, Да ещё интересно было бы посмотреть АЧХ до смесителя в обход АТТ,УВЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4029] Автор : autosat Дата : 20.06.2018 10:28 Но может быть все же ниже 10мгц? Да, похоже так. Не стал искать тот пост, запомнилось значение 10мгц. Но тем не менее. прибор калибровался по тракту? Калибровался правильно, по инструкции. АЧХ ДПФ 3.8...7.2 МГц: 291323 6.2...10.4 МГц: 291324 9.8...15 МГц: 291325 Остальные фильтра ещё полностью не запаяны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4030] Автор : RA1CAC Дата : 20.06.2018 12:40 ... 6.2...10.4 МГц: 291324 ... Остальные фильтра ещё полностью не запаяны.В этом фильтре смущает меня завал 4.39дб на ВЧ. Дело в том, что некоторые вполне с виду исправные ПЕшки, в отключенном состоянии, могут подсаживать сигнал на включенном диапазоне. Я у себя таких две нашел. Попробуйте так: включите прибор на непрерывное сканирование АЧХ. Затем быстро пощелкайте всеми диапазонами (неважно, запаяны или нет). Затем вернитесь на 6.2...10.4. И обратите внимание на ВЧ половину характеристики. Если ВЧ половина поднялась - продолжайте сканирование еще минут 5..10. Если заметили, что ВЧ область стала потихоньку опускаться - ищите неисправную ПЕшку. Для этого надо найти диапазон, на котором характеристика фильтра 6.2...10.4 восстанавливается - в нем причина. Если характеристика фильтра после всех манипуляций остается без изменений - значит, скорее всего ПЕшки исправны, но тогда не помешает настройка фильтра. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4031] Автор : UB0BAA Дата : 20.06.2018 15:58 Строб маламута с SN65LVDS34 и сишкой на плате версии 1.4. Вопросы такие: 1. Для SN65LVDS34 10R10, 10C26, 10R14 ставить не надо? 2. Для чего нужен каскад на 4Q6? 3. Какие ставить 12R2, 12C12, и что значит пунктир на 12R1, 12R3? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4032] Автор : R3DI Дата : 20.06.2018 16:19 UB0BAA, 1 только 10C26 2 для внешнего упр чего-либо 3 зависит от типа мс ( на схеме подписано, для 8406 подписано левее от нее ), пунктир - опционально/подбор В этом фильтре смущает меня завал 4.39дб на ВЧ. это фильтр не оптимальный, собрал из того что было в магазине на тот момент , лучше пересчитать его. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4033] Автор : RA1CAC Дата : 20.06.2018 16:25 ...это фильтр не оптимальный...Не факт. ПЕшки тоже могут глючить. Посмотрим, что autosat скажет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4034] Автор : autosat Дата : 20.06.2018 22:31 PE4259 в порядке. Вот АЧХ фильтра на 20...30 МГц: 291379 Вопрос — а будет ли лучше на таких индуктивностях? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4035] Автор : Radiotester Дата : 20.06.2018 23:13 Наблюдаю картину - все Маламуты не на авторских платах имеют дпф и восновном отдельно. Но вопрос зачем тогда ставят дроссели полосатики в качестве индуктивностей и те же самые PEшки? Можно же на колечках сделать и построечнные конденсаторы поставить. Веть разброс у дросселей есть, и у smd и выводных 0307. На спичках экономия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4036] Автор : Genadi Zawidowski Дата : 20.06.2018 23:25 дроссели полосатики в качестве индуктивностей У них выше добротность, чем у мелких, есть надежда на меньшие потери в полосе пропускания. Покрупнее габариты еще отодвигают всякие нелинейные эффекты у фильтров в область больших мощностей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4037] Автор : Radiotester Дата : 21.06.2018 03:15 Genadi Zawidowski, Геннадий, я сколько этих полосатиков перебрал и те что менее 1мкгн все идут чуть ли не с разбросом в 30%. Ваши доводы справедливы, но фильтры как на картинках в рфсим не получаются на них. Всеравно приходится играть емкостями и один черт со своими "потерями" в равномерности в полосе прозрачности. SMD murata куда не шло, но попадались потделки. Хотя один мужик давно закупился полосатиками на ибей и доволен теми индуктивностямите что ниже 1мкгн. Но это не Китайские у него... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4038] Автор : RA1CAC Дата : 21.06.2018 07:09 ...Вот АЧХ фильтра на 20...30 МГц: 291379 Вопрос — а будет ли лучше на таких индуктивностях?Скорее всего нет. Самый капризный фильтр в конструкции. Хотя я бы попытался подстроить. На мой взгляд, надо пересчитать полосу пошире - 20..33 мгц. Тогда затухание будет меньше на частоте 29.7. И индуктивности я бы взял типоразмер покрупнее - 1210 например. Если ставить боком - все влезет на плату. И при настройке не забывайте, что прибор может внести свои коррективы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4039] Автор : RX9UAO Дата : 21.06.2018 08:09 Немного"разбавлю" тему,пришли теплые,даже через чур деньки и начались испытания Маламута в "деле"(на природе).Пока очень доволен.Жене огр.спасибо. https://cloud.mail.ru/public/3pbM/vEyuPdx41 https://cloud.mail.ru/public/CJrg/seVNvNHGc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4040] Автор : RA1CAC Дата : 21.06.2018 08:28 ...испытания Маламута в "деле"(на природе)...Маламут, который на столе - хорош. А вот тот, который на заднем плане бегает - мелковат, мелковат... :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4041] Автор : autosat Дата : 21.06.2018 08:42 RX9UAO, Сергей, от чего взяли ножку и сетку для динамика? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4042] Автор : RX9UAO Дата : 21.06.2018 09:31 Ножка-спица от велосипеда,сетка--------291388 :-P autosat (http://www.cqham.ru/forum/member.php?32483-autosat) и еще добавлю для наглядности одно фото291391, как подключается программатор, не обращайте внимание(просто под рукой нет другого фото)свою порцию критики я уже получил.Просто мне так удобно,да и за программатор деньги уплочены,выбрасывать жалко.А так убрал заглушку,и прошивай "себе на здоровье". Добавлено через 10 минут(ы): И еще добавлю,к выше выложеному(просто упустил)-дисплей на солнышке видно(не очень чтоб очень),но пойдет.А вот камера на на сотовом-ни как.Да и дисплей на моем телефоне ни какой-снимал вслепую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4043] Автор : Genadi Zawidowski Дата : 21.06.2018 11:25 сколько этих полосатиков перебрал и те что менее 1мкгн все идут чуть ли не с разбросом в 30%. Ваши доводы справедливы, но фильтры как на картинках в рфсим не получаются на них. А маркировка о чем на них говорит? с отклонением 5% дефицит, а Вам могли и 20% попасться. По поводу измерений. Я не видел ни одного прибора, который бы показал что-то адекватное маркировке индуктивностей на единицы микрогенраи с 5% разбросом - хотя применяю их довольно давно и картинки как раз получаются точно такие как ожидается. Единственное, что давало похожий на правду результат - измерение АЧХ последовательного контура из 2% конденсатора и тестируемой индуктивности. Добавлено через 26 минут(ы): Старайтесь применять более точные типы, фильтры пересчитайте "с запасом" по полосе пропускания раза в два. В устрйствах такого типа это не ухудшит работы. Глянул в схему IC-756pro3. На НЧ диапазонах применены 10% индуктивности TDK SP0406. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4044] Автор : AndreySWL Дата : 21.06.2018 19:32 просто упустил А я уж подумал - дисплей что-ли выключен,ну совсем темный был он на видео ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4045] Автор : aboka Дата : 22.06.2018 00:42 Вот и я закрутил последний болт, маламут спаян, настроен, собран. Дисплей 2’8 дюйма, корпус металл, два rd16 на выходе.Хочу выразить свою благодарность автору проекта Евгению R3DI, а также за помощь Эдуарда RW6MQ. 291439291440291441291442 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4046] Автор : R6LHA Дата : 22.06.2018 09:21 Здравствуйте. Пытаюсь разобраться в принципе работы данного SDR и в схемах. Принцип работы понимаю в общих чертах, но хотелось бы подробнее вникнуть в тему SDR. Может кто-нибудь нарисовать структурную схему "Маламута", если не трудно конечно:oops:, или дать ссылку, где можно почитать подробнее про SDR подобного типа(не про те где АЦП + ПЛИС), а лучше и то и другое :smile:. Например не совсем понимаю, что делают аудио кодаки (они занимаются модуляцией или только работают как ЦАП и АЦП для НЧ?) и для чего фильтры (просто чтобы уменьшить полосу и соответственно нагрузку на процессор? Или что-то ещё отфитровывают?). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4047] Автор : R3DI Дата : 22.06.2018 10:41 R6LHA, здравствуйте, это типовой супергетеродин ANT-SWR-LPF-PA/обход-BPF-MIX-ADC-CPU-DAC-динамик, ПЧ 0 Гц. Отличие то что миксер квадратурный, фильтра - задавить нечетные гармоники на которых оч хорошо работает ключевой миксер. Кодеки это просто ацп-цап. Вся основная фильтрация и де/модуляция происходит программно в процессоре. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4048] Автор : Васген Дата : 22.06.2018 17:05 Как мотается 4TR2 на бинокле. Объясните пожалуйста. Одна обмотка сверху другой, друг за другом 3+3+6. Или первичка 2 провода в скрутке, в ней соединяется конец первого с началом второго. Затем сверху 6 витков вторички? Прочитал тему, смотрел faq и не дойдет до меня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4049] Автор : R3DI Дата : 22.06.2018 17:15 первичка 2 провода в скрутке, в ней соединяется конец первого с началом второго. Затем сверху 6 витков вторички так и делал, но наверное правильнее было бы в 4 провода 3 вит и соединить как нужно.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4050] Автор : UA0BHC Дата : 22.06.2018 17:25 Васген, если бинокли bn43-2402, то вот что мне ответили в этой теме в своё время: "про трансформаторы,транс форматоры я намотал все, как 3+3Х3 и на прием и передачу на амидоновских трансфлюкаторах,6+3Х 3 как в авторском варианте -то на ВЧ не понравилось,хотя имеет место на жизнь" по приёму так и намотал, работает. Передачу не пробовал ещё. мотал сначала обмотку без ответвлений (3 витка), потом сдвоенным проводом 3 витка. Мерил индуктивость, должна быть одинаковая примерно на всех трёх (около 24 мкГн кажется было). Потом надо правильно соединить две обмотки. Последовательно конец-начало, тогда там индуктивность становилась около 100 мкГн. Если соединить неправильно (встречно-последовательно), то индуктивность становится 0, и это работать не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4051] Автор : AndreySWL Дата : 22.06.2018 23:09 Как выяснилось, проблема была не в Маламуте - проблема была в питании. Подключил БП , напряжение 13.9 В - всё работает отлично! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4052] Автор : autosat Дата : 22.06.2018 23:56 Коллеги, вот что удалось "выжать" из фильтра 20...30 МГц: 291505 Это можно считать хорошим результатом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4053] Автор : Radiotester Дата : 23.06.2018 00:58 autosat, Почти 5db да на десятке..чет не айс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4054] Автор : Genadi Zawidowski Дата : 23.06.2018 02:00 считать хорошим результатом? С теми компонентами что есть вполне... Как "выжимали", в чем процесс состоял? Понятно что 5 дБ много, но до 3 не довести (добротности в модель проставьте и будет видно, что можно хотеть). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4055] Автор : autosat Дата : 23.06.2018 02:03 Подбор конденсаторов с контролем "живой" АЧХ, индуктивности — TDK MLF2012 0805 10%. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4056] Автор : Love777888 Дата : 23.06.2018 19:05 Всем привет! Кто подскажет такой момент, после поднятия усиления в ПЧ, у меня на экране небольшой горб вершиной к середке экрана, когда стояли резисторы на 3 кОм в обвязках ОУ горба небыло, но мелкий шум уже тогда присутствовал именно в середине экрана, что это? Почему только в середине, а не по всей полосе приемника? сдул 2 ОУ ПЧ приемника, на экране чисто, ставил вместо 5532->4558, шуму стало больше на экране, но горбик все равно есть, какие мысли почему это так? Обвязку перешерстил в ОУ. Какая то не сбалансированность? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4057] Автор : UU7JD Дата : 24.06.2018 08:37 В процессе пользования заметил еще один момент - при выборе пункта меню А=В происходит полное "уравнивание" частот VFO A и VFO B -для всех диапазонов. Это очень неудобно - и не зря во всех фирменных трансиверах уравнивание частот двух VFO и соответственно настроек для этих частот выполняется только для того диапазона, на котором в данный момент ведется работа. Например, VFO A я использую только для работы CW, а VFO B - для работы SSB. Работает станция со спец. позывным - слушает 1 кГц выше. Нужен режим Split. Перед его включением нужно сделать A=B и затем перестроить VFO B на 1 кГц выше. В результате после нажатия A=B все настройки и частоты VFO B для SSB заменились настройками VFO A для режима CW. Можно ли этот нюанс учесть в новых версиях ПО? Про RIT в курсе, но им пользоваться в таких случаях менее удобно, чем SPLIT. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4058] Автор : RW6MQ Дата : 24.06.2018 09:17 на экране небольшой горб вершиной к середке экрана Проверьте номиналы в обвязке ОУ. Особенно 2н2 и 470пф - действительно эти номиналы стоят? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4059] Автор : Wireless Дата : 24.06.2018 11:14 Добрый день! Уровень несущей в ЧМ в прошивке не меняется? Обнаружил, что ее уровень с кодека выше, чем тональника и SSB. Поэтому при TxOut например, в 80% тональник и SSB работают без перегруза, а при ЧМ с кодека идет мощнее сигнал, соответственно вместо несущей палки появляются (явный перегруз ОУ). Надо бы подровнять сигнал несущей в ЧМ относительно SSB и тональника. Иначе получается так - если строить на эквивалент передающий тракт, выжать максимальную мощность при тональнике - то в случае установки TxOut [BAND] выше 60-70% ЧМ уже превращается в "кучу палок", хотя при этих 60-70 % все остальные виды модуляции работают нормально. У меня, лично перегруза нет и при TxOut [BAND] 100 % при Tone и SSB, но при FM, FM2 возникает уже при 60-70%. [B]Сигнал несущей с Маламута в FM2 при TxOut [BAND] 50%: 291562 Сигнал несущей с Маламута в FM2 при TxOut [BAND] 72%: 291561 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4060] Автор : R3DI Дата : 24.06.2018 13:22 Wireless, это в какой версии прошивки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4061] Автор : Love777888 Дата : 24.06.2018 13:24 Проверьте номиналы в обвязке ОУ. Особенно 2н2 и 470пф - действительно эти номиналы стоят? Приветствую! Вот насмотревшись ваших роликов на ютуб и полез все перебирать и шерстить, в ваших роликах видно было как сдвинут был шум влево, а у меня именно в серединке причем равномерно и слева и справа, от краев шум меньше, как бы меня все устраивает чутье стало 0.6 мкв шпиль одну клетку занимает, мне это нравиться, только бы от горба да и шума бы избавться, еще заметил когда отжимаешь передачу, то в низ медленно сползает вертикальная риска ровно в центе экрана, поменяв 4558, эта риска почти моментально уходила вниз и небыло рисующей снизу полосы. Может дело в оу? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4062] Автор : R3DI Дата : 24.06.2018 13:26 UU7JD, пока не предвидится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4063] Автор : Wireless Дата : 24.06.2018 13:27 R3DI, прошивка версии 4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4064] Автор : R3DI Дата : 24.06.2018 13:28 Да, в старых есть такое дело -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4065] Автор : Wireless Дата : 24.06.2018 13:46 Тогда для радиолюбителей с Украины, и других дружественных стран, которые по понятным причинам пользуются версией прошивки 4.9 предложу компромиссное решение - выставить на диапазоне 27 Мгц TxOut [BAND] 55-60% , на остальных диапазонах варьировать под себя в любых пределах. Кроме 27 Мгц ЧМ на КВ не нужен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4066] Автор : Васген Дата : 24.06.2018 17:35 Выставил на RD 16 выходных 150 мА. Трансфоратор 5TR1 - первичка -медные трубки и вторичка- два витка толстой МГТФ. На 80-ке хороший выход. Мощность примерно 12-16 вт. Ток потребления ближе к 3 А. На остальных диапазонах от силы 2 вт. (100%). Проверил до ФНЧ выход, тоже не много мощи на всех кроме 80 м. На 40 м. к примеру макс 2 вт. при 100% мощности Ток при этом кушает чуть более 2,4 А. Уже думаю на BFG591 или трансформатор выходной, может мало витков на вторичке. Думал вначале на ФНЧ -глушит, но измерения до ФНЧ (подключился в точке 2J1) переубедили меня. В обход ДПФ тоже сигнал давал, все так же. Куда копать, как думаете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4067] Автор : RK4CI Дата : 24.06.2018 18:07 Проверил до ФНЧ выход, тоже не много мощи на всех кроме 80 м. На 40 м. к примеру макс 2 вт. при 100% мощности Ток при этом кушает чуть более 2,4 А. Уже думаю на BFG591 или трансформатор выходной, может мало витков на вторичке.При этом больше всего страдали бы именно НЧ диапазоны. Проверьте, соответствует ли включаемый ФНЧ, выбранному диапазону. Может у вас постоянно подключен ФНЧ 80 метров. В этом случае, он своими емкостями будет сажать все ВЧ диапазоны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4068] Автор : RW6HCH Дата : 24.06.2018 18:50 Начинайте последовательно от входа УМ и все три каскада. выясните какой валит усиление -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4069] Автор : Radiotester Дата : 24.06.2018 20:25 Васген, Ну если на 80м- отжирает 3А и 16вт, а выше 2,4А и 2вт это явно дело с последним каскадом тобиш rd16 или его транс, фнч. А что делается на 160м? Там как дела? Отключите как нибудь питание от RD16 и гляньте вч вольтметром или осликом на затворах чё там есть, разницу относительно 3.6мгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4070] Автор : Васген Дата : 24.06.2018 21:04 При этом больше всего страдали бы именно НЧ диапазоны. Проверьте, соответствует ли включаемый ФНЧ, выбранному диапазону. Может у вас постоянно подключен ФНЧ 80 метров. В этом случае, он своими емкостями будет сажать все ВЧ диапазоны. Смотрел по питанию ФНЧ. Какой диапазон, тот и подключается. Изначально все ФНЧ на земле сидят. Надо смотреть значит УМ . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4071] Автор : amator Дата : 25.06.2018 00:38 Выставил на RD 16 выходных 150 мА Ток при этом кушает чуть более 2,4 А. от силы 2 вт Не трудно догадаться что раскачка есть, раз жрет 2.4 А при подаче сигнала. А куда девается мощность щупайте что греется. Скорей всего проблема в трансформаторе, или с коммутацией выходных цепей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4072] Автор : Васген Дата : 25.06.2018 01:59 Да, ошибочка вышла, 16 вт. это на трансивере показывал. Нашел в обвязке ФНЧ небольшой мой недосмотр. Вместо bat54c стояли 54а (заменил). Сделал замеры до ФНЧ и после ФНЧ на стрелочный ксв метр. Нагрузка 50 ом., мощность 100%. В скобках показания до ФНЧ (без скобок показания после ФНЧ, на выходе антенны): 160м.-(до 6вт.) ант.7вт.; 80м.-(до 10вт.) ант.9вт. ; 40м.-(до 9вт.) ант.1,5вт.; 30м. - (до 0,5вт.) ант.2вт.; 20м.-(до 4вт.) ант.4вт.; 17м. - (до 2вт.) ант.3вт.; 15м. - (до 5вт.) ант.3вт. ; 12м. - (до 6вт.) ант0,1вт. ; 27 мгц- (до 5вт.) ант.0вт.; 10м. - (до 4вт.) ант.0 вт. Такие вот дела. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4073] Автор : Radiotester Дата : 25.06.2018 06:46 Васген, Хреновые вот дела. А ток все таки 2.4 ампера до 28мгц? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4074] Автор : RA1CAC Дата : 25.06.2018 06:48 Как мотается 4TR2 на бинокле...Я мотал на бинокле BN43-202, скруткой в два провода. Скрутку делал так - два провода 0,45 скручиваю до половины, затем один провод вытягиваю петлей, фиксирую отвод, и далее докручиваю оба провода до конца, в месте отвода складываю скрутку пополам и просовываю оба конца в бинокль. Далее обеими концами делаю по 3 витка друг другу навстречу. Провод с отводом - первичка (1,2,3), провод без отвода (4,5) - вторичка. ...Надо смотреть значит УМ . ...В скобках показания до ФНЧ (без скобок показания после ФНЧ, на выходе антенны): 160м.-(до 6вт.) ант.7вт.; 80м.-(до 10вт.) ант.9вт. ; 40м.-(до 9вт.) ант.1,5вт.; 30м. - (до 0,5вт.) ант.2вт.; 20м.-(до 4вт.) ант.4вт.; 17м. - (до 2вт.) ант.3вт.; 15м. - (до 5вт.) ант.3вт. ; 12м. - (до 6вт.) ант0,1вт. ; 27 мгц- (до 5вт.) ант.0вт.; 10м. - (до 4вт.) ант.0 вт. Такие вот дела.Прежде, чем курочить УМ, я бы рекомендовал сначала убедиться, что АЧХ ДПФ и ФНЧ - в норме. Потому как отдаваемая мощность очень даже от них зависит, в особенности от ДПФ. Если АЧХ фильтров не в порядке - прежде всего их настроить. А вот если после настройки фильтров мощности нет - тогда надо уже смотреть УМ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4075] Автор : Васген Дата : 25.06.2018 08:16 Васген, Хреновые вот дела. А ток все таки 2.4 ампера до 28мгц? На 27 и на 28 ток 3,3 А. Думал bfg плохие, заменил из другой серии. Все так же. Ток попробовал выставить 4r4 был 26 мА. (150 ом), поставил 120 ом. ток стал 33 мА. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4076] Автор : RC3ZQ Дата : 25.06.2018 08:55 Васген, тут https://youtu.be/wZAyaRCv2pQ Эдуард RW6MQ кое что на тему усилка комментирует. Посмотрите еще цепочки 5R1 и 5С11, 5R12, 5C18 на предмет не пропая и неисправности компонентов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4077] Автор : RX9UAO Дата : 25.06.2018 09:16 Васген,попробуйте отпоять"горячий "конец вторички вых. бинокля и нагрузите на нагрузку и посмотрите вых.напряжение,т.е. исключить все до антенного гнезда. И так "взадпятки" до входа предварительного ус-ля УМ.Думаю быстро найдете "кривозябу". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4078] Автор : Васген Дата : 26.06.2018 00:25 я бы рекомендовал сначала убедиться, что АЧХ ДПФ и ФНЧ - в норме. Потому как отдаваемая мощность очень даже от них зависит, в особенности от ДПФ. Если АЧХ фильтров не в порядке - прежде всего их настроить. А вот если после настройки фильтров мощности нет - тогда надо уже смотреть УМ. Все так и есть видимо. Настроил 40 м. в ФНЧ , подбирал емкости конденсаторов, мощность стала как мерил до ДПФ. На выходе антенны теперь 9 вт. чистыми есть. 40м.-(до 9вт.) ант.1,5вт.; - это предыдущие замеры до ДПФ и с антенны выход был. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4079] Автор : RA1CAC Дата : 26.06.2018 08:32 ... подбирал емкости конденсаторов, мощность стала как мерил до ДПФ. На выходе антенны теперь 9 вт...Я так понимаю, АЧХ у Вас нет возможности снять? Постарайтесь у друзей/знакомых взять на время прибор NWT - сомневаюсь, что простым подбором конденсаторов сможете настроить ДПФ для 20..33Мгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4080] Автор : RK3AQW Дата : 26.06.2018 15:53 Васген, Без настройки ДПФ моща будет везде разная Bybs отключите увидите сразу, склоняюсь к поиску нормальных индуктивностей,до 10-14 мгц сносно,все что выше...затухание высокое,на 28 намотал без каркаса D 2mm. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4081] Автор : Васген Дата : 26.06.2018 18:07 Я так понимаю, АЧХ у Вас нет возможности снять? Постарайтесь у друзей/знакомых взять на время прибор NWT - сомневаюсь, что простым подбором конденсаторов сможете настроить ДПФ для 20..33Мгц. RA1CAC АЧХ нету, Есть анализатор Кучуры. Им пытался проверить, подключаю к ДПФ (там где перемычки) и просматриваю КСВ, так же там есть график потерь db. Могу по какому либо диапазону выложить. В принципе видно по графику КСВ и частотный диапазон который пропускает. Подключаю так- провод центральный от анализатора, через 1 000 пф. в джампер на выходе дпф., оплетку анализатора к земле платы, переключаю диапазоны и вижу все кривые. Правда я без нагрузки это делаю. Анализатор же настроен на нагрузку 50 ом. В данном случае другое немного выходит и данные не совсем правдивые. Добавлено через 11 минут(ы): Васген, Без настройки ДПФ моща будет везде разная Bybs отключите увидите сразу, склоняюсь к поиску нормальных индуктивностей,до 10-14 мгц сносно,все что выше...затухание высокое,на 28 намотал без каркаса D 2mm. RK3AQW (http://www.cqham.ru/forum/member.php?550-RK3AQW) я так понял наоборот поставить перемычку в Bypass и переключать и смотреть разницу между диапазоном и Bypass/ Надо попробовать. Спасибо всем за помощь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4082] Автор : R3DI Дата : 26.06.2018 19:44 Васген, что то у меня сомнения по поводу такого метода проверки, пробовал так, завит и от частоты настройки гетеродина. Если есть осциллограф нагляднее будет просто прогнать по частоте (выставив большой шаг валкодера), так и сразу оценить что на последующих каскадах происходит. А вот делать пороверку передаичика с байпасом крайне не рекомендую, ключевой смеситель с кучей нечетных гармоник, даже если найдете способ как это все интерпретировать, то просто усилитель может "свистануть", об этом уже писали. Коллеги, а пробовал ли кто альтернативу УВЧ на ера3/5 ? Например на bga, gali, на транзисторе с трансформатором или апериодический каскад?н -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4083] Автор : EU1SW Дата : 26.06.2018 20:22 делали достаточно хорошо работающие УВЧ на BFG591, и на BFG540 для проекта DDC из модулей с Али. Все вполне адекватно при выполнении требований к посадке 8 ГГц транзисторов на плату ) согласование по входу и выходу очень достаточное, апериодические каскады, без трансформаторов, усиление 16-18 дб на каскад в полосе 1-30 мгц, динамики вагон и тележка ) правда потребление не радует в контексте портативности, но на 540-м полегче, есть варианты с 10 мА. З.Ы. вижу вы еще не решали вопрос с ОУ и чувствительностью? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4084] Автор : R3DI Дата : 26.06.2018 20:37 EU1SW, спасибо за ответ, вопрос с ОУ решен так - пусть будет как есть ), более хорошие ОУ дороги да повышать усиление за счет НЧ совсем нет желания - начинает вылазить цифровой мусор. Ера мне не оч понравилась, какая то оч шумная показалась, да , усиления дает, но с/ш на том же месте на слух, и смысл... А как по шумам показал себя апериодический, или не было возможности пробовать с ним/без "онлайн"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4085] Автор : RA1CAC Дата : 26.06.2018 20:58 ... Подключаю так- провод центральный от анализатора, через 1 000 пф. в джампер на выходе дпф., оплетку анализатора к земле платы, переключаю диапазоны и вижу все кривые. Правда я без нагрузки это делаю. Без нагрузки - это не правильно. Надо к джамперу с другой стороны ДПФ 50 ом прицепить. И прибор подключать не через емкость, а через небольшой аттенюатор - чтобы емкость кабеля и прибора нейтрализовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4086] Автор : EU1SW Дата : 26.06.2018 21:07 Евгений, про "онлайн" не совсем понятно, что Вы имели в виду... есть 2 варианта, Первый - манипуляции в вкл/выкл предусилителя производились под контролем измерительной аппаратуры, и результирующее отношение СШ всегда соответствовало расчетным, вопрос только в том, что после добавления УВЧ в тракт, нужно было "немного" пересмотреть макет, что бы убрать летающий вокруг "цифровой мусор", который УВЧ прекрасно усиливал, добавить экранировки, пересмотреть точки земель, и тд ) В этом варианте прекрасно себя зарекомендовали все варианты предусилителей, их собственные шумы на выходе каскадов были либо сопоставимы, либо ниже ниже уровней шумов, приведенных ко входу АЦП. На этом я я не заморачивался, просто это сразу стало очевидным, поскольку все узлы были достаточно точно обмеряны по отдельности, и я точно знал что должно получиться после включения УВЧ. (напомните ПЖЛТ какая у Вас получается чувствительность со входа смесителя)! Второй - преамп реально не нужен для НЧ диапазонов, когда уровня шума с антенны достаточно выше инструментально измеренной пороговой чувствительности приемника без УВЧ, и в этом случае СШ принимаемого сигнала определяется именно эфирной обстановкой в точке приема, Вашим локальным "мусором", индустриальным, и шумом атмосферы, от включения УВЧ СШ на слух, и при декодировании FT8, совершенно не отличается, просто с УВЧ динамика получается хуже ровно на КУ УВЧ ) Мне пришлось достаточно долго, пару часов наверное потратить на поиск и расставление ферритовых "глушителей", что бы реально ощутить таки, и реализовать полную MDS в -132 дБм в полосе 3 кГц на 28 МГц, все в дому свистело и шумело, и даже к соседям пришлось зайти ) Какой у Вас случай - не могу знать, может быть оба ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4087] Автор : R3DI Дата : 26.06.2018 21:43 Сергей, именно это и имел ввиду под "онлайн". Поясню ситуацию - так наверное будет более понятен мой вопрос. Задумал тоже "пощупать" технологию DDC/DUC, рисую ...ep3/4c-ADS6145-LTC6405-Tr-PE4302-(отключаемый)PRE?-BPF... - вот и возник вопрос в PRE, ера как то не очень (о чем и написал) а вот какой не знаю, может чего и посоветуют, попробую его и в Маламуте для начала, но на эксперименты пробовать все - нет времени совсем (вот и обратился за советом), хотя если будет лучшей заменой ера (вариант), то можно будет и Маламут интегрировать. DSP будет отдельной платой, но и там где АЦП и выше перечисленное места мало ( если как надо для УВЧ 5х5 см полигона земель без элеменов ), вот и в раздумьях.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4088] Автор : Genadi Zawidowski Дата : 26.06.2018 21:46 удалите PRE из задуманного. А вместо LTC6405 мне кажется LTC6401 меньше обвязки требует. И потание меньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4089] Автор : R3DI Дата : 26.06.2018 21:54 Геннадий, приветствую! Думаете LTC6405 будет достаточно? Полагал на LTC6405 сделать не большое усиление, только для нормального режима работы АЦП, а на ВЧ бендах возможность включать допУВЧ (комп. потери в фильтрах, в разводке), но если от него только шум (а то и мусор) будет - то смысла канечно нет. LTC6401 меньше обвязки требует он мне показался доступнее ( да и уже заказал ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4090] Автор : Genadi Zawidowski Дата : 26.06.2018 21:57 Я закупал LTC6401 20 dB тут (https://ru.aliexpress.com/item/10pcs-LTC6401CUD-20-LTC6401IUD-20-LTC6401CUD-LTC6401-QFN-16-Free-Shipping/32623402100.html). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4091] Автор : EU1SW Дата : 26.06.2018 22:01 Я согласен с Геннадием, с LTC6401 - "пре" уже будет лишний, для всех диапазонов, от слова совсем, понадобится добавить просто аттенюаторы, хотя в любом случае нужен эксперимент как надо для УВЧ 5х5 см полигона земель без элеменов Это немного лишнее, КМК ) Я писал о случае использования DDC с АЦП с симметрирующим трансформатором по входу, без всяких LTC/// Ему для работы на ВЧ бендах нуже УВЧ. если у вас будет 20 дБ перед АЦП - преамп не нужен -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4092] Автор : R3DI Дата : 26.06.2018 22:02 он мне показался доступнее не, не доступнее, дороже она )), за возможность выставить Ку ее выбрал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4093] Автор : Genadi Zawidowski Дата : 26.06.2018 22:04 Кстати, возбмите плату из послдних сообщений в теме про Аиста - -заменить АЦП на Ваш и оставить все остальное на местах - через день у Вас будет плата (проект) для эксперементов. Мои платы - результат работы автотрассировщика (файлы стратегий приложены). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4094] Автор : R3DI Дата : 26.06.2018 22:13 "пре" уже будет лишний вот спасибо, убедили - одной проблемой меньше, да и минус 2е ПЕшки любимые... да и правда.. может LTC6401 а АТТ лишнее задавит... ( а 05е уже заказал (((( ) возбмите плату из послдних сообщений Геннадий спасибо за предложение, да у меня как обычно - нравится сам процесс проектирования ( головоломания :crazy:) у меня и MODULE 1 есть для проб, да вот EP3 уже спалить успел(замкнул или левак пришел) единственный ( пошли дурака за водкой....) , жду вот теперь -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4095] Автор : Genadi Zawidowski Дата : 26.06.2018 22:29 LTC6401 а АТТ лишнее задавит Да, лучший вариант. Еще раз - +5 при этом на УВЧ не нужно подавать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4096] Автор : R3DI Дата : 26.06.2018 22:47 напомните ПЖЛТ какая у Вас получается чувствительность со входа смесителя)! Сергей, точно цифры не помню, но за не имением приборов сделал так - синтезировал синус в размах 24 бит, отюстировал Sметр на 0 дб и далее с меньшими коэф для синуса (проверил показания), отключил LO и замкнул входы кодека, показания -97дБ ( 2.7кГц и далее), включение остального добавляли порядка 8..10 дБ ( на память ), в общем 3..4 бала без УВЧ (шумовая дорожка) ( Ку для операционников такой, что 50 мВ на вход трх (для 7мГц) давали без пергруза полный размах для АЦП ).Вот как то так. (А кодек WM8731 совсем расстроил даже на отдельной плате, хотя для города вот вполне себе, работает и особой разницы не замечаю) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4097] Автор : EU1SW Дата : 27.06.2018 00:31 в общем 3..4 бала без УВЧ (шумовая дорожка) такое, и даже лучше, Вы получите прямо со входа АЦП, без LTC 3 балла шум в телефонной полосе дает 12 битный AD9226 ) Ваш ADS6145 должен примерно еще на 1 балл меньше шуметь, + c LTC6401 вы должны будете получить однозначно не хуже 0,2 мкв в полосе 3 кГц для СШ 10 дБ З.Ы. Если бы еще код "Маламута" можно было бы запускать на платах и дисплеях с али, соединенных проводками - это было бы просто бомба ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4098] Автор : RK3AQW Дата : 27.06.2018 00:39 вот такая spf5043z на укв готовится,но полигон слишком большой требуется,на кв не пробовал пока,возможно будет работать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4099] Автор : Wireless Дата : 27.06.2018 00:50 Кто подскажет, у кого-то включается функция DNR произвольно? Один раз включаю аппарат - она включена, второй раз включаю аппарат - она выключена. Т.е. при включении через раз она включается. Причем стабильно так через раз. Это баг или фича такая? Прошивка 4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4100] Автор : RK3AQW Дата : 27.06.2018 00:54 Wireless, попробуйте перепрошить,это глюк и плату промойте у процессора получше,возможно конденсатор блокировки непропай, у меня другой глюк вылез, полгода как под крышкой закрыт, более 1000 QSO,и тут бах на днях,при самоконтроле в SSB появился хрип в динамике при минимальном усилении и периодически блуд по НЧ на самоконтроле,на передачу не влияет и плюсом отваливается электронный ключ при переходе с SSB,пока в настроики CW в менюшку не зайдешь и не тыкнешь любой режим.Пока не разбирался толком.Где-то что-то отвалилось) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4101] Автор : R3DI Дата : 27.06.2018 01:13 будете получить однозначно не хуже 0,2 мкв в полосе 3 кГц для СШ 10 дБ что то не совсем понимаю пока, 122.88/1024 (децимация) даст +5 бит (14+5)*6=114дБ, хотя может ключевое слово в полосе...? ( возможно может лучше мне спросить в другой теме, не в этой про Маламута, а то перетекает в DDC )) ) бы еще код "Маламута" можно было бы запускать на платах и дисплеях с али, соединенных проводками в начале темы так и запускали, но ведь половина "смысла" Маламута в его завершенной конструкции в которой можно затянуть винты корпуса и не ломать голову куда и как это все впихнуть :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4102] Автор : Wireless Дата : 27.06.2018 01:15 RK3AQWС пайкой и емкостями все порядке у меня. DNR включается независимо от того, какое меню в данный момент включено кнопкой M.SEL. Попробую перепрошить разве-что. Явно программное что-то. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4103] Автор : Wireless Дата : 27.06.2018 03:33 Перепрошил на версию прошивки 4.7 и 4.8 - там такой проблемы нет. В версии 4.9 ровно через одно включение включается DNR. Делал Erase Chip и Erase Sectors через St-link утилиту, сбрасывал FRAM - не помогает. Процессор у меня STM32F407VET6, память - FM24CL64B-GTR. Кто замечал такую проблему - прошу отписаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4104] Автор : EU1SW Дата : 27.06.2018 09:38 но ведь половина "смысла" Маламута в его завершенной конструкции это интересно для товарищей, которым нужна "гАтовая правереная печатка", "дайте прашывку, что б залить...", что б не ломать голову, т.е. паяльщикам и "не приходя в сознание собиральщикам" в начале темы так и запускали не подскажете точнее, в теме 200+ страниц... ) что то не совсем понимаю пока, 122.88/1024 (децимация) даст +5 бит (14+5)*6=114дБ да, 114 дБ в полосе после децимации... а это будет 60 кГц, Вам нужна чувствительность в такой полосе? ) значит нужно еще добавить 10*лог10(60/3)=13 дб, затем нужно учесть что эффективных бит не 14, а 12,2 по факту... итого ~4-20-116=~-132 дБм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4105] Автор : SVd2004 Дата : 27.06.2018 09:46 "гАтовая правереная печатка", "дайте прашывку, что б залить...", что б не ломать голову, т.е. паяльщикам и "не приходя в сознание собиральщикам" Так оно и есть, не каждый может сделать что то законченное и интересное для других... Настоящих конструкторов, разработчиков и программистов их очень мало... А потрындеть мы все мастера... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4106] Автор : EU1SW Дата : 27.06.2018 09:52 Так оно и есть, не каждый может сделать что то законченное и интересное для других... Вас это не должно вообще касаться, потому как Вы только "лишь как все, потрындеть мастер" поэтому не встревайте, крутите винты... 73 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4107] Автор : SVd2004 Дата : 27.06.2018 09:56 Вас это не должно вообще касаться Нимб не жмёт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4108] Автор : EU1SW Дата : 27.06.2018 09:57 нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4109] Автор : ur7hfo Дата : 27.06.2018 10:08 Если бы еще код "Маламута" можно было бы запускать на платах и дисплеях с али Так запускается, например с чипом f407vet , только fram нужно на проводках отдельно подключить. Я на этой например пробовал - https://ru.aliexpress.com/item/Free-shipping-STM32F407VET6-development-board-Cortex-M4-STM32-minimum-system-learning-board-ARM-core-board/32841069011.html?spm=a2g0v.search0104.3.1.676f72c4VTfc32&ws_ab_test=searchweb0_0,searchweb201602_1_10152_10151_10065_10344_10068_10342_10343_5722611_10340_10341_10696_5722911_5711411_5722811_10084_5722711_10083_10618_10307_10059_306_100031_10103_5711511_10624_10623_10622_10621_10620_5722511_10125,searchweb201603_43,ppcSwitch_5&algo_expid=4f36d46d-9a80-4755-9602-a2059fc1473f-0&algo_pvid=4f36d46d-9a80-4755-9602-a2059fc1473f&transAbTest=ae803_2&priceBeautifyAB=0 А экран любой на ili9341 со spi интерфейсом. А тут есть ее схема - http://wiki.stm32duino.com/index.php?title=STM32F407 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4110] Автор : EU1SW Дата : 27.06.2018 10:49 Так запускается, например с чипом f407vet , только fram нужно на проводках отдельно подключить. Я на этой например пробовал - https://ru.aliexpress.com/item/Free-...ceBeautifyAB=0 Спасибо за опыт, Дмитрий! У меня как раз такая есть ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4111] Автор : R3DI Дата : 27.06.2018 11:55 Сергей, пост #250, там как раз проводками дисплеу к КИТу, вроде и еще где было и с кодеками, но с ходу не найду. Да и все же для кодеков плату делали, кита нет, на макетке городить... потом и дпф захочется и РА и ФНЧ , при желании канечно все это можно, сам через это прошел, когда понял что это ДДХ - сделал одноплатный трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4112] Автор : EU1SW Дата : 27.06.2018 13:19 Да и все же для кодеков плату делали, кита нет, на макетке городить... Евгений, Вы не поняли... Я не собираюсь прикручивать спереди телегу из звукокартного SDR и НЧ аудиокодеков, если у меня есть готовый DDC/DUC тракт из модулей, на входе/выходе которого сформировать i2s не сложнее чем мороженку скушать... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4113] Автор : R3DI Дата : 27.06.2018 13:35 Я не собираюсь прикручивать спереди телегу из звукокартного SDR и НЧ аудиокодеков, такое и в мыслях не было, как раз именно про Маламут и описывал если его на отдельных модулях собирать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4114] Автор : Genadi Zawidowski Дата : 27.06.2018 13:56 Евгений, к вашему-то DSP блоку приделать любой DUC/DDC траки была бы замечательная конструкция. Поскольку как уже говорилось часть FPGA может передавать квадратуры прикидываясь кодеком, переделки минимальные. Вы не представляете, сколько народа мне говорило - Гена, у тебя аппарат хороший, но Маламт панораму красивее показывает. Конечно свою работу с телеграфом и интеграцию с компютером я не променял бы на Ваше, но вот дизайн дисплея хорош... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4115] Автор : R3DI Дата : 27.06.2018 14:06 то DSP блоку приделать любой DUC/DDC траки была бы замечательная конструкция. такую конструкцию и прорабатываю - DSP блок к нему кросс платка с "удобельными" разъемами и тд...RF блок и отдельно PA LPF ....прорабатываю - точнее осталось вот дело за RF блок но у Маламт панораму красивее показывает. в каком это месте ? :smile: Может просто "раскрасить" по-другому... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4116] Автор : Genadi Zawidowski Дата : 27.06.2018 14:18 Синхронихаця частоты, оси, подсветка полосы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4117] Автор : R3DI Дата : 27.06.2018 14:27 работу с телеграфом это вроде как поправил и ключ с памятью на 1 знак сделал, но тут бесспорно Ваша реализация лучше интеграцию с компютером как раз таки пробовал асинхронный режим - оч понравился, для этого выведен другой usb, на нем для всего точек хватит. Синхронихаця частоты, оси, подсветка полосы... это когда при повороте валкодера спектр и водопад с ним синхронно перемещается ? а подсветки полосы вроде и нет никакой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4118] Автор : UA9olb Дима Дата : 27.06.2018 14:30 пробовал ли кто альтернативу УВЧ на ера3/5 ? Например на bga, gali, на транзисторе с трансформатором или апериодический каскад? За не имением ничего ) поставил SPF5189 получил 40дб усиления по выходу поставил атт на 16 дб. Потом появилась ERA1 или 2 поставил её .В общем одно и тоже как то не очень растёт сигнал и с ним ещё больше шума. Евгений будете в следующей конструкции ставить УВЧ, делайте его сразу за полосовиками а потом атт .т к Все потери в обходе атт прибавляются к коэффициенту шума усилительного элемента ( как в маломуте) и сильно ухудшают соотношение сигнал шум. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4119] Автор : RA1CAC Дата : 27.06.2018 18:11 ...Вы не представляете, сколько народа мне говорило - Гена, у тебя аппарат хороший, но Маламут панораму красивее показывает...Согласен, но на мой взгляд не то чтобы красивее, а у Маламута панорама гораздо шустрее и отзывчивее, несмотря на более слабый МК. ...это когда при повороте валкодера спектр и водопад с ним синхронно перемещается... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4120] Автор : UT0UM Дата : 27.06.2018 19:48 панораму красивее показывает имхо, Тюльпан красивее всего показывает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4121] Автор : RA6ANR Дата : 27.06.2018 20:29 UT0UM, не согласен.в ddc версии прошивки ещё нормально.в бесптной версии вообще не сравнить с маламутом.вот visair да,тот красивое показывает))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4122] Автор : UR4QBP Дата : 27.06.2018 21:26 имхо, Тюльпан красивее всего показывает Ну если это красиво https://www.youtube.com/watch?v=BZF0KL30lvY то я не знаю... полные тормоза панорамы и водопада. https://www.youtube.com/watch?v=BZF0KL30lvY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4123] Автор : UT0UM Дата : 27.06.2018 21:34 красиво я говорю про красиво а удобно и быстро - это на 24 дюймовом мониторе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4124] Автор : UR4QBP Дата : 27.06.2018 21:39 А аналоговый вообще жесть! Звук приема идет, а S-метр и панорама живут своей жизнью. https://youtu.be/huopiRNU9ng?t=882 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4125] Автор : RA6ANR Дата : 27.06.2018 21:48 Ну в живую немного шустрее смотрится....но до Маламута далеко https://youtu.be/02szHL-mhXE https://youtu.be/tq2CZPfVhfY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4126] Автор : UA0BHC Дата : 27.06.2018 22:06 Маламутовская спектрограмма похожа на как в программе MixW32, не знаю, как Евгений её сделал, но даже по сравнению с ней она всё равно более "живая". И даже так получилось, что некоторые айкомы и йаезу нервно курят в стороне со своими панорамниками. Не видел 7800, или какие сейчас модные, но более младшие модели однозначно сливают по визуализации. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4127] Автор : UU7JD Дата : 27.06.2018 22:22 Евгений, R3DI - я правильно понял,что Вы модифицировали работу встроенного телеграфного ключа в плане памяти на один знак? А где можно взять эту версию прошивки ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4128] Автор : UR3ACH Дата : 27.06.2018 22:59 полные тормоза панорамы и водопада Вот я тупой... Думал что это в настройках так сделано... Хотел собирать Тюльпан. Если это нормальная работа- то извините.. Ну его....Маламут по живее и краше по панораме. Дисплей надо только по больше... Ну надо... Не все зрячие...Да да да параметры и все остальное потом... Хотя это не стоит сбрасывать со щитов. Другой-но с такой панорамой... Может я и не видел - слышал ничего лучше.. Но это прорыв , большой прорвыв для самоделкопаяльщиков. (Цитата UT2FW) Я про самоделкопаяльщиков. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4129] Автор : avbelnn Дата : 27.06.2018 23:58 Но это прорыв Модифицированная прошивка монки https://www.youtube.com/watch?v=PrIvSIxiitw И разрешение дисплея 480x320 Добавлено через 18 минут(ы): Я про самоделкопаяльщиков. https://www.youtube.com/watch?v=bUy8kKaALJI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4130] Автор : UR3ACH Дата : 27.06.2018 23:59 Модифицированная прошивка монки Ну дааа.. Вроде бы как быстро и т.д. Как мне... Вот эти все показания с верху ни к чему. Т.е. Зачем повер метр в режиме приема? занимает место.Я колхозник.... Але 59+40 и т.д. Шуктка.. Хотя это все на любителя. Ну пока писал еще "Неон" воткнули... Это вам не здесь.... С монстрами тягаться... хмммм. Что-то его давно не видно. Даже синтез не строит. Один с нулевого бьется и все. Это я не автору. Кто поймет =тот поймет. З.Ы. Если будет дисплей больше 3,2" с такой же графикой.... То извините меня... Я просто не знаю что написать... Бомба-не бомба. Г....-не г..... Не угодишь. Ну в ic- 7300 какой дисплей? Видимая часть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4131] Автор : avbelnn Дата : 28.06.2018 00:06 Для Вас и не только больше подходит такой с большими,хорошо читаемыми цифрами:291729 Извиняюсь за оффтоп,просто хочу пожелать автору,Евгению,чтобы у него нашлось время и всё остальное и в следующей своей замечательной конструкции он применил дисплей большего размера.Удачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4132] Автор : Genadi Zawidowski Дата : 28.06.2018 00:22 Ну в ic- 7300 какой дисплей? Видимая часть? 4.3 дюйма, как в Аисте. RFE430H-AZH-DNS - и разрешение такое же - 272*480 Даташит на родственника внизу (там расшифровка обозначения - уточнение про поляризатор и подсветку). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4133] Автор : UR3ACH Дата : 28.06.2018 00:29 Для Вас и не только больше подходит такой с большими,хорошо читаемыми цифрами: Уоу уоу.. Давайте е будем сравнивать параметры и графику. Я речь вел о графике. Надо дать дорогу молодым...Да о чем я.. Отдадим должное Лаповку с Кудрявцевым . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4134] Автор : UT0UM Дата : 28.06.2018 00:37 "Неон" очень необычный материал передней панели... картон или двп... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4135] Автор : UR3ACH Дата : 28.06.2018 00:41 Для Вас и не только больше подходит такой с большими,хорошо читаемыми цифрами: Уоу уоу.. Давайте е будем сравнивать параметры и графику. Я речь вел о графике. Надо дать дорогу молодым...Да о чем я.. Отдадим должное Лаповку с Кудрявцевым . 4.3 дюйма, как в Аисте. Это уже больше. Аист хорош.... Тут даже спорить нечего. Я за простой трансивер но не с дисплеем 2,8-3,2 дюйма. С такой графикой как у Маламута, Может я не совсем корректно и правильно свои мысли излагаю.. Просто даже по железу и программно это будет Маламут- но с большим дисплеем.Я просто написал от простого пользователя. картон или двп... Где??? Я сколько смотрел думал это пластик двухслойный. Да в принципе пофиг... По одежке встречают... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4136] Автор : RA1CAC Дата : 28.06.2018 06:54 ...Я за простой трансивер но не с дисплеем 2,8-3,2 дюйма. С такой графикой как у Маламута, Может я не совсем корректно и правильно свои мысли излагаю.. Просто даже по железу и программно это будет Маламут- но с большим дисплеем...А по моему - 2.8...3.2 дюйма - достаточно для походного трансивера, каким он и задумывался. Все, что больше - это уже настольный вариант. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4137] Автор : RA6ANR Дата : 28.06.2018 07:09 RA1CAC, на самом деле даже 2.4" вполне нормально.основная информация (частота,s-метр) читаются отлично,и панорама достаточно информативная.не сериалы ведь на нем смотреть,а для того,чтоб понять обстановку на диапазоне и быстро настроиться на станцию-хватает и небольшого дисплея -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4138] Автор : RA1CAC Дата : 28.06.2018 07:32 ...2.4" вполне нормально...Согласен. ...не сериалы ведь на нем смотреть...Ох, чувствую я, зря Вы это сказали... :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4139] Автор : R6DAN Дата : 28.06.2018 08:23 К чему спор. Маламут хорош пока у него маленький дисплей и хватает оперативки процессора быстро проталкивать панораму. Но с большим разращением получить высокий фпс очень сложно с внешней памятью. В VisAir на сегодня удалось получить фпс 41 в 4 слоях. В Тюльпане, процессор не позволяет использовать нужную память, там фпс 13. В обоих случаях разрешение 800х480. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4140] Автор : UR3ACH Дата : 28.06.2018 09:19 Может все и правы. Ну вот пялишься в экран и все тут. Завораживающее зрелище:smile::roll:. Глаза напрягаются.Пытаюсь что-то там рассмотреть.:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4141] Автор : RA6ANR Дата : 28.06.2018 09:22 UR3ACH, они и от 7" не меньше напрягутся,если в него "пялиться")))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4142] Автор : Wireless Дата : 28.06.2018 09:29 Обсуждается панорама, экран и прочее пока ненужное, как минимум в этой теме. ПО Маламута нужно или довести до логического конца или закрыть проект. Панорама красивая, но какой смысл от нее, если есть функции, которые в программном плане требуют доработки. Маламут занял нишу доступных, недорогих, мобильных и современных трансиверов. Единственный аналог из SDR-1000 подобных - это M0NKA, где ПО opensource и поддерживается несколькими соавторами. Потому что одному такое сложно делать. Разделение труда - основной критерий успешного завершения. Но у Маламута есть еще потенциал в плане ПО и много поклонников. Резюмирую - или закрыть проект и переходить к DUC/DDC и новым проектам с большими экранами, или, что более востребовано - довести до финальной версии существующее ПО Маламута на данном железе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4143] Автор : UU7JD Дата : 28.06.2018 09:40 Присоединяюсь. Сосредоточить усилия на ПО, довести до финальной версии и проект закрыть. Тем более что как раз к ПО есть вопросы. Из "железа" без кардинальных изменений вряд ли что-то можно будет получить существенно лучшее. А с серьезными изменениями - это будет уже другой проект. Но выбор, конечно же за автором... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4144] Автор : RA1CAC Дата : 28.06.2018 09:43 ...переходить к DUC/DDC и новым проектам с большими экранами, или, что более востребовано - довести до финальной версии существующее ПО Маламута на данном железе.У меня есть сильное подозрение, шо Евгений таки работает в обоих направлениях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4145] Автор : R3DI Дата : 28.06.2018 11:31 Не, 7"точно делать не буду, у меня другое видение моих увлечений, для бОльшего экрана есть комп, для мобильности проще типа ЕЕЕ-ки использовать с виндой и получить и мобильность и 10.1" и все прелести софтов и цифровые все и журналы и инет с симки при желании. А писать в одно лицо операционку.... а не в одно это еще сложнее, органицация нужна.... Два направления - )) даже наверное три и это только по трансиверам, а есть и не трансиверы ... и не р/л... потому и со временем такая беда. Второе это был(есть) сдр трх на wm8731, отказ от ПЕшек в дпф да и кучу всего и с полной проработкой другого конструктива....но похоже он так и будет "лимитед идишн":smile:, т.к. коллеги оч заинтересовали меня ddc/duc, но в него пойдет почти вся концепция из предылущей наработки (по конструктиву)... если получится впихнуть8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4146] Автор : RA6ANR Дата : 28.06.2018 11:42 R3DI, на самом деле,если его можно будет цеплять к ПК как flex 1500 и выводить 96кгц панораму ,то вообще идеально)))))мобильно-стационарный вариант))))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4147] Автор : RA1CAC Дата : 28.06.2018 12:01 ...сдр трх на wm8731,Чота не вдохновляет меня сей кодек, динамика много меньше против CS4272.. Или я чего-то не понимаю? х.з... ... отказ от ПЕшек в дпф да и кучу всего и с полной проработкой другого конструктива...А вот это вдохновляет.:пиво: Например, можно бы было использовать релюшки вместо ПЕшек. А если использовать бистабильные реле в ДПФ и в ФНЧ до кучи - можно было бы миллиампер 100..150 сэкономить на приеме. Ну это так - мысли вслух - а вдруг пригодится идея. ...коллеги оч заинтересовали меня ddc/duc, но в него пойдет почти вся концепция из предылущей наработки (по конструктиву)... если получится впихнуть8-)...невпихуемое? Да ну... Все получится, Евгений. Даешь Маламут II !:-P Вот только походный аппарат duc/ddc наверное не получится - больно уж много эта схемотехника кушает на сегодня. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4148] Автор : UT4UBK Дата : 28.06.2018 12:29 Вот только походный аппарат duc/ddc наверное не получится - больно уж много эта схемотехника кушает на сегодня. Не сказал бы так. У меня получилось с обычными релюхами IMGxx , 5 дюймовым LCD на полной яркости и LTC2265 около 620 mA на приеме. Так там 3 ядра молотят на 456 mHz(DSP+ARM9+PRUSS). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4149] Автор : RA1CAC Дата : 28.06.2018 12:45 ... около 620 mA на приеме....Тему Вашу читал - красивый аппарат. Но, если не вдаваться в подробности, 650 ма имхо, это уже многовато для походного аппарата. На велосипеде с таким уже не попутешествуешь - только на автомобиле. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4150] Автор : UT4UBK Дата : 28.06.2018 12:54 Тему Вашу читал - красивый аппарат. Но, если не вдаваться в подробности, 650 ма имхо, это уже многовато для походного аппарата. На велосипеде с таким уже не попутешествуешь - только на автомобиле. Насколько помню, у монки - около 500 mA c линейными стабилизаторами. После замены на DCDC - что-то около 300mA. Могу и ошибаться. Если делать на low power LTC2265 + четвертый циклон + D class аудио усилитель + DCDC, везде где можно - реально подойти к этим цифрам (300mA-400mA). Проблема может будет в другом - избавиться от помех и спуров в корпусе малого размера. Джозеф, польский конструктор, жаловался на это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4151] Автор : UT0UM Дата : 28.06.2018 12:58 около 500 mA c линейными стабилизаторами. После замены на DCDC - что-то около 300mA 350 и 150 соответственно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4152] Автор : RA1CAC Дата : 28.06.2018 12:59 Насколько помню, у монки - около 500 mA c линейными стабилизаторами. После замены на DCDC - что-то около 300mA. Могу и ошибаться. Если делать на low power LTC2265 + четвертый циклон + D class аудио усилитель + DCDC, везде где можно - реально подойти к этим цифрам (300mA-400mA)...У Маламута примерно так же. Однако, опять же имхо, с маленьким (2.4") дисплеем и бистабильными релюхами (как я выше писал) можно было бы вытянуть до 200..220 ма. UT0UM, у меня побольше получалось - 470 и 275 ма соотв. Правда дисплей 2.8". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4153] Автор : R3DI Дата : 28.06.2018 14:53 ПО Маламута нужно или довести до логического конца или закрыть проект. Резюмирую - или закрыть проект и переходить к DUC/DDC и новым проектам с большими экранами, или, что более востребовано - довести до финальной версии существующее ПО Маламута на данном железе. Присоединяюсь. Сосредоточить усилия на ПО, довести до финальной версии и проект закрыть. Так и закрывайтесь и переходите куда желаете, кто-то держит что ли ? Тут ни кто фабричный трх за 100$ не обещал, а зачем и для чего все в начале темы - напомню делался исключительно для себя, не для продажи готовых изделий. Конструкция разрабатывалась для изучения SDR техники, чтобы не только р/элементами, но и с элементами исходного кода программы можно было поэкспериментировать , узнать, что за что отвечает, как это влияет на те или иные параметры. Код трансивера модернизируется по сей день, и скорее всего так будет на протяжении всей его жизни. Исходники кода так же лежат на Ядиске. Функции добавлялись/изменялись по мере необходимости (многого еще нет). Тема открыта по просьбе р/любителей для обсуждения ( пояснения некоторых вопросов) из-за проявленного интереса. --------------------------------------------------------------------------------- Добавлено через 13 минут(ы): Чота не вдохновляет меня сей кодек, динамика много меньше против CS4272 да и меня, уже несколько раз писал тут о его динамике и что получилось, но в городе вполне себе... можно бы было использовать релюшки ....места много занимают Даешь Маламут II ! тогда уж Маламут III :-P , Маламут II - уже есть - limited edition уж много эта схемотехника кушает на сегодня. если не ошибаюсь ,то module 1 кушает 400ма по 5В и это на ЕР3, с 4-ым должен меньше, не намного больше Маламута, а если будет duc/ddc так хороша.... да и с современными аккумами... Все нужно пробовать... идей полно... времени на все это... идея в том, что можно duc/ddc отключить и вставить модуль на cs4272 с лучшими операционниками (с такими же разъемами) в тот же корпус ( DSP,CROSS,PA все остается прежним ), може ее и мастером сделать, основные пораженки нашел - это PLL I2S проца в режиме мастера.... короч все это на годы, все это задуманное....если не надоест. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4154] Автор : RA1CAC Дата : 28.06.2018 15:53 ...места много занимают... А вот эти по-моему, очень даже ничего: https://www.chipdip.ru/product/5-1462037-8-dpdt ...короч все это на годы, все это задуманное....если не надоест.Не надоест - бывших радиолюбителей не бывает.:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4155] Автор : Love777888 Дата : 29.06.2018 08:44 Здравствуйте всем! Вот насчет операционников, какие лучше поставить вместо ne5532? И еще, когда проводил опыты с чуйкой, пришел к выводу, что трансформатор стоящий в смесителе должен быть качественным, я намотал на бн2402, мотал на других, на других шпилька в одну клетку была начиная от 1.3-1.5мкВ, даже с поднятием чуйки по пч. Сейчас с 2402 , от амидона чуйка 0.6 мкВ. Вот только шуму много от такого метода поднятия чуйки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4156] Автор : Васген Дата : 29.06.2018 15:45 Без настройки ДПФ моща будет везде разная Bybs отключите увидите сразу, склоняюсь к поиску нормальных индуктивностей,до 10-14 мгц сносно,все что выше...затухание высокое,на 28 намотал без каркаса D 2mm. Если есть осциллограф нагляднее будет просто прогнать по частоте (выставив большой шаг валкодера), так и сразу оценить что на последующих каскадах происходит. А вот делать пороверку передаичика с байпасом крайне не рекомендую, ключевой смеситель с кучей нечетных гармоник, даже если найдете способ как это все интерпретировать, то просто усилитель может "свистануть", об этом уже писали. Да, с BayPass замкнутым у меня свистанули BFG. Ну как бы не страшно, опыт )))). А Bfg у меня достаточно. Что касается осциллографа, я решил замер сделать по осциллографу (он у меня не видит до ДПФ ( после смесителя) частоту) никакого сигнала и даже с Pre/, Видит только милливольты (китайский к тому же еще, через комп работает). В общем сделал замеры и получил выход после смесителя в режиме "прием" - везде 47 mv. (но это могут быть и собственные mv осциллографа, он их в режиме авто показывает) , с pre показывает 97 mv/. Со снятой перемычкой на ДПФ. Ну это так в попугаях я так понимаю, но более менее что то дало понять. Стал прогонять по диапазона на передачу, с мощностью 10%. И получил, что на 160 м. после смесителя у меня 700 mv. после ДПФ получаю 350 mv/ и так по всем примерно, 80 м. 700-800 mv, 40 м. 500-600 mv/ а вот далее после 14 мгц. 18 мгц совсем плохо. 18,21,27,28 нет практически никакого сигнала после смесителя, он там минимальный 47 mv/ Естественно, и получается, что после смесителя нет практически сигнала на ВЧ диапазонах, да еще ДПФ его давит, и выходит. что не будет никакой мощности. ВГ Из за чего это может быть, где смотреть, подскажите? Сишка фирменная (о тпроизводителя), фильтр после смесителя не ставил. Смесители бинокль 2402 Амидон. 6 витков в скрутке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4157] Автор : R3DI Дата : 29.06.2018 15:56 а вот далее после 14 мгц. 18 мгц совсем плохо. 18,21,27,28 нет практически никакого сигнала после смесителя, (китайский к тому же еще, через комп работает) А полоса у этого осциллографа какая ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4158] Автор : Васген Дата : 29.06.2018 16:20 20 мгц (до 15 показывает) INSTRUSTAR ISDS210A. Сишку замеряю на выходе, там все ок. На выходе двойная частота в отличие от показаний на дисплее. До 15 мгц частоту вижу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4159] Автор : R3DI Дата : 29.06.2018 16:25 20 мгц (до 15 показывает) INSTRUSTAR ISDS210A. таким прибором вряд ли чего после 14-ти увидите.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4160] Автор : Васген Дата : 29.06.2018 17:04 Ясно, я думал он только в частотном плане ограничен, в напряжении нет. НВТ заберу в выходные. Не работал с ним. Им смогу проверить выход со смесителя и как его подключать? Просто на выход ДПФ? и так же 10% мощности проверять ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4161] Автор : UU5JPP Дата : 29.06.2018 21:09 Можно и я по хвастаюсь как получился маламут. Надписи еще не решил как буду делать, пока на время отпуска и так пойдет. Спасибо Евгению за конструкцию! 291824291825291826 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4162] Автор : UA0BHC Дата : 29.06.2018 21:30 зачем два выключателя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4163] Автор : UU5JPP Дата : 29.06.2018 21:36 Один включает маламута, а второй DC-DC преобразователь +24В -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4164] Автор : Sysert Дата : 29.06.2018 22:11 Поделитесь секретом, как сверлили(размечали)отверстия под маленькие кнопки? И чем крепится передняя панель? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4165] Автор : UU5JPP Дата : 29.06.2018 22:38 Поделитесь секретом, как сверлили(размечали)отверстия под маленькие кнопки? И чем крепится передняя панель? Отверстия вырезал на ЧПУ https://yadi.sk/i/EikIhe4m3Ybn8c (https://yadi.sk/i/EikIhe4m3Ybn8c) А каркас куда вставляется передняя панель на 3D принтере 291829 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4166] Автор : RK3AQW Дата : 29.06.2018 23:08 Васген,при использовании NWT для начала посмотрите АЧХ ФНЧ И ДПФ,подайте на антенный вход ТРХ сигнал в режиме гкч(в программе увидите) вход прибора на вых ДПФ перед смесителем ,там перемычка имеется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4167] Автор : tomcat Дата : 30.06.2018 01:37 Заголовок : Вариация SDR трансивера R3DI Корпус от ИБП ПК (фотографировано будильником) : 291831 291832 291833 кина (http://www.youtube.com/watch?v=gHM-d8cXPcQ&feature=youtu.be) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4168] Автор : ut29641 Дата : 30.06.2018 20:37 291867 Тоже пока без наклейки.Тюнер не доделан. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4169] Автор : AndreySWL Дата : 01.07.2018 20:26 Что происходит при нажатии кнопки BYBS ? Назначение кнопки RFOFF - тоже не понял,что это. Что означают надписи выше кнопок L 0.20 и H2.60 , например на диапазоне 7 Мгц ? Заранее спасибо за ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4170] Автор : R3DI Дата : 01.07.2018 20:30 Байпас, откл. гетеродина и/или смесителя, показания частоты фильтра ФОС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4171] Автор : AndreySWL Дата : 01.07.2018 21:39 Байпас, откл. гетеродина и/или смесителя, показания частоты фильтра ФОС. С этим понятно всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4172] Автор : Васген Дата : 02.07.2018 16:22 Похоже и 80 м. не по центру. Вообще как замер делать? кто подскажет. Аттениатор ставить -10 db. ? Программно еще куда то надо залезать? Что то выставлять? Я сделал только калибровку. 160 м 80 м. 40 Что скажете? данные по NWT/ Извините за качество. Надо более узкополосный делать ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4173] Автор : RC3ZQ Дата : 02.07.2018 16:38 Васген, Расставляем курсоры по графику (графикам). в WinNWT Файл->Печать в PDF и сохраняете файлы с графиками в PDF. Так будет виднее. В архив и в прицеп к посту.. А по существу ..например фильтр тот что на 40м должен быть включен страшный сильно... Курсоры ставьте по середине любительских диапазонов и на скатах фильтра . За равномерностью очень сложно гонятся на smd индуктивностях. Поэтому надо что бы наши любимые частоты где мы "алекаем" ни в коем случаи не попадали в завалы в "ямы" зоны прозрачности фильтра. Минимум потерь в участках любительских диапазонов должно быть, и более крутые скаты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4174] Автор : Васген Дата : 02.07.2018 17:26 А мне же как, Если ДПФ то я проверяю на включенном трансивере (пешки переключают)? Что касается ФНЧ проверять на выкл. т.е. Подпаиваться ? Кстати вот с такими настройками, при питании от АКБ 12 в. получаю мощность (по стрелочному ксв метре) на 160 м. -20 вт., на 80 м. 14 вт., на 40 м. 20 вт. Антенна луч 20 м. согласование через П- контур. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4175] Автор : RC3ZQ Дата : 02.07.2018 19:25 Если ДПФ то я проверяю на включенном трансивере Конечно. Вы же PEшки как бы не активируете. осмотрите на видео у RW6MQ там он припоминаю что рассказывал как он подцепился на плате Маламута - NWT. Кстати вот с такими настройками, при питании от АКБ 12 в. получаю мощность (по стрелочному ксв метре) на 160 м. -20 вт., на 80 м. 14 вт., на 40 м. 20 вт. Антенна луч 20 м. согласование через П- контур. Сделайте хотя бы из МЛТшек 2Вт эквивалент..это одно, второе что надо настроить полосовики как можно лучше, а затем фнч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4176] Автор : R6LHA Дата : 04.07.2018 22:08 Подскажите, как вы вставляли экран 2.8дюйма в переднюю панель? Надо шлейф отпаивать от экрана и припаивать назад после вставки в панель, я правильно понял или как-то по другому? И ещё вроде плату отпиливают, как это сделать не сломав ничего? Заранее спасибо. :oops: П.С. Передняя панель распечатана на 3д принтере по OpenSKAD файлу как в видео у Евгения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4177] Автор : UA0BHC Дата : 04.07.2018 23:35 Здравствуйте, нашёл такие фотографии из темы: 292084292085 там дисплей надо перевернуть кажется, а потом в настройках дисплея задать переворот, чтобы стало нормально. Некоторые валкодер ставили на стойки, чтобы его от платы дисплея отодвинуть Насчёт шлейфа не понял. Это у трёхдюймового надо что-то делать со шлейфом, у 2,4 и 2,8 не надо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4178] Автор : R6LHA Дата : 05.07.2018 04:43 Я про вот это видео https://youtube.com/watch?v=XuUwDIgK-10 там панель с "карманом". С 7ой минуты видно о чем речь идет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4179] Автор : R3DI Дата : 05.07.2018 12:19 R6LHA, все верно, шлейф отпаять, всавить экран в карман, плату обрезал ножницами по мет), уст.проводок питания как на видео. Есть еще панелька верхних кнопок для простоты установки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4180] Автор : UR3ACH Дата : 05.07.2018 15:40 Здравствуйте все участники форума. Такой вопрос. При передаче якобы слышат и на верхней и на нижней полосе. Т.е. на приемной стороне оператор переключает верхнюю- нижнюю полосу и принимает с одинаковым качеством. Или почти одинаковым. К сожалению трансивера у меня уже нет. Это со слов владельца. Получается не подавленный зеркальный канал или что это??? Какие значения IQ Tx в меню должны стоять. Я правда не совсем понимаю как при переключении полосы не смещаясь с частоты можно слышать на другой полосе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4181] Автор : RA1CAC Дата : 05.07.2018 16:53 Получается не подавленный зеркальный канал или что это??? Какие значения IQ Tx в меню должны стоять.Это надо было настраивать, прослушивая на контрольном приемнике. Я вот ща второй Маламут замаламучу - и буду их друг по другу настраивать.:-P Я правда не совсем понимаю как при переключении полосы не смещаясь с частоты можно слышать на другой полосе.Как вариант - в его трансивере опорник переключается снизу/сверху от полосы ФОС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4182] Автор : UR3ACH Дата : 05.07.2018 17:51 Это надо было настраивать, прослушивая на контрольном приемнике. Я это уже понял. Вообще про подавление вылетело с головы. На сколько зеркальный канал от основного стоит? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4183] Автор : Васген Дата : 05.07.2018 17:57 Настроил по NWT. Решил попробовать на передачу и получил на 160 метрах 23 вт. (ранее было 20). На 80 м. и на 40 м. получил ноль. Ранее было 80 м. (14 вт.) на 40 м. 20 вт. Все по прибору, в чем дело не пойму? 292124 292125 292126 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4184] Автор : R3DI Дата : 05.07.2018 18:03 На сколько зеркальный канал от основного стоит? ПЧ-0 , вплотную (на удвоенную разницу нижней частоты полосы ФОС) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4185] Автор : Radiotester Дата : 05.07.2018 18:05 Васген, Вы там точно ничего программно не скрутили? Дпф на 40м имеет 3дб затухание ...это конечно не хорошо, но раскачиватся Ум должен по-любому.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4186] Автор : Васген Дата : 05.07.2018 23:04 Васген, Вы там точно ничего программно не скрутили? Дпф на 40м имеет 3дб затухание ...это конечно не хорошо, но раскачиватся Ум должен по-любому.. Я уже запутался. Все стандартно стоит. 160 метров работать стало лучше а эти потухли совсем. Буду разбираться дальше. Пока ничего не могу понять. Что то, где то делаю не так это точно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4187] Автор : UR3ACH Дата : 05.07.2018 23:28 Я уже запутался. Все стандартно стоит. Не понятно в каком режиме Вы строите ДПФ ? По передаче??? На выходную мощность пока не смотрите. Вообще отключите усилитель. Доржку перережте или еще что там... Подайте в режиме приема с нвт сигнал на антенну, отпаяйте смеситель. Т.е конденсатор 6с7 по схеме v1.4. Припаяйте резистор 50 ом в эту точку. Вывод 5 6u4 по схеме v1.4. Смотрите АЧХ. Пока про передачу забудьте. Надо добиться минимально возможного затухания в любительских диапазонах. Еще посмотрите точно-ли ПЕшки переключаются как надо. Да и за полосой подавление совсем небольшое. Что-то точно не так. Если авторская плата - то там сигнал идет через ФНЧ. Подай те сигнал с нвт в обход ФНЧ. Только на ДПФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4188] Автор : PJA1976 Дата : 06.07.2018 00:07 Приветствую всех.Возможно ли использовать в качестве синтезатора si570 или нужны изменения в прошивке? Хочу перенести приемную вч часть на отдельную плату, подальше от цифровых помех и использовать si570 как лучшую по спектру. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4189] Автор : R3DI Дата : 06.07.2018 00:34 нужны изменения, в коде нет выбора 570й -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4190] Автор : RA1CAC Дата : 06.07.2018 06:59 ...Да и за полосой подавление совсем небольшое. Что-то точно не так...Это не подавление. Судя по "лохматости" графиков за полосой - детектор NWT у Васгена вовсю ловит какую-то помеху. У меня такое было с высокоомной головкой - монитор компа гадил. Теперь, для снятия АЧХ оттаскиваю NWT и измеряемый аппарат максимально дальше, на всю длину проводов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4191] Автор : PJA1976 Дата : 06.07.2018 07:15 Спасибо, Евгений.Трансивер очень хороший, но хочется немножко улучшить , убрав "палки" со спектра. Видел в инете проект , где в синтезаторе используется si5351и si570 совместно.В коде что-то оперативно меняется для их переключения.Да и 570-я на али стоит не дорого -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4192] Автор : RA6ANR Дата : 06.07.2018 08:19 PJA1976, синтезатор и "палки" в Маламуте не связаны никак.с si570 все пораженки останутся на своих местах... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4193] Автор : Палыч Дата : 06.07.2018 09:05 Коллеги! Подскажите какое напряжение ВЧ в режиме ТХ при включенной кнопке TONE на первичной обмотке трансформатора 6ТR2 (на конденсаторе 6С19)? Сколько на выходе полосового фильтра? Каковы уровни сигналов на выходах 24, 25, 26, 27 кодека 8U1 или на входах 3, 4, 5, 6, 6U11 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4194] Автор : PJA1976 Дата : 06.07.2018 09:24 RA6ANR ,да это понятно. Основной метод борьбы за чистоту спектра- вынос приемной части за основную плату,где и плодятся все "бяки".Смена синтезатора -это ещё добавочная мера, если уж "заморачиваться". Может быть из кто знает ,как внедрить в Маламут синтезатор получше ,чем Si5351? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4195] Автор : R3DI Дата : 06.07.2018 10:03 PJA1976, кабы еще на контурную землю не нарваться в таком выносе... Палыч, все индивидуально, много настроек , txpwr,txout,agclimit.... трх под рукой нет , но на кодеке от 0 до даташит значений а дальше по схеме, также много завит от чего например добротности уст индуктивностей -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4196] Автор : PJA1976 Дата : 06.07.2018 10:21 [QUOTE=R3DI;1544372]PJA1976, кабы еще на контурную землю не нарваться в таком выносе... ну ,нарвусь верну всё обратно на основную плату. стоит попробовать:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4197] Автор : R3DI Дата : 06.07.2018 10:30 PJA1976, у Вас был опыт сравнения 5351 и 570й, может в каком другом проекте? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4198] Автор : PJA1976 Дата : 06.07.2018 11:06 R3DI, нет не было. Сужу только по информации из интернета.570-ю хвалят за чистоту спектра, по 5351 информации маловато. Поэтому и хочу попробывать на 570-й -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4199] Автор : RA1CAC Дата : 06.07.2018 11:35 ...Сужу только по информации из интернета.570-ю хвалят за чистоту спектра...570-ю хвалят за чистоту спектра по сравнению с DDS. По крайней мере мне такие суждения встречались когда SDR-1000 строил. Есть у меня генератор сигналов на Si570. Специально не сравнивал, но по-моему гармоник хватает и там и там. Одна и та же фабрика, одна и та же PLL технология, причем 570 - более старая, да и кушает раза в три больше чем 5351. 5351 вроде как не хвалят, если используется все три выхода, однако в Маламуте используется только один. Короче говоря, я бы не стал заморачиваться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4200] Автор : PJA1976 Дата : 06.07.2018 12:27 570-ю хвалят за чистоту спектра по сравнению с DDS. По крайней мере мне такие суждения встречались когда SDR-1000 строил. Есть у меня генератор сигналов на Si570. Специально не сравнивал, но по-моему гармоник хватает и там и там. Одна и та же фабрика, одна и та же PLL технология, причем 570 - более старая, да и кушает раза в три больше чем 5351. 5351 вроде как не хвалят, если используется все три выхода, однако в Маламуте используется только один. Короче говоря, я бы не стал заморачиваться. Доводы убедительные, сам примерно так и думаю .Пропадут спуры или не пропадут при замене синтезатора, можно только гадать. Да и кода под 570-ю все равно нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4201] Автор : RA1CAC Дата : 06.07.2018 12:48 ...Пропадут спуры или не пропадут при замене синтезатора, можно только гадать. Да и кода под 570-ю все равно нетЕсли бы Евгений счел нужным, думаю он бы озаботился кодом для 570. Однако спуры по любому не пропадут - это уже особенности схемотехники SDR1000-чеобразных трансиверов. Обычно народ успокаивается на том, что спуры маскируются шумом эфира. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4202] Автор : EU1SW Дата : 06.07.2018 12:55 Доводы убедительные, Отнюдь, если описать ситуацию в двух словах, то 570 - это 570, а 5351 - это 5351, и совершенно не имеет значения время их выпуска, это разные устройства, с разными шумовыми параметрами. 570-я имеет как минимум на порядок меньший джиттер. Вопрос лишь в том, позволит ли остальная обвязка получить от этого какой либо профит в данной конструкции... А судя по озвученым параметрам - вряд ли... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4203] Автор : R3DI Дата : 06.07.2018 13:25 Пропадут спуры или не пропадут при замене синтезатора, можно только гадать. Не думаю что можно гадать - мои поиски причины спуров привели к I2S PLL в STM32F4 и об это уже писал в теме.( смены синтезаторов и их частот клоков - не изменяли не положение не амплитуды спуров от I2S PLL , канечно я не имею ввиду ад9850 - которая сама наплодит своих дополнительных спуров ) Добавлено через 11 минут(ы): 570-я имеет как минимум на порядок меньший джиттер бегло посмотрел ДШ и там она с разными буквачками имеется, предполагаю что с "хорошей" буковкой ее цена будет соизмерима с EP3+ad9226+GEN (с алика) а тут и в не сравнении тогда Вопрос лишь в том, позволит ли остальная обвязка получить от этого какой либо профит в данной конструкции... А судя по озвученым параметрам - вряд ли... вот мне тоже так думается что не стоит на это тратить не время не .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4204] Автор : PJA1976 Дата : 06.07.2018 13:50 Не думаю что можно гадать - мои поиски причины спуров привели к I2S PLL в STM32F4 и об это уже писал в теме. По-моему основные помехи даёт клок кодека на 12.334Мгц и гармоники. Управление Si5351 "не помехует".Поэтому и хочу убрать приемную часть на отд. плату -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4205] Автор : EU1SW Дата : 06.07.2018 14:31 не стоит на это тратить не время не .... Согласен ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4206] Автор : UR3ACH Дата : 07.07.2018 09:12 Как давится зеркальный канал на передачу? Что где крутить? Вообще какая методика настройки подавления зеркального канала по передаче. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4207] Автор : RA6ANR Дата : 07.07.2018 10:10 UR3ACH, обычно там и без доп.настройки неплохое подавление.а вообще по контрольному приёмнику калибруется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4208] Автор : rn4haq Дата : 07.07.2018 10:36 У меня аналогичная проблема, изначально все было нормально, предполагаю, что кодек полетел но менять не чем -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4209] Автор : UT8UU Дата : 07.07.2018 11:07 Друзья, сегодня измерил спектр сигнала трансивера в режиме передачи тонального сигнала (100% мощности, 7074 кГц, резонансная G5RV). Измерения проводились с помощью прибора OSA103mini (полоса спектроанализатора 1 гигагерц, в качестве антенны использован кусочек провода длиной 20 см). Прилагаю два скриншота: обстановка в эфире без передачи и с передачей тонального сигнала. Третий скриншот приведен для сравнения: ФМ несущая Yaesu FT-8800, 25 ватт, 438.5 МГц, внешняя J-pole. Вопрос: почему сигнал моего трансивера такой грязный? Палки наблюдаются до 430 мегагерц!!! 292219292220292221 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4210] Автор : UA0BHC Дата : 07.07.2018 11:11 А почему вы сравниваете 7074 кГц и 438.5 МГц, это корректно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4211] Автор : UT4LW Дата : 07.07.2018 11:13 кусочек провода длиной 20 см Нагрузите выход передатчика на эквивалент и через аттенюаторы подайте на прибор. Картина резко изменится в лучшую сторону. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4212] Автор : Дэн Дата : 07.07.2018 11:57 SDR TRX "Маламут" Предлагаю вниманию автономный sdr трансивер "Маламут". Простите за простой и дурацкий вопрос! А обычный монитор к этому трансиверу подключается? Или через комп.?Или как-то по-другому? Слишком уж маленький дисплей. Вижу,что имеется USB-CAT интерфейс. С OMNI-RIG работает? Какой трансивер там выбирать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4213] Автор : R3DI Дата : 07.07.2018 12:00 Как давится зеркальный канал на передачу? Что где крутить? Вообще какая методика настройки подавления зеркального канала по передаче. точно такая же как и на прием, посмотрите файл if_Malamute-DSB.pdf (http://www.cqham.ru/forum/attachment.php?attachmentid=289939&d=1527595547) в читаем здесь (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1534871&viewfull=1#post1534871). Для коррекции есть меню IQ_Imb но это именно для коррекции, не для устранения проблемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4214] Автор : R3DI Дата : 07.07.2018 14:31 Или через комп.? да, FT817 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4215] Автор : Дэн Дата : 07.07.2018 15:47 Но водопад на компе не увидеть,я правильно понял? Сегодня купил МАЛАМУТ v.1.4,но он ещё долго в пути будет.Поэтому интересно!:smile: Может есть ссылка на инструкцию пользователя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4216] Автор : R3DI Дата : 07.07.2018 16:05 Может есть ссылка на инструкцию пользователя? как таковой самой инструкции нет ( ну мне не известно если и есть ) как на фабричные, краткие описания по меню есть на ядиске. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4217] Автор : Дэн Дата : 07.07.2018 16:31 Если стоит SI5351, то на какой скорости манипуляция тлг. ещё нормальная? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4218] Автор : UT5QBC Дата : 07.07.2018 17:19 UT5QBC, потому что ответ в теме, да и Вас никто не заставляет их использовать. Когда то я спросил Вас, почему вы не примените квадратурный выход на si5351, в итоге проверил сам, построено два трансивера один аналоговый ТПП второй SDR (уже на выходе). Что могу сказать отлично работает квадратурный выход, и шумов меньше дает в смеситель. Так что рекомендую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4219] Автор : sargi Дата : 07.07.2018 21:44 Дэн, где вы можете купить pcb 1.4 ?... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4220] Автор : RA1CAC Дата : 08.07.2018 09:23 ...купить pcb 1.4 ?...У Автора, R3DI. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4221] Автор : Дэн Дата : 09.07.2018 05:13 Насколько я понял,любимой фишки СДР-щиков - записи сигнала с эфира,у МАЛАМУТа нет.Или есть? Если нет,то кто как решил этот вопрос? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4222] Автор : ua3ycv Дата : 09.07.2018 06:51 Насколько я понял,любимой фишки СДР-щиков - записи сигнала с эфира,у МАЛАМУТа нет.Или есть?есть!там в комплекте идёт тетрадка для записей и ручка.Вы очень не внимательно читали шапку темы-там всё написано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4223] Автор : RX9UAO Дата : 09.07.2018 07:50 Наверное надо попросить модератора строчку: Трансивер задумывался как бюджетный походный вариант- выделить красным цветом и шрифтом побольше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4224] Автор : Дэн Дата : 09.07.2018 08:13 Когда в эфире слышишь,что корр. использует СДР,то очень многие подходят с просьбой записать сигнал,для контроля.Но мой вопрос остаётся-можно ли это сделать? Мне МАЛАМУТ не нужен в качестве походного.Он нужен в качестве контрольного приёмника,для настройки аналоговых схем. Для походного у него очень не бюджетная цена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4225] Автор : Radiotester Дата : 09.07.2018 09:14 Дэн, Дык на компе с проги под управлением которой Маламут работает и пишите аудио. Он же по usb стыкуется с ПК, а аудио через lin out/in например. Комп у Вас небойсь есть с программами для ведения аппаратного журнала например, всякие там кластеры и т.д и т.п... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4226] Автор : R3DI Дата : 09.07.2018 10:43 Дэн, Маламут по USB виден в системе как внешнее звуковое устройство, а так же и виртуальный КОМ порт. (Составное устройство). На счет бюджетного - если можете продемонстрировать подобное устройство по параметрам, но дешевле - с удовольствим ознакомлюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4227] Автор : Radiotester Дата : 09.07.2018 11:02 R3DI, Евгений, добрый день. Ааа "бухгалтерию" по стоимости комплектующих не пробовали подбивать? Интересно просто. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4228] Автор : RA6ANR Дата : 09.07.2018 11:06 Radiotester, смотря где покупать..есть перечень компонентов,можете посчитать по ценам магазина,в котором закупаетесь деталями -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4229] Автор : R3DI Дата : 09.07.2018 11:25 Radiotester, я их потоком не собираю и у меня в этом нет необходимости (подсчете). Иван правильно сказал, все индивидуально. Кодек можно и за 100р купить а можно и за 1200, цена 1го резистора в чипдипе например..., а я когдато брал катушку 5000шт за 150р, представляете разницу в цене... и так можно по каждой детальке...это по комлектации. А если чуть продолжить... Но точно могу сказать, что самая дорогая строка в этом списке - это сборка, человек-час и эта цифра соизмерима а то и больше всего предыдущего списка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4230] Автор : Сармат Дата : 09.07.2018 21:24 ....А если чуть продолжить... Но точно могу сказать, что самая дорогая строка в этом списке - это сборка, человек-час и эта цифра соизмерима а то и больше всего предыдущего списка на 200 процентов согласен с Евгением....и еще один пункт расхода...это время сбора все в кучу до полной комплектации -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4231] Автор : dadigor Дата : 09.07.2018 22:01 Мда, расходы... Если просчитать баланс между стоимостью комплектующих и получаемым удовольствием от сборки, запуска в работу и пользования собранного самим аппарата, кстати действительно походного, то ещё и в большой прибыли останешься. Давно хотел написать... Таких действительно "народных" проектов не много, серьёзных и интересных. Количество страниц в теме само за себя говорит. Ещё раз спасибо Евгению за отличный проект и труды по поддержке темы. И за открытые коды версии 2.0 отдельное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4232] Автор : UT0UM Дата : 09.07.2018 22:35 Он нужен в качестве контрольного приёмника,для настройки аналоговых схем. у него очень не бюджетная цена. да Вы просто не то купили Вам надо было взять Osa103 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4233] Автор : ub1amz Дата : 09.07.2018 23:38 Доброго дня. А что означает мерцающий красый ключ в поле "rx"/"tx". Гугл не дал ответа. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4234] Автор : R3DI Дата : 09.07.2018 23:41 ub1amz, блокировка валкодера -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4235] Автор : Eugene163 Дата : 10.07.2018 16:58 Когда в эфире слышишь,что корр. использует СДР,то очень многие подходят с просьбой записать сигнал,для контроля.Но мой вопрос остаётся-можно ли это сделать? Можно ли на "Маламуте" записанную запись передать в эфир не применяя компьютер? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4236] Автор : R3DI Дата : 10.07.2018 17:19 Можно ли на "Маламуте" записанную запись передать в эфир не применяя компьютер? А как Вы тогда ее записали не применяя компьютер ? На что ее записали ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4237] Автор : ua3ycv Дата : 10.07.2018 18:11 Можно ли на "Маламуте" записанную запись передать в эфир не применяя компьютер?можно-в Маламуте есть микрофон!вот через него и крутите-есть такое ощущение что народ читает по диагонали:-(! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4238] Автор : UT0UM Дата : 10.07.2018 18:16 есть микрофон!вот через него и крутите :facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4239] Автор : ua3ycv Дата : 10.07.2018 18:25 UT0UM,Алексей-а что не так?народ тему "барахолит"-каков вопрос -такой ответ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4240] Автор : R6BK Дата : 10.07.2018 18:28 через него и крутите Если только фиги :lol: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4241] Автор : Radiotester Дата : 10.07.2018 20:44 А как Вы тогда ее записали не применяя компьютер ? На что ее записали ? Как на что, на винил:ржач: Охохо.... можно-в Маламуте есть микрофон!вот через него и крутите-есть такое ощущение что народ читает по диагонали:-(! Вам же Евгений писал тут что виртуальной аудио картой комп маламута видит. Женя R3DI а правда что Маламут очень капризная собака? :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4242] Автор : ua3ycv Дата : 10.07.2018 21:01 Radiotester, Вам же Евгений писал тут что виртуальной аудио картой комп маламута видит.не мне!я трансивер использую по назначению-как походное радио. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4243] Автор : UT0UM Дата : 10.07.2018 22:12 Если только фиги :lol: R6BK, пожалуйста, следите внимательнее за тем, кого цитируете спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4244] Автор : rn4haq Дата : 10.07.2018 22:44 R3DI, Евгений а может Eugene163 намекал на незадействованный слот под SD карту на плате дисплея ;-) А реально ли это реализовать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4245] Автор : Radiotester Дата : 10.07.2018 23:14 Radiotester, не мне!я трансивер использую по назначению-как походное радио. Форум читаем все, и для всех он (форум). Дело в том что даже если немного прикинуть по схемотехнике- память там есть но она не такая уж большая что бы туда аудио писать. Она для иных целей там. Хорошо когда во время сборки вопросы возникают, а то много не учтенок в глубине ветки и очень сложно искать. Жаль что движок форума не позволяет редактировать шапку топик стартером что бы доработки и нюансы были "под носом". Пожалуй самое главное. А аппарат просто сказка! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4246] Автор : R3DI Дата : 11.07.2018 10:53 rn4haq, думаю вполне реально -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4247] Автор : ub1amz Дата : 11.07.2018 15:59 А зачем такие сложности в вопросе "прослушать себя"? Есть http://www.websdr.org/ там можно найти место откуда себя в реалтайме можно послушать да и записать тоже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4248] Автор : UR6LCK Дата : 13.07.2018 15:15 А какой ДД а то я пропустил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4249] Автор : Дэн Дата : 13.07.2018 15:46 Есть http://www.websdr.org/ там можно Вы это дедушкам с UW3DI расскажите,которые такие матерные слова,как вебсдр не слыхали,и компьютера не видали. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4250] Автор : R3DI Дата : 13.07.2018 16:17 UR6LCK, тут #3845 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1532490&viewfull=1#post1532490) и далее посмотрите, если это то что интересует, приборов нет, все на "пальцах" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4251] Автор : UR6LCK Дата : 13.07.2018 16:26 спасибо, мне примерно для общего образования -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4252] Автор : R3DI Дата : 13.07.2018 18:42 а разница между полосами 2,9 и 0,5 кГц должна быть -7,6 дб, а не -15, при правильной математике такое может быть если какая нибудь палка попадает в широкую полосу, но не попадает в узкую Выдалась минутка и таки решил проверить.... и встал ступор... откуда взялась цифра 7.6 при 2.9/0.5 понимаю, но такую дельту получаю если для Сметра буду считать 10log(SummMagRBW) а не 20, но тогда показания Сметра врут ровно в 2 раза (при Атт 24, метр показывает ослабление на 12), а вот 20log(RBW) как раз и дают разницу в 15.2 at 2.9/0.5, где я что недопонимаю? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4253] Автор : EU1SW Дата : 13.07.2018 19:39 стоп, сейчас исходники свои найду... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4254] Автор : Васген Дата : 13.07.2018 19:45 Почему может быть такое, Шкала S-метра, на 80 метрах работает при появлении сигнала, а на 40 метрах при появлении сигнала не двигается? И В чем может быть причина, или это специально так сделано, при передаче внизу две шкалы, одна мощность, а другая ксв, и на той и на той в некоторых местах (хорошо видно особенно при полной шкале) есть в нижней части зазубринки (кажется что не прорисовка). Или это выгоревшие пиксели? Никто не замечал? И Вопрос, по максимальным показаниям в ваттах- 24 вт. Если Трансивер выдает 30 вт., где в настройках поменять или 24 вт это максимальный показатель на дисплее? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4255] Автор : R3DI Дата : 13.07.2018 19:56 День добрый, Сергей! Понял, спасибо! .... Я в пути с мобилки, Сергей, посмотрел калкулятором, -а это не одно и тоже , что в log аргумент в квадрате передать или вместо потом результат на 2 умножить/разделить ( для проца это для int легче будет)... надобы достать с полки математику... Васген, первый вопрос не совсем понял. Две шкалы это показания с ксв-метра, прямая и отр. Для показателя мощности есть настройка в меню, но для большей придется изменить делитель в ксвметре чтоб не прегружать входы ацп просессора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4256] Автор : EU1SW Дата : 13.07.2018 20:28 да, я тут исходники свои нашел - у меня там та же ошибка что и у Вас ) проблема видимо в том, что надо брать 10LOG10 из суммы квадратов магнитуд ) сижу, вспоминаю пароль от системы на диске 2 летней давности, там визуалстудия и окружение настроены, изменить, компильнуть и проверить ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4257] Автор : R3DI Дата : 13.07.2018 20:37 EU1SW, Сергей, ну и хорошо что выяснилось, я про 10 и 20 помню отличие, а задуматься о том, что mag спектра выдает совсем из головы вылетело, вот и ломал ее... голову.. Еще раз спасибо за наводку :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4258] Автор : РУСИЧ Дата : 14.07.2018 19:07 Сегодня сделал вылазку, вместе со своим верным другом собакиным)))):ржач: https://youtu.be/ZVThCnRfZP4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4259] Автор : ua3ycv Дата : 14.07.2018 20:24 вместе со своим верным другом собакиным))зачёт! надо земляку звякнуть-как он звучит на 40-ке в Воронеже:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4260] Автор : Wireless Дата : 15.07.2018 08:34 Коллеги! У кого какая погрешность часов? RTC накапливает ошибку за несколько суток примерно в несколько часов в сторону отставания. CR2032 свежая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4261] Автор : ua3ycv Дата : 15.07.2018 09:23 за 3 месяца отстали на 2 минуты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4262] Автор : RX9UAO Дата : 15.07.2018 11:27 За полгода 2минуты вперед,хорошо напомнили,сейчас поправим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4263] Автор : ua3ycv Дата : 18.07.2018 07:58 вот меня посетила неприятность-в грозу не вытащил антенну:-(трансивер потерял чувствительность на всех диапазонах раза в два-парни напомнитие как бороться с РЕ-шками-явно одна погибла -но вот как определить:shock: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4264] Автор : RA6ANR Дата : 18.07.2018 08:55 ua3ycv, та которая после ДПФ коммутирует rx-tx.уже две штуки на одном аппарате поменял.причем первый раз пропал прием,а второй усилитель возбуждался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4265] Автор : RV4LX Дата : 18.07.2018 10:54 как бороться с РЕ-шками-явно одна погибла Если бороться кардинально - то со стороны ДПФ, ближних к антенне менять ПЕшки на миниатюрные реле. И та которая после ДПФ коммутирует rx-tx, её тоже. Можно на вход попробовать газоразрядник поставить: https://www.smd.ru/katalog/zaschita/gazorazryadnik/ Но UR3LMZ как-то писал, что не всегда помогают. Хотя в Yaesu-857 стоит такой по входу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4266] Автор : UT4UBK Дата : 18.07.2018 12:48 Если бороться кардинально - то со стороны ДПФ, ближних к антенне менять ПЕшки на миниатюрные реле. И , её тоже. Можно на вход попробовать газоразрядник поставить: https://www.smd.ru/katalog/zaschita/gazorazryadnik/ Но UR3LMZ как-то писал, что не всегда помогают. Хотя в Yaesu-857 стоит такой по входу. Заложил в своей конструкции именно такого типа газоразрядник. Вопрос- на какое напряжение выбрать, у меня встроенный усилитель 100 ватт? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4267] Автор : Дэн Дата : 18.07.2018 12:52 Так не получится.Разрядник ставят на приёмник. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4268] Автор : UT4UBK Дата : 18.07.2018 13:37 Так не получится.Разрядник ставят на приёмник. Почему? Разрядник ставят непосредственно возле антенного разъема. Добавлено через 5 минут(ы): Нашел ответ здесь http://www.kolumbus.fi/oh5iy/back/Ham%20Radio%20Lightning%20Protection.html Табличка внизу Для КСВ >3 & Pmax 246W GDT voltage 350V -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4269] Автор : Дэн Дата : 18.07.2018 13:40 Ну да,только их 2 должно быть(разъёма),либо реле RX-TX. Всё равно - у приёмника есть вход.У передатчика есть выход.Получается,что разрядник должен быть либо на 5в,либо на 80-90в (если 100вт выход).Как это совместить?.На вход приёмника поставить лампочку от китайской гирлянды на 12-24в,последовательно с ДПФ (см. схемы ICOM). Или вообще с этим не заморачиваться,и в коммутаторе-дроссель на корпус. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4270] Автор : UT4UBK Дата : 18.07.2018 13:52 Ну да,только их 2 должно быть(разъёма),либо реле RX-TX. Всё равно - у приёмника есть вход.У передатчика есть выход.Получается,что разрядник должен быть либо на 5в,либо на 80-90в (если 100вт выход).Как это совместить?.На вход приёмника поставить лампочку от китайской гирлянды на 12-24в,последовательно с ДПФ (см. схемы ICOM). Или вообще с этим не заморачиваться,и в коммутаторе-дроссель на корпус. По идее - GDT давит мощные импульсы (и защищает и RX и TX), остальное можно задавить TVS - ми на входе приемника. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4271] Автор : Дэн Дата : 18.07.2018 13:53 GDT Может быть.Я не знаю,что это.Я по-классике ответил.Так и паяю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4272] Автор : RV4LX Дата : 18.07.2018 14:00 Получается,что разрядник должен быть либо на 5в,либо на 80-90в (если 100вт выход).Как это совместить? Cовместить это просто: - газоразрядник ставится параллельно антенному гнезду трансивера, которое является общим для приёмника и передатчика. Или вообще с этим не заморачиваться,и в коммутаторе-дроссель на корпус. Дроссель не есть хорошо, т.к. дроссель - это индуктивность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4273] Автор : Дэн Дата : 18.07.2018 14:39 Специальный дроссель. С большой индкутивностью, ЛИТЦЕНДРАТом намотанный,с малым омическим сопротивлением.Не ДМ-1,естественно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4274] Автор : RV4LX Дата : 18.07.2018 16:52 Специальный дроссель. С большой индкутивностью, Ещё хуже. Любой дроссель - это индуктивность. Открою вам небольшую тайну - индуктивность является сопротивлением для переменного тока. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4275] Автор : Radiotester Дата : 18.07.2018 17:06 Ну да,только их 2 должно быть(разъёма),либо реле RX-TX. Всё равно - у приёмника есть вход.У передатчика есть выход.Получается,что разрядник должен быть либо на 5в,либо на 80-90в (если 100вт выход).Как это совместить?.На вход приёмника поставить лампочку от китайской гирлянды на 12-24в,последовательно с ДПФ (см. схемы ICOM). Или вообще с этим не заморачиваться,и в коммутаторе-дроссель на корпус. Приведите пожалуйста фрагмент схемы где лампочка последовательно с дпф. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4276] Автор : Дэн Дата : 18.07.2018 17:23 В доп. приёмном входе IC756 стоит лампочка.У меня она сгорела во время CQ-WW-160M.Поставил из китайской гирлянды,с гибкими выводами.Подошла. индуктивность является сопротивлением для переменного тока.И что.По выходу УМ,на корпус,чем помешает,или по входу приёмника...? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4277] Автор : RV4LX Дата : 18.07.2018 17:53 И что.По выходу УМ,на корпус,чем помешает,или по входу приёмника...? Дэн, от статики ваша литцендратная катушечка возможно и поможет. Но грозовой разряд обладает широким спектром от низких до радиочастот. И очень высокой напряжённостью поля. Поэтому во время грозы "хрюкают" все радиоприёмные устройства. А не дай бог гроза рядом. Дроссель - практически изолятор для высокочастотных наводок, поэтому он свободно пропустит широкий спектр грозового разряда на вход приёмного тракта с последствиями для входных каскадов. Да может ещё и срезонировать. РЕ4259 является именно таким слабым звеном. Поэтому правильно подобранный газоразрядник, не обладающий индуктивностью и частотной зависимостью может спасти аппаратуру от разрушения. Особо если вы забыли отключить антенну и сейчас нет возможности этого сделать. Но во время грозы антенну всё-же лучше отключать. И не забывайте о том, что любая катушка имеет эффект самоиндукции, что усугубляет положение. Чем больше её индуктивность, тем больше это напряжение. Недаром во всяких модемах и импортных автомагнитолах очень часто можно видеть эти газоразрядники. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4278] Автор : ua3ycv Дата : 18.07.2018 18:07 ребята-огромное спасибо-поменял мукруху в дравере 4у2 приём появился:пиво:но не думал что разавью такую бурную дисскусию. РЕ4259 является именно таким слабым звеном. Поэтому правильно подобранный газоразрядник, не обладающий индуктивностью и частотной зависимостью может спасти аппаратуру от разрушения. Особо если вы забыли отключить антенну и сейчас нет возможности этого сделать. Но во время грозы антенну всё-же лучше отключать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4279] Автор : Дэн Дата : 18.07.2018 18:37 Если бы я придумал,чем защититься от грозы,то уже бы Нобел..... Вопрос был-куда и что воткнуть,и всё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4280] Автор : RV4LX Дата : 18.07.2018 18:48 Газоразрядник устроен просто. Внутри него инертный газ. При накоплении на выводах газоразрядника напряжения, бОльшего порогового инертный газ ионизируется и весь лишний заряд стекает на "землю". При этом на время действия импульса на вход устройства поступает напряжение, ограниченное выбранным напряжением газоразрядника. В моём трансивере во время таких процессов СМД-газоразрядник вспыхивает оранжевым светом подобно неонке. На приём и передачу он не влияет, т.к. его ёмкость не более 1pF а сопротивление более 200 мОм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4281] Автор : ur7cq Дата : 18.07.2018 18:50 Любой дроссель - это индуктивность. Открою вам небольшую тайну - индуктивность является сопротивлением для переменного тока. Ну и что? Забыли, что на разных частотах реактивное сопротивление дросселя будет разным, в итоге, для какой то частоты оно будет таким большим, что дроссель не будет вносить никакой нагрузки переменному току, т.е. никак не влиять ни на работу приёмника, ни на работу передатчика, зато по постоянному току не даст статическому напряжению убить приёмник. От статики самая надёжная защита на мой взгляд. Использую такой дроссель 150мКГн, установлен параллельно всем антеннам прямо в коммутаторе, много лет уже таким образом забыл о статике, её на входе трансивера просто нет, стекает через дроссель уже не помню, но вроде 2 шт последовательно соединённых анодных дросселей от р-140. Для Маламута можно просто взять любой подходящий каркас небольших размеров, намотать нужную индуктивность, припаять прямо на гнездо антенны параллельно контактам гнезда и забыть за проблему статики. Кля КВ диапазонов, нужно рассчитать для 160 м, чтобы реактанс был не менее 1 Ком, нужен дроссель с индуктивностью приблизительно 150 мКГн ( это с запасом), вполне достаточно, чтобы этот дроссель никак не влиял на работу трансивера, соответственно на всех диапазонах, что выше, реактивное сопротивление будет ещё выше, т.е. достаточно одного дросселя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4282] Автор : RV4LX Дата : 18.07.2018 18:54 Если бы я придумал,чем защититься от грозы,то уже бы Нобел.... Возможно у вас всё впереди.:smile: зато по постоянному току не даст А по переменному? забыл о статике, А о нестатике? Вопрос о грозе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4283] Автор : ur7cq Дата : 18.07.2018 18:56 А о нестатике? Вопрос о грозе. Гроза типа не статические разряды порождает? Когда в моём антенном коммутаторе не было дросселя параллельно всем антеннам, пару трансиверов подгорели конкретно ( хорошо, что самодельные), после, когда дроссель был установлен, это явление исчезло, 15-20 лет прошло с того времени. Думаете это случайно? Не думаю.;-) Если молния напрямую в антенну, тогда не спасёт ничего, тоже многими случаями подтверждено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4284] Автор : RV4LX Дата : 18.07.2018 19:09 Гроза типа не статические разряды порождает? Типа нет. Любая катушка или контур при внешнем воздействии имульсами генерирует затухающие колебания синусоидальной формы. Самоиндукция называется. Устал повторяться. Почитайте несколькими постами выше. Думаете это случайно? Не думаю., Везение - не критерий истины. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4285] Автор : ua3ycv Дата : 18.07.2018 19:12 это хорошо-покрутив сейчас трансивер увидел забавную(!):-(вещь-при включении cw режима-приём идёт не в "воротах" а как бы при режиме USB-от красной черты маркера и нет соответственно декода:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4286] Автор : R3DI Дата : 18.07.2018 19:21 ua3ycv, возможно включен широкий фильтр и нижняя граница совпадает с 0, декод идет на частоте cw tone и используется всего несколько бинов спектра, поэтому Вы можете слышать частоту попадающую в ворота фильтра, но она может ьыть в стороне от основного бина. Какой фильтр влючен и какая установлена частота cw tone? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4287] Автор : ur7cq Дата : 18.07.2018 20:03 Везение - не критерий истины. Совсем не критерий, но и гроз за 15 -20 лет прошло не мало, ладно, извините за офтоп. Газовый разрядник тоже далеко не панацея. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4288] Автор : ub1amz Дата : 18.07.2018 21:30 А подскажите по 6U8. У меня там LP2985 установлено. Вроде все в порядке. Но выход на 3.3 V занимает секунд 20-30. Вроде непропай не замечен. Может емкость в треш? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4289] Автор : R3DI Дата : 18.07.2018 23:25 ub1amz, может это из-за отсутствия емкости BYPASS ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4290] Автор : ua3ycv Дата : 19.07.2018 08:17 ua3ycv, возможно включен широкий фильтр и нижняя граница совпадает с 0, декод идет на частоте cw tone и используется всего несколько бинов спектра, поэтому Вы можете слышать частоту попадающую в ворота фильтра, но она может ьыть в стороне от основного бина. Какой фильтр влючен и какая установлена частота cw tone?Евгений-я про то что приём телеграфа вышел за приделы "ворот" переключение ширины фильтров не к чему не приводит-попробую по новому залить программу -да и с чувствительностью чувствую не всё так хорошо-есть ощущение что ещё одна из РЕ-шек в дпф "вытворяет чудеса:-(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4291] Автор : R3DI Дата : 19.07.2018 09:18 DSP обработка при переключение ширины фильтров и РЕ-шек в дпф - совсем разные вещи. В каких пределах идет прием ,скажем при фильтре 0.5к ? 0.2 к? Прикрепите фото экрана, но что-бы на нем M.SEL был FILT+ FILT- .... ...... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4292] Автор : ua3ycv Дата : 19.07.2018 17:33 вот ссылка на видио-только прошу прощение за дрожащие изображение-разгружал вагон с цементом-устал.ссылка-https://youtu.be/4mm6mTsd-DM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4293] Автор : R3DI Дата : 19.07.2018 19:18 ua3ycv, попробуйте сбросить фрам на дефолтные настройки... или для начала в меню заново установить значения филтров для cw и cwtone -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4294] Автор : ua3ycv Дата : 19.07.2018 19:30 :-(я уже забыл как это делать!!!!:ржач::ржач::ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4295] Автор : ub1amz Дата : 19.07.2018 20:34 ub1amz, может это из-за отсутствия емкости BYPASS ? Дак, вроде, это стабилизатор напряжения. На выходе должно быть ~ 3.3v. А оно нарастает постепенно с 1 вольта до нужного. PE'шки еще не впаял даже, просто проверял все напряжения стабилизаторов и заметил такую штуку… -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4296] Автор : RV4LX Дата : 19.07.2018 20:50 Дак нагрузите стабилизатор хотя-бы одной килоомой и проверьте работу. Если то-же самое, значит под замену. Конденсатор Bypass проверьте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4297] Автор : AndreySWL Дата : 19.07.2018 22:36 Оказывается, Маламут можно подружить с программой HDSDR . Что имеем - управление частотой трансивера в программе, очень быстро и очень удобно, мгновенный переход с одного диапазона на другой, не надо нажимать кнопки на трансивере. Также есть управление модуляцией, работают ячейки памяти,которых так иногда не хватало. Жаль,не работает панорама, это было бы предел мечтаний. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4298] Автор : R3DI Дата : 19.07.2018 23:37 Жаль,не работает панорама, это было бы предел мечтаний почему не работает ? может источник не тот выбран ? Панораму можно смотреть (слушать) и в 48к и в 96к -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4299] Автор : Alex7236 Дата : 20.07.2018 08:06 А можно подробнее узнать, где выбрать верный источник? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4300] Автор : Sergey_Lapin Дата : 20.07.2018 08:13 Alex7236, А можно подробнее , как Вы к HDSDR прикрутили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4301] Автор : Alex7236 Дата : 20.07.2018 09:07 Да там ничего особенного. В настройках программы включил Управление CAT, порт, скорость, и все заработало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4302] Автор : Sergey_Lapin Дата : 20.07.2018 09:11 Alex7236, Спасибо! Вечером буду пробовать. За одно может ответ на Ваш вопрос подоспеет. То же понарамку интересно видеть в HDSDR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4303] Автор : Alex7236 Дата : 20.07.2018 09:24 Вчера вечером подумал - а что если попробовать... И получилось. А когда уже всё выключил и лег спать, подумал : а ведь и запись эфира должна работать! Сегодня вечером проверю! И может быть получится панораму запустить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4304] Автор : R3DI Дата : 20.07.2018 09:31 В меню SDR есть пункт USB Mode - вот он и позволяет выбрать режим IQ, компорт будет другим номером ( потому и файликов 3 шт, мне не удалось объединить эти режимы в один ). А если кто подскажет как избавится от "ExtIO DLL does not support TX or SDR....." то и до передачи дойдет из HDSDR -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4305] Автор : EU1SW Дата : 20.07.2018 09:34 Евгений, на форуме есть тема и автор приборчика "Оса", он решил эту проблему -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4306] Автор : R3DI Дата : 20.07.2018 10:41 Сергей посмотрел, там решено спец dll.кой для осы. У меня другая ситуация, Маламут есть как внешняя звуковуха, и КАТ работае и на передачу переходит, но не идет сигнал с мик. на трх через хдсдр и это окно каж рас "выскакавает". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4307] Автор : ua3ycv Дата : 20.07.2018 16:42 это хорошо-покрутив сейчас трансивер увидел забавную(!)вещь-при включении cw режима-приём идёт не в "воротах" а как бы при режиме USB-от красной черты маркера и нет соответственно декодапока победить не получилось-сброс фрама и перезаливка программы не чего не дало:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4308] Автор : Love777888 Дата : 20.07.2018 17:24 Всем привет! Такой вопрос какая чуйка у fst3253? Мне не нравится чувствительность трансивера. В прошлый раз, я поднял чуйку посредством изменения резисторов в обратных связях ОУ, получил шум в виде горба на дисплее, но чуйка выросла до 0.5-0.6 мкВ. Боролся с шумом… а никак, сняв конденсаторы проходные от смесителя до ОУ, убедился в том что гадит именно ОУ, поменяв резисторы обратно на 3кОм, горб исчез ровная ачх на дисплее, но и чутье стало 2 мкВ. Не дело, не то это все. Сейчас остановился на 15кОм, более менее нет выраженной горбатой характеристики на дисплее в центре. Думал кодек, кодек рабочий, проверял заменой но с другой серией. В некоторых источниках вычитал, что чутье у ыст 0.22мкв, это в составе других трансиверов. Ну а наш то что? Схема везде одинакова. Посоветуйте, чего ещё. Сигнал до смесителя приходит, в байпасс не сильно отличается, в отличие с дпф. И ещё просьба дать линк на фст с али, гарантированно рабочие. Кто чего применял вместо Era-3sm? Редкостное г…но, шумит как примус. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4309] Автор : RC3ZQ Дата : 20.07.2018 18:34 Кто чего применял вместо Era-3sm? Редкостное г…но Вот то что это Г..но это точно. Продаван с китая https://ru.aliexpress.com/item/ERA-3SM-ERA-3SM-SMD-10pcs-lot-Free-shipping/32515729362.html?spm=a2g0s.9042311.0.0.274233ed5IVYVt (жулик чистой воды) мне и деньги за них отказался возвращать, усиление занижено при токе 35ма. Примерно 12дб. Снимал на стенде АЧХ сего г...вна с помощью NWТ500. Вы не у него покупали? Слепить попробовать можно УВЧ на том же BFG135 или 591 установив плату вертикально на том месте где era. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4310] Автор : R3DI Дата : 20.07.2018 19:19 Love777888, вот недавно опять полнимался этот вопрос (6стр назад) , - " тут #3845 и далее посмотрите" Вот сообщение UR6LCK, тут #3845 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1532490&viewfull=1#post1532490) и далее посмотрите, если это то что интересует, приборов нет, все на "пальцах" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4311] Автор : ua3ycv Дата : 20.07.2018 20:16 или для начала в меню заново установить значения филтров для cw и cwtoneпример если можно.пробую всё:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4312] Автор : R3DI Дата : 20.07.2018 20:19 ua3ycv, да после перезагрузки фрам это не имеет смысла, точно перезагрузку сделали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4313] Автор : РУСИЧ Дата : 20.07.2018 21:12 Сегодня решил поиграться с программой HDSDR! Спасибо Автору трансивера!!!:пиво: https://youtu.be/evBkuK36SMg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4314] Автор : R3DI Дата : 20.07.2018 21:26 РУСИЧ, да не за что ))) , там и CAT должен работать , при IQ он другим номером порта становиться ( потому и 3 inf файла, для 48kAF, 48kIQ and 96kIQ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4315] Автор : РУСИЧ Дата : 20.07.2018 21:32 там и CAT должен работать , при IQ он другим номером порта становиться ( потому и 3 inf файла, для 48kAF, 48kIQ and 96kIQ) Да я Видел Евгений! Все работает!!!:пиво::пиво::пиво: Просто в теме где то проскочило что нет водопадов)))) Завтра если погода не подведет, опять на рыбалку с собакиным))))):ржач::ржач::ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4316] Автор : РУСИЧ Дата : 21.07.2018 00:04 С программой PowerSDR для обзора, тоже пойдет)))) https://youtu.be/uQjZUwCxGhA -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4317] Автор : AndreySWL Дата : 21.07.2018 01:22 В меню SDR есть пункт USB Mode - вот он и позволяет выбрать режим IQ Хм... что-то не нашел подобного пункта в HDSDR .... :-| -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4318] Автор : Radiotester Дата : 21.07.2018 01:38 AndreySWL, Звуковое устройство укажите виртуальную аудио карту которая появляется когда Маламут к usb подконекчен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4319] Автор : AndreySWL Дата : 21.07.2018 01:50 укажите виртуальную аудио карту которая появляется когда Маламут к usb подконекчен. 292891У меня нет виртуальной аудио карты, у меня вот так А вот как в HDSDR выбрано 292892 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4320] Автор : R3DI Дата : 21.07.2018 02:26 Хм... что-то не нашел подобного пункта в HDSDR .... :-| не в HDSDR а в меню Маламута -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4321] Автор : ua3ycv Дата : 21.07.2018 08:56 ua3ycv, да после перезагрузки фрам это не имеет смысла, точно перезагрузку сделали?вот тут то и интересный момент-для сброса фрама надо нажать C D и включить питание-у меня не чего не происходит.тогда делаю так-D E-то при включении появляется подменю о фраме-нажимаю В-как требует меню-и всё-НО не происходит не каких изменений в работе-ни каких:-(месяца два назад у меня была проблема связаная с низким питанием трансивера и попыткой сброса фрама-вообще трансивер перешёл в какое-то "пограничное состояние"-вылечил только откатом ПО с 4.9 версии в 2.5 и потом обратно 4.9 сейчас использую cx версию:пиво:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4322] Автор : RA6ANR Дата : 21.07.2018 10:50 ua3ycv, кнопки слева направо-M.Sel,A,B,C,DНету там E)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4323] Автор : AndreySWL Дата : 21.07.2018 11:03 не в HDSDR а в меню Маламута Да, нашел этот пункт, там AF написано, при нажатии Изменить, мигает красным и зеленым надпись эта и всё, ничего не меняется :-| Добавлено через 5 минут(ы): 292899 Вот так выглядит HDSDR у меня,водопада по-прежнему нет ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4324] Автор : R3DI Дата : 21.07.2018 13:06 ua3ycv, обновите с Ядиска СХ, был момент когда кнопки были не активны. AndreySWL, токгда пробуйте так - сначала режим в Маламуте выставить, потом усб подключить и потом хдсдр запустить -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4325] Автор : AndreySWL Дата : 21.07.2018 13:22 сначала режим в Маламуте выставить, потом усб подключить и потом хдсдр запустить Попробовал, звук пропадает на трансивере, и комп не видит его -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4326] Автор : Genadi Zawidowski Дата : 21.07.2018 13:41 Думаю, автору будет интересно, реагирует ли нга кнопки в этом состоянии трансивер, идет ли отображение панорамы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4327] Автор : Love777888 Дата : 21.07.2018 14:56 Спасибо всем, кто отозвался за комментарий о чувствительности трансивера, Евгений я не придал внимания тому посту, где вы ставили эксперимент, действительно когда с метр показывает 3-4 балла шума с измененными резисторами в ОУ, то чувствительность была 0.5 мкВ, что хорошо. Но шуму много. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4328] Автор : R3DI Дата : 21.07.2018 22:10 Вот так выглядит HDSDR у меня,водопада по-прежнему нет После включения IQ вы дождались установки драйверов ? Вы активировали новые устройства ? Только после этого можно запускать HDSDR иначе в программе не будут доступен звук. устройства трансивера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4329] Автор : AndreySWL Дата : 21.07.2018 22:33 После включения IQ вы дождались установки драйверов ? Вы активировали новые устройства ? Нет, ничего этого я не делал ((( Что за устройства и где их активировать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4330] Автор : R3DI Дата : 21.07.2018 22:52 Что за устройства и где их активировать? 292930292931 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4331] Автор : Genadi Zawidowski Дата : 21.07.2018 22:53 С моим аппаратом (не Маламут) это выглядело так: 292932292933 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4332] Автор : AndreySWL Дата : 21.07.2018 23:02 Так приемник и передатчик Маламут у меня есть в устройствах и давно активированы. В цифре всё работает без проблем, а вот захотелось панорамку увидеть на компе, да не тут то было ... ((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4333] Автор : R3DI Дата : 21.07.2018 23:11 AndreySWL, в Маламуте включите режим IQ (описывал ранее) - произойдет отключение и новое подключение ЮСБ устройства ,и ..... покажите что у вас в системе, в деспетчере устройств, в звуковых устройчтвах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4334] Автор : AndreySWL Дата : 21.07.2018 23:53 292936292937292938 были неактивны оказывается, сейчас попробую HDSDR запустить Добавлено через 15 минут(ы): 292939 Водопад вроде как появился, а звука нет. Какие настройки надо выставить в программе или в компе,чтобы появился звук? В трансивере не надо ведь ничего больше делать ? Вверху слева на дисплее трансивера горит надпись IQ 48k/24b красным цветом , это нормально ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4335] Автор : R3DI Дата : 21.07.2018 23:59 Нормально. РХ выход - динамики -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4336] Автор : AndreySWL Дата : 22.07.2018 00:09 292941 Вот так выставлено,а звука так и нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4337] Автор : РУСИЧ Дата : 22.07.2018 00:23 Вот так выставлено,а звука так и нет Звук должен выходить из пк и динамики подключайте к пк, на всякий случай сделайте рестарт программой! ТО R3DI Целый вечер проигрался с программой что токо не делал, звука на ТХ не получил(((( Все на передачу становиться, тх без ошибок а алё нет:ржач: Что может подскажите? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4338] Автор : AndreySWL Дата : 22.07.2018 00:25 К компу всё подключено ( наушники ) , на компе звук присутствует, с этим проблем нет, а вот в HDSDR звука нет .... Пробовал ее перезапустить - безрезультатно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4339] Автор : РУСИЧ Дата : 22.07.2018 00:30 Щя сриншоты сделаю, свои настройки! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4340] Автор : AndreySWL Дата : 22.07.2018 00:31 Щя сриншоты сделаю, свои настройки! ок,жду -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4341] Автор : РУСИЧ Дата : 22.07.2018 00:41 У меня так! И все работает кроме передачи((((( То есть на передачу все становится! Звука нет((((:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4342] Автор : R3DI Дата : 22.07.2018 00:49 РУСИЧ, да дело в том что я так и не смог настроить хдсдр на передачу. На передачу тоже переходит, но выскакивает то окно о котором писал и с микрофона сигнал в юсб маламута не поступает...( Потому в iq пока и код не делал, а у Вас как ? В режиме AF "поалекать" можно? С микрофона компа через хдсдр идет сигнал в маламут ? ПС Alt+PrtScr скриншот только активного окна -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4343] Автор : РУСИЧ Дата : 22.07.2018 00:55 В режиме AF "поалекать" можно? С микрофона компа через хдсдр идет сигнал в маламут ? В режиме AF я не пробовал! Я добивался с микрофона пк в режиме IQ48/24(((( пробовал подавать на линейный вход, не виртуально ни чего с этого не вышло(((( ТХ включал по разному, программа только материться и все ,звука по тх нет(((( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4344] Автор : AndreySWL Дата : 22.07.2018 01:08 У меня так! И все работает кроме передачи((((( Да.... странно все это. У вас в звуковых устройствах Маламут отображен, как будто он включен в обычном режиме, а у меня, когда он сейчас включен в режиме IQ - он и в звуковых устройствах отображен по-другому --- SDR Malamute IQ . В диспетчере устройств у вас в звуковых устройствах его вообще нет, а в разделе Порты , он отображен у вас как VCOM CAT PORT - у меня такого нет, как виртуальное уст-во он у меня не установился. Посмотрел я ваши настройки HDSDR - тут все практически одинаково. У вас когда включена панорама в HDSDR, в каком режиме работает Маламут ? Добавлено через 7 минут(ы): У меня так! А S-metr в HDSDR во время работы маламута у вас работает ? Показания адекватные ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4345] Автор : R3DI Дата : 22.07.2018 01:22 РУСИЧ, попробуйте в АФ, если звук будет идти то буду Вас мучить - как Вы это настроили, для iq пока функции приема звука с юсб нет, только аф AndreySWL, для панарамы iq, af это уже нч обработаный цвук, просто у менчя скрины были уже для аф -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4346] Автор : РУСИЧ Дата : 22.07.2018 09:48 ТО R3DI Евгений на самой программе HD вход я ставлю IQ, а выход я ставлю AF! Звук идет при любых позициях! Но у меня стоят еще драйвера ASIOможет они там творят чудеса)))) Хотя вырядили)))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4347] Автор : ua3ycv Дата : 22.07.2018 10:15 а я решил свою проблему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4348] Автор : UA0BHC Дата : 22.07.2018 10:24 а я решил свою проблему. ну и что было? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4349] Автор : ua3ycv Дата : 22.07.2018 10:37 ну и что было?как бы в "общем"? то команда "очистить фрам" не выполнялась-в ручном режиме на програматаре почистил микруху всё стало на место-и приём и декод восстановлен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4350] Автор : R3DI Дата : 22.07.2018 10:39 Олег, это драйверы чего, длл-ка для хдсдр? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4351] Автор : РУСИЧ Дата : 22.07.2018 11:00 Пока писал и делал скрины выкинуло с сайта(((:-( Ну да ладно. Вот тут надо рыть. По приему все гут! по ТХ со звуком проблемы, промучился до двух ночи, кроме "мата" программы по ТХ я не услышал(((:-( И ещё при установке IQ каждой позиции в диспетчере задач пришлось указывать путь папки драйверов иначе не работало((( https://www.youtube.com/watch?v=EXR9o-pAYg8&feature=youtu. be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4352] Автор : R3DI Дата : 22.07.2018 11:21 Да, мне так и не удалось подружить 24 битную конфигурацию с 16, винда видела что-то одно, потому все три вида имеют разный ПИД, по сути это разные устройсва для винды. Думаю выкинуть эти 24 бита и оставить 48/96к 16бит в отдном устройстве. Олег, видео не доступно, так в режиме AF с майка компа хдсдр в маламут звук пускает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4353] Автор : РУСИЧ Дата : 22.07.2018 12:57 Олег, видео не доступно На Ютубе забанили, за то что дал ссылку на форум:crazy: сейчас попробую заново видео выложить! Нет не пускает ни как. или материться или просит DLL(((( Добавлено через 42 минут(ы): https://www.youtube.com/watch?v=QqZTaOYyLiM& t=64s -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4354] Автор : avbelnn Дата : 22.07.2018 13:51 Но у меня стоят еще драйвера ASIOможет они там творят чудеса)))) А можно поподробнее.Какой версии драйвер.У меня с ASIO 2.13 Маламут в i/q 24bit/48kHz есть и звук и панорама,с 16bit/96kHz только панорама.С Аистом видится и AF и I/Q одновременно292991292992292993.292990 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4355] Автор : R3DI Дата : 22.07.2018 14:05 Олег, Вы меня немного не поняли, в IQ и не будет - пока такой функции в Маламуте нет. Интересует именно в режиме AF, будет ли передача звука именно с микрофона компа (не с тангенты) - через HDSCR с обработкой - на Маламут . С Аистом видится и AF и I/Q одновременно В Аисте задействован другой юсб в котором больше ендпоинтов и есть память для реализации этого, в Маламуте такой возможности нет. ASIO4ALL (http://www.cqham.ru/forum/attachment.php?attachmentid=292989&d=1532256327) - это что ? и для чего? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4356] Автор : avbelnn Дата : 22.07.2018 14:11 это что ? и для чего? В мануале написано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4357] Автор : Genadi Zawidowski Дата : 22.07.2018 14:12 Заголовок : I/Q Как запасной вариант для USB на 4xx процессоре можно попробовать разделять режимы работы по требуемому формату. Занятый объем в FIFO по максимально необходимому для набора форматов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4358] Автор : belpochta Дата : 22.07.2018 14:12 а какой самый большой экран можно поставить в этот прекрасный аппарат? и в ЛС подскажите, где можно приобрести настроенную безпроблемную плату? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4359] Автор : avbelnn Дата : 22.07.2018 14:15 в Маламуте такой возможности нет. Достаточно на выбор AF или 16 bit(48,96кГц),САТ.H7 и F407 я не сравниваю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4360] Автор : R3DI Дата : 22.07.2018 14:23 В мануале написано. ну кроме "Универсальный ASIO-драйвердля звуковых WDM-устройств" , спасибо за использование... и как настроить - в пдф не нашел - для чего оно нужно - потому и спросил. Как запасной вариант для USB на 4xx процессоре можно попробовать разделять режимы работы по требуемому формату. Занятый объем в FIFO по максимально необходимому для набора форматов. ей богу, не понял, Вы это к чему ? В Маламуте в меню идет выбор AF или IQ ,avbelnn привет что "С Аистом видится и AF и I/Q одновременно" , в Маламуте одновременной такой работы нет возможности -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4361] Автор : РУСИЧ Дата : 22.07.2018 14:25 Олег, Вы меня немного не поняли, в IQ и не будет Да я Вас понял! В UR5EQF в режиме AF все работает в смысле звук и туда и сюда))), но а в HDSDR в режиме AF что то пытается, но происходит срыв((( Ну и в программе вылетает табличка! Одним словом пока ни как:-( Я так понимаю оно и у Вас тоже самое. Выставлять видео я думаю нет смысла хотя я снял, могу и показать что происходит у меня??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4362] Автор : Genadi Zawidowski Дата : 22.07.2018 14:30 Это к тому, что перенастройка режима работы возможна из windows. Кстати, при использовании ASIO4ALL появляется приятная автоматизация: при запуске программ работающих со звуком (MIXW, WSJT) исползуется режим работы соответствующих AF, при запуске HDSDR используется IQ. 292997 На скриншоте пример как раз от такой "ужатой" версии USB Аиста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4363] Автор : R3DI Дата : 22.07.2018 14:34 так понимаю оно и у Вас тоже самое В том то и дело что не тоже - у мнея HDSDR в ТХ вообще не передает звук , источник мик компа, передатчик - маламут, не мик не слышит HDSDR ну и в Маламут ничего не идет. В цифровых прогах все работает,а в HDSDR нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4364] Автор : РУСИЧ Дата : 22.07.2018 14:38 В цифровых прогах все работает,а в HDSDR нет. Согласен!!!:пиво::пиво::пиво: Так как быть???? Кто поможет??? :smile: С HDSDR??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4365] Автор : avbelnn Дата : 22.07.2018 14:39 в Маламуте одновременной такой работы нет возможности Да этого и не надо.Переустановил ASIO заработало в 16/96 cо звуком.В HDSDR появится вкладка в select input->выбрать ASIO.Настройки его в show ASIO control panel.Перед открытием HDSDR запустить инсталятор.Вот и вся настройка.292998 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4366] Автор : R3DI Дата : 22.07.2018 14:42 Вот и вся настройка. У Вас так для Маламута ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4367] Автор : РУСИЧ Дата : 22.07.2018 14:44 Да этого и не надо.Переустановил ASIO заработало в 16/96 cо звуком На передачу заработало??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4368] Автор : avbelnn Дата : 22.07.2018 14:48 У Вас так для Маламута ? Да,Маламут подключён. На передачу заработало??? Ну если в коде нету для I/Q,то нет конечно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4369] Автор : R3DI Дата : 22.07.2018 15:03 На скриншоте пример как раз от такой "ужатой" версии USB Аиста Геннадий, наверное Вы уже не помните, но на 7ке какая-то беда с 24 бит, для 16 можно хоть 5 скоростей, да хоть 5 алтсетингов сделать - все номально, но стоит ввести хоть один 24бит режим - все, видит тоько что-то одно и тоько одну скорость, даже с одним альтсетом 24бит в 2е скорости, винда7 видит тоько один режим (одну скорость) но мы уже тут разговор ведем за разные вещи - вот и каша. Вопрос вот в чем - (без Маламута) HDSDR ТХ - вход Микрофон - выход Линейный выход - и ничего не происходит, вот чего понять не могу - для этого и нужен ASIO ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4370] Автор : Genadi Zawidowski Дата : 22.07.2018 15:38 Евгений, уточните - W7 должна увидеть 16/48000 и 24/96000 от радио (оба стерео)? Такое видит. Там проблема если скорость не точно 48к/96к - но это тогда и на ASIO аффкктит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4371] Автор : avbelnn Дата : 22.07.2018 16:05 для этого и нужен ASIO Видимо для HDSDR нужна DLL,как в Осе.ASIO не имеет никакого отношения к этому.293002 Если у кого получится,может поделится настройками.293004 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4372] Автор : Genadi Zawidowski Дата : 22.07.2018 17:00 Вот тестовая прошивка... Должно опознаться в виде аудио - с переключаемым форматом на вход и одним стерео на выход и как два компорта - олин из них с CAT от кенвуда 590. Будет ли в W7 работать компорт не уверен. UPD: Индикатор тоже должен ожить и отражать часы (неточные, от LSI) и результат выполнения команд по CAT. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4373] Автор : R3DI Дата : 22.07.2018 18:41 Евгений, уточните - W7 должна увидеть 16/48000 и 24/96000 от радио (оба стерео)? Такое видит. Там проблема если скорость не точно 48к/96к - но это тогда и на ASIO аффкктит. Именно вот так не видит, видит только один режим и выбора нет. Прошивка ниже для FS? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4374] Автор : Genadi Zawidowski Дата : 22.07.2018 18:45 Для FS. Вы на источниках звука смотрите? Сейчас проверю на W7. Пока как развлекуха - попробуйте вот с этой прошивкой валкодер покрутить... Добавил вклбчение дисплея в соответствии со схемой ASDR_SCHv1.4.pdf. Кстати, удалите composite device (составное кстройство) сообветствующее трансиверу - модет помочь. UPD: понял, что мою еще не тестили... проверил - под W7 аудио нормально позволяет выбирать формат уотором от трансивера к компютеру идет звук (под W10 уже проверял). компорт не работает... 293014 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4375] Автор : Genadi Zawidowski Дата : 22.07.2018 20:19 Евгений, вот для проверки версия с одним компортом, проверил - под W7 работает. Работающая модель USB, можно сказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4376] Автор : R3DI Дата : 22.07.2018 20:30 Геннадий, посмотрел, спасибо, видно 2 режима, буду разбираться в дескрипторах.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4377] Автор : Genadi Zawidowski Дата : 22.07.2018 20:33 Дамп сможете получить? Могу снять usblyzer-ом. Ранее была версия с созданием feature unit и ИГНОРИРОВАНИЕМ регуляторов. upd: версия без feature unit. Дамп с неё. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4378] Автор : R3DI Дата : 22.07.2018 20:44 usblyzer есть, дамп снял уже... думаю у меня может это было из-за меньшего размера точки и винда посчитывала то не хватит пропускной способности.... да, у Вас какое распределение FIFO FS ? у меня так #define RX_FIFO_FS_SIZE 140 #define TX0_FIFO_FS_SIZE 32 #define TX1_FIFO_FS_SIZE 128// UAC #define TX2_FIFO_FS_SIZE 16// CDC #define TX3_FIFO_FS_SIZE 4// CDC Да, можно сделать что при выборе алтсета 96/24 трх переходи на 96к и в IQ автоматом, при это вход 96 кто его переведет? и нужно не забывать это сделать... или он остается 48 ? но тогда нужно делать интерполяцию для кодека? линейную? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4379] Автор : Genadi Zawidowski Дата : 22.07.2018 20:50 Я не понял к чему все эти константы... У меня (можно посмотреть дамп) размеры endpoints: На передачу из компьютера 192 байта На передачу в компьютер режим AF 196 байтов На передачу в компьютер режим IQ 582 байта. Как оно суммируется и инициализирует FIFO - можно в моей программе посмотреть функцию usbd_fifo_initialize в файле usbd.c - там работающее сейчас... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4380] Автор : R3DI Дата : 22.07.2018 20:55 весь размер FIFO FS 1280 распределение по 4 итого 320. Выше все 320 распределены но для "режим IQ 582 байтоа." нужно 146 (146*4=584), а у меня 128 (128*4=512) и взять негде -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4381] Автор : Genadi Zawidowski Дата : 22.07.2018 20:58 У меня работает, если что. Скопируйте к себюе код и прсмотрите как там оно делает. Ссылкой на исходник я дополнил ранее написанное сообщение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4382] Автор : R3DI Дата : 22.07.2018 21:02 да и вообще, нужны ли эти 24бит, когда реальных 15 с натяжкой. Да и пока не научу HDSDR поток а ТХ гнать все это не имеет смысла -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4383] Автор : Genadi Zawidowski Дата : 22.07.2018 21:19 24/96000 влезает... Вам ведь не недо отводить отдельный TX буфер под этот endndpoint. Я же писал - отведите больший из требуемых размеров. Проблемы с немодифицированной библиотекой от ST? Так оно еще может подгадить... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4384] Автор : avbelnn Дата : 22.07.2018 21:21 пока не научу HDSDR Если свою DLL напишите,то сможете.Примеры там есть.Был такой вопрос.Q: TX doesn't work! How do I enable it? http://www.hdsdr.de/faq.html -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4385] Автор : R3DI Дата : 22.07.2018 21:27 к оно суммируется и инициализирует FIFO - можно в моей программе посмотреть функцию usbd_fifo_initialize в файле usbd.c Мне вот так сходу Ваш код не прочесть Я же писал - отведите больший из требуемых размеров. Проблемы с немодифицированной библиотекой от ST? Так оно еще может подгадить... я про аппаратный FIFO в библиотеке ST. Если свою DLL напишите,то сможете. с учетом что юсб не пользуюсь для такого режима (только для лога) - ну совсем никакого энтузиазма нет еще DLL изучать и писать )))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4386] Автор : Genadi Zawidowski Дата : 22.07.2018 21:34 я про аппаратный FIFO в библиотеке ST. А я про то что в библиотеке от ST инициализация USB FIFO сделана без должного внимания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4387] Автор : ua3ycv Дата : 28.07.2018 16:46 что то тема "затихла"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4388] Автор : EU1SW Дата : 28.07.2018 18:33 Дирижер что ли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4389] Автор : SVd2004 Дата : 28.07.2018 19:40 Исходники кода так же лежат на Ядиске. Возможно ли выложить новые исходники? Тема "Программное обеспечение для "Маламута" основанное на открытое автором версии V2.0" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4390] Автор : R3DI Дата : 28.07.2018 20:04 SVd2004, выкладывайте, может и они будут кому интересны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4391] Автор : евгений1979 Дата : 29.07.2018 10:55 добрый день. решил собрать трансивер. хотел поинтересоваться не остались у кого нибудь излишки операционники MCP6001UT. в китае только партия 50 шт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4392] Автор : РУСИЧ Дата : 29.07.2018 10:58 MCP6001UT. в китае только партия 50 шт. https://www.chipdip.ru/search?searchtext=MCP6001UT -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4393] Автор : akor Дата : 29.07.2018 11:01 Добрый день. Остался только один, брал здесь: https://www.chipdip.ru/product/mcp6001ut-i-ot-microchip -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4394] Автор : UA7KJ Дата : 30.07.2018 11:45 День добрый. Кто может объяснить такой глюк: при включении трансивера не всегда запускается дисплей. Прием есть, перестройка тоже экран белый. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4395] Автор : rn4haq Дата : 30.07.2018 11:52 Проверяйте шлейф и разъемы дисплея. Было такое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4396] Автор : UA7KJ Дата : 30.07.2018 11:56 Проверяйте шлейф и разъемы дисплея. Было такое. Проверял, менял, прозванивал от ножек контролера не помогло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4397] Автор : rn4haq Дата : 30.07.2018 11:59 Пропай контроллера -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4398] Автор : UA7KJ Дата : 30.07.2018 12:00 Пропай контроллера Делал и это. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4399] Автор : RA6ANR Дата : 30.07.2018 12:20 UA7KJ, стабилизатор 5в импульсный? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4400] Автор : UA7KJ Дата : 30.07.2018 12:25 стабилизатор 5в импульсный? Нет не импульсный. Трансивер питается от трансформаторного БП все стабилизаторы на кренках. Плата авторская V1.2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4401] Автор : Genadi Zawidowski Дата : 30.07.2018 12:35 Попробуйте как-то уложить провода идущие к индикатору в пучёк, улучшить земляной контакт - с учетом того, что в данной констркуции по ним передается около 25 мегабит. Еще - слишком плавное нарастание напряжения питания может быть проблемой. Т.е., индикатор например еще не "включился", а контроллер трансивера ему уже передает инициализационную последовательность команд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4402] Автор : dadigor Дата : 30.07.2018 13:28 Еще - слишком плавное нарастание напряжения питания может быть проблемой. Т.е., индикатор например еще не "включился", а контроллер трансивера ему уже передает инициализационную последовательность команд. Подтверждаю, тоже было такое. И еще дребезг при включении питания такой эффект иногда дает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4403] Автор : akor Дата : 30.07.2018 14:03 Всех приветствую. В связи с тем что забыл добавить в заказ номиналы резисторов 3 ком (7R3, 7R7, 7R12,7R16) возник вопрос на сколько критичны эти номиналы в данной схеме, например могу ли я поставить вместо 3-х, 3,3 ком-а выпаяв из какой-нить старой материки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4404] Автор : UN7GDZ Дата : 30.07.2018 14:40 MCP6001UT. в китае только партия 50 шт. https://ru.aliexpress.com/item/10pcs-lot-MCP6001UT-I-OT-SOT-23-5/32787364479.html?spm=a2g0s.9042311.0.0.274233edjXgiLA (https://ru.aliexpress.com/item/10pcs-lot-MCP6001UT-I-OT-SOT-23-5/32787364479.html?spm=a2g0s.9042311.0.0.274233edjXgiLA) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4405] Автор : eu7ea Дата : 30.07.2018 18:16 Почему то не работает стабилизатор 11U4, тот что питает процессор. На входе 5в, на выходе 5в, на ноге управления 3.3в. Микросхему менял - все тоже. Резисторы мерил 240 и 390ом. Чудеса, просто, может бывают LM с другой цоколевкой или еще что? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4406] Автор : Genadi Zawidowski Дата : 30.07.2018 18:50 есть подозрение, что Вам продали не LM317, а что-то другое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4407] Автор : eu7ea Дата : 30.07.2018 19:33 есть подозрение, что Вам продали не LM317, а что-то другое. В разных местах брал 2 штуки, сейчас большую (LM317T TO220) попробую на проводках. Добавлено через 39 минут(ы): С большой тоже самое, попробовал вообще без стабилизатора CPU 3.3 там оказывается уже есть 5в. Вопрос откуда? Питаю от блока питания 5в, сразу подаю на выводы где в плате будет КРЕН5 (пока ее нет, и 12 на плате соответственно нет) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4408] Автор : dadigor Дата : 30.07.2018 20:25 На входе 5в, на выходе 5в А может в плате что-то? Не прозванивали вход на выход без микросхемы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4409] Автор : eu7ea Дата : 30.07.2018 20:32 А может в плате что-то? Не прозванивали вход на выход без микросхемы? Короткое 0ом между 5в выход крен и 3.3 выходом LM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4410] Автор : Genadi Zawidowski Дата : 30.07.2018 20:43 Нагрузите получше резисторов на выходе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4411] Автор : eu7ea Дата : 30.07.2018 20:56 Всему виной 10R11 и 10R12 по 0ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4412] Автор : dadigor Дата : 30.07.2018 22:26 Всему виной 10R11 и 10R12 по 0ом Не понял, а Вы под какой синтезатор паяете плату? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4413] Автор : eu7ea Дата : 30.07.2018 23:19 Не понял, а Вы под какой синтезатор паяете плату? Si5351 и машинально по схеме запаял оба эти резистора. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4414] Автор : dadigor Дата : 31.07.2018 00:25 Судя по симптомам неиспрааности что-то в этом роде я и предполагал )) как известно, в электронике есть три основных вида неисправностей: 1. Есть контакт где не надо 2. Нет контакта где надо и 3. Хрен его знает... Причем третий вид сводится к одному из первых двух, после размышлений и прозвонки. )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4415] Автор : us5evd Дата : 31.07.2018 09:32 Еще - слишком плавное нарастание напряжения питания может быть проблемой. Да, столкнулся с этим. С импульсным БП все ок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4416] Автор : RC3ZQ Дата : 31.07.2018 09:52 После стабилизатора по более тантал поставить можно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4417] Автор : Сармат Дата : 31.07.2018 20:58 Очередной Маламут293524 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4418] Автор : RX9UAO Дата : 01.08.2018 04:04 Сармат,а по счету какой?Молодца. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4419] Автор : Васген Дата : 01.08.2018 11:19 Собрал Маламут на авторской плате. Евгений, спасибо огромное за такую возможность. Приятно слушаешь и наслаждаешься этой конструкцией. Но у меня ни как не получается настроить на передачу. Вроде настроил ДПФ 160,80, 40 метровый диапазон. На нагрузке 50 ом. выдает более 20 вт мощности, при питании 12-13 в. Но не могу понять почему меня не слышно или еле еле слышно. Включаю СДР приемник рядом, вижу и слышу себя хорошо с широкой полосой. Подключаю через согласующее устройство антенну диполь 40 м. или антенну Луч на 80 м. через согласующее (20 метров длин), пытаюсь услышать себя на Web SDR. Пытался в разное время суток, в разные дни, при разных прохождениях, на разных СДР станциях. И в 350 км. от меня и за 1000 км. и даже зарубежные WEB SDR (Греция) , на разных антеннах пытался, когда одна ккогда другая лучше, с мощностью разной. Результат один, слышно Тон и видно от него полоску на спектре WEB sdr, голос очень, очень тихо при хорошем прохождении в редких случаях. Но не вижу полосы широкой на Web СДР . От тона вbжу, узкую полоску и в 350 км. от себя и в 1000 км.от меня. Почему такое может быть, в чем причина? Крутил настройки усиление микрофона и на плате переменным. Или это все городские условия сказываются и надо выбираться в поля для испытаний? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4420] Автор : ua3ycv Дата : 01.08.2018 18:30 краснодарские Вас слышат?если-да-то две позиции-или там не 20ть ватт или антена не согласованна с трансивером- Подключаю через согласующее устройство антенну диполь 40 м.зачем? вез обид-это уже не по теме форума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4421] Автор : Васген Дата : 01.08.2018 20:22 Нет, были тут рядом ребята, не слышали. Днем на 40-ке. Ну про антенну, чтобы понятно было, что все делаю как надо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4422] Автор : Сармат Дата : 01.08.2018 20:37 Сармат,а по счету какой?Молодца. Это третий, четвертый тоже скоро закончу. Вот что внутри. 293599293600 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4423] Автор : EW2MS Mikhail Дата : 01.08.2018 21:28 Сармат, можете поделиться данными ФНЧ? Тоже хочу поменять на Т50 кольца, и выходной каскад запитать 24В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4424] Автор : akor Дата : 01.08.2018 21:41 Доброго времени суток.Скажите, после прошивки камня, без дисплея, кнопок и валкодера светодиод должен подавать хоть какие признаки жизни? Дело в том что собрал почти все кроме ДПФ-ов и передающей части, жду дисплей, валкодер и программатор. Прошивал с помощью dfu. Судя по сообщению DfuSeDemo, камень прошился нормально, но терзают меня смутные сомнения) Или не парится и дождаться дисплей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4425] Автор : Сармат Дата : 01.08.2018 22:17 Сармат, можете поделиться данными ФНЧ? Тоже хочу поменять на Т50 кольца, и выходной каскад запитать 24В. 293606 Кольца Амидон Т50-2(6). Количество витков согласно индуктивностей. Если интересно количество витков, то могу завтра посчитать. Я мотал и мерил измерителем LC. Толщину провода брал исходя из количества витков до полного заполнения внутреннего диаметра. ..... Или не парится и дождаться дисплей? конечно ждать дисплея...а так работа на компе без монитора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4426] Автор : akor Дата : 01.08.2018 22:20 Это понятно что без дисплея нет радости ни какой, просто посчитал что процессор не прошился по какой-то причине, паникую) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4427] Автор : Сармат Дата : 01.08.2018 22:25 Это понятно что без дисплея нет радости ни какой, просто посчитал что процессор не прошился по какой-то причине, паникую) я прошивал программатором 7 плат, все прошились без проблем с первого раза -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4428] Автор : akor Дата : 01.08.2018 22:33 Ну программатор тоже ещё в пути, решил прошить dfu. Считаю что разницы ни какой, автор подробно расписал как это сделать. Только проблема в том что светодиод не реагирует вообще ни как. В одном проекте, который я собирал недавно на STM32, был режим само-диагностики при включении, неисправность в какой либо части схемы сигнализирует вспышками разной частоты и длительности, по таблице можно определить где неисправность. Если в схеме нет ошибок, соплей и всего такого, то при подачи питания светодиод однократно вспыхивает. Вот я и подумал что светодиод, при включении должен хотя-бы вспыхнуть разок, а этого не происходит и это при том что прошивка вроде залилась нормально. Ладно, всё это лирика, сижу ровно, жду дисплей))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4429] Автор : Сармат Дата : 01.08.2018 22:45 Ну в моем варианте нет светодиода -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4430] Автор : ua9xos Дата : 01.08.2018 22:45 Только проблема в том что светодиод не реагирует вообще ни как. А светодиод и не горит почти. У меня он зажигается в режиме CW и RTTY -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4431] Автор : akor Дата : 01.08.2018 22:48 Спасибо за полезную информацию, это несколько успокаивает меня, но на некоторых видео-роликах от автора он горит почти постоянно. Видимо зависит от версии прошивок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4432] Автор : UA0BHC Дата : 02.08.2018 01:14 Ну программатор тоже ещё в пути, решил прошить dfu. Считаю что разницы ни какой, автор подробно расписал как это сделать. Только проблема в том что светодиод не реагирует вообще ни как. В одном проекте, который я собирал недавно на STM32, был режим само-диагностики при включении, неисправность в какой либо части схемы сигнализирует вспышками разной частоты и длительности, по таблице можно определить где неисправность. Если в схеме нет ошибок, соплей и всего такого, то при подачи питания светодиод однократно вспыхивает. Вот я и подумал что светодиод, при включении должен хотя-бы вспыхнуть разок, а этого не происходит и это при том что прошивка вроде залилась нормально. Ладно, всё это лирика, сижу ровно, жду дисплей))) Он очень редко зажигается во время работы, например во время декодирования RTTY. Нет причин для беспокойства. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4433] Автор : Васген Дата : 02.08.2018 08:54 Это третий, четвертый тоже скоро закончу. Вот что внутри. 293599293600 Сармат, подскажите ДПФ вы чем проверяете, есть методика? Вы мотаете на кольцах, а номиналы все по схеме? И Еще вопросик, могли бы вы подсказать по номиналам деталей усилителя, при питании 24 в. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4434] Автор : Сармат Дата : 02.08.2018 15:47 Я мотаю дпф согласно индуктивностям по схеме, и кондеры как в схеме. Правда дпф третьего порядка, рассчитанные Петром из Керчи. А номиналы ум такие же как и при 14 в. Если вы заметили, то УМ у меня как в SW. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4435] Автор : ua3ycv Дата : 02.08.2018 19:03 отзвонил друг из Франции-так же собрал "Маламута"-доволен до визгу-обещал фото на почту как "оформит в корпус"-так что успех конструкции на лицо-спасибо Евгению! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4436] Автор : akor Дата : 02.08.2018 19:31 Пришёл дисплей. Видел где-то здесь обсуждение на счёт резюка 11R13 на подсветку, но найти не могу. Подскажите кто какой номинал ставит, боюсь спалить. Дисплей 3,2 брал этот: https://ru.aliexpress.com/item/1pcs-3-2-inch-18P-18pin-18-pins-SPI-TFT-LCD-Screen-without-Touch-panel-ILI9341/32819384322.html?spm=a2g0s.9042311.0.0.4d2233edRJKHmC -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4437] Автор : Дмитрий_И Дата : 02.08.2018 19:48 Я, тоже брал эти, у этого продавца 2 шт. Один работает, другой в запасе. У меня запаян 22 Ом 0805. Работает уже год! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4438] Автор : DeXter Holland Дата : 02.08.2018 20:01 при 100 омах также работает нормально. обратите внимание, что дисплей на 3,3 вольта, хоть у меня был случай включения от 5 вольт, выжил -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4439] Автор : akor Дата : 02.08.2018 21:59 В общем мои опасения по поводу прошивки камня подтвердились. DFU программирование (через юсб) не программирует контроллер. То-есть он вроде бы программирует, но на деле полная тишина, дисплей не "оживляется". Подскажите, батарейка в версии платы 1.31 используется, может из за отсутствии оной у меня сбрасывается прошивка? Завтра наверное пойду куплю stLink, с китая уже почти месяц идёт, хотя оплатил e-packet. 293661 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4440] Автор : DeXter Holland Дата : 02.08.2018 22:08 на деле полная тишина, что запитуете? у меня подобная ситуация была, когда при впаенном кодеке я включал только процессор. запитал все вместе - и дисплей ожил. а пп передней панели можете выложить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4441] Автор : ua9xos Дата : 02.08.2018 22:11 Подскажите, батарейка в версии платы 1.31 используется, может из за отсутствии оной у меня сбрасывается прошивка? Батарейка для часов и без нее прекрасно работает Кстати неисправный кодек может не давать работать процу. Сталкивался с такой проблемой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4442] Автор : akor Дата : 02.08.2018 22:12 Стоят 4 стаба: 1708, 3,3 вольта, 5 вольт, 8 вольт. Вся приёмная часть запаяна и запитана, напряжения в норме. Ток потребления вместе с дисплеем 150 мА. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4443] Автор : RA6ANR Дата : 02.08.2018 22:14 akor, пустой проц по dfu вроде не шьется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4444] Автор : akor Дата : 02.08.2018 22:19 Как я вхожу в режим ДФУ: 94 ногу камня к +CPU на точку B0, 37-ю ногу на землю через 1 кОм. Подаю питание, запускаю DfuSeDemo. Прога видит проц. Выбираю откомпилированную из hex файла прошивку, жму Upload, происходит прошивка и после окончании оной DfuSeDemo пишет радостно что всё хоккей. Жамкаю кнопку leave Dfu mode, отключаю питание, отпаиваю все перемычки и хренушки... Что я делаю не так? Блин, у меня скоро мозг взорвётся))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4445] Автор : DeXter Holland Дата : 02.08.2018 22:25 по dfu вроде не шьется шьется и еще как. насчет этого, стм мне очень нравится а проверить осциллограммы есть возможность, что на экран идет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4446] Автор : akor Дата : 02.08.2018 22:30 DeXter Holland, Нет у меня нормального ослика, всё давно раздал, думал уже не понадобится. Буду покупать всё заново. А что, есть подозрение что дисплей не пропаян или ещё чего? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4447] Автор : Genadi Zawidowski Дата : 02.08.2018 22:30 отпаиваю все перемычки и хренушки... не отцепляйте 37-ю ногу на землю через 1 кОм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4448] Автор : akor Дата : 02.08.2018 22:42 Genadi Zawidowski, Всё тоже самое. После прошивки, отключил питание, убрал перемычку с 94 ноги, оставил 1 кОм на землю 37 ногу. Включил питание и та-же картина... А UART-USB можно этот камень прошить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4449] Автор : Genadi Zawidowski Дата : 02.08.2018 22:43 Тогда дисплей... Кстати - воткнув USB кабель - после прошивки компьютер опознает трансивер как-нибудь? Автора надо спросить, требуется ли работа RTC (припаян кварц на 32768?) для запуска радио? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4450] Автор : akor Дата : 02.08.2018 22:48 Genadi Zawidowski, Да, обвязка проца вся стоит и часовой кварц стоит. Комп не видит трансивер через ЮСБ. Приёмная часть тоже вся стоит, сиш-ка вроде генирит. В динамиках только шум PAM-ки, ни каких признаков шума эфира. Кодек покупался в "терраэлектронике" за много денег. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4451] Автор : Genadi Zawidowski Дата : 02.08.2018 22:54 моя тестовая прошивка... Не зависит ни от чего, кроме 8 МГц кварца. Что с ней? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4452] Автор : akor Дата : 02.08.2018 22:59 Тоже-самое( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4453] Автор : Genadi Zawidowski Дата : 02.08.2018 22:59 USB? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4454] Автор : akor Дата : 02.08.2018 23:00 Не опознаёт. Ладно, на сегодня хватит, завтра поеду куплю втридорого стлинк в магазине, попробую им. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4455] Автор : Genadi Zawidowski Дата : 02.08.2018 23:05 DFUSE DEMO говорит же что верификация прошла? Значит нормально. Выбросите деньги. Смотрите что с питанием на процессоре. Тестером. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4456] Автор : akor Дата : 02.08.2018 23:10 Вот как проходит у меня процесс прошивки, надписи о верификации нет, пишет что загрузка завершилась и всё. На звук не обращайте внимание, на втором монике у меня передача идёт, забыл отключить) https://www.youtube.com/watch?v=w3EgQEb2o7I& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4457] Автор : DeXter Holland Дата : 02.08.2018 23:16 Так вы сохраняете прошивку!!!!!!! для прошивки выбор файла - другая кнопка, там где можно поставить галку - верификация -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4458] Автор : Genadi Zawidowski Дата : 02.08.2018 23:16 choise в правой нижней группе, поставить галку verify after download. потом upgrade. (прошивку с форума мою скачайте по новой). В Вашем варианте файл на диске портится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4459] Автор : akor Дата : 02.08.2018 23:23 Мндаа, я ещё подумал с какого перепуга прога просит подтверждения о замене файла) Однако, дисплей по прежнему пустой, комп не определяет новое устройство. Верификацию прошёл, всё окей говорит. Прошивку Вашу (1.rar)перекачал, её и залил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4460] Автор : ub1amz Дата : 02.08.2018 23:26 dfu перемычки отключили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4461] Автор : akor Дата : 02.08.2018 23:28 ub1amz, отключил только с 94 ноги, на 37 оставил 1 ком на землю по совету Genadi Zawidowski. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4462] Автор : Genadi Zawidowski Дата : 02.08.2018 23:36 Прошивку Вашу (1.rar)перекачал, её и залил. Это архив, внутри DFU. Скачайте авторскую... Про мою никто не подтвердил работоспособность, у меня на макете пашет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4463] Автор : akor Дата : 02.08.2018 23:45 Всё тоже самое. Может мне перемаркировку подсунули, хотя вроде магазин солидный. Вот этот камень: https://terraelectronica.ru/product/855684 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4464] Автор : Genadi Zawidowski Дата : 02.08.2018 23:48 снимите как теперь шьете пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4465] Автор : akor Дата : 02.08.2018 23:51 Упс. Через некоторое время после подачи питания комп сообщил о новом найденом устройстве, но дисплей просто светит, ток поднялся до 200 мА: 293666 В наушниках звук вообще пропал. Буду разбираться с дисплеем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4466] Автор : eu7ea Дата : 03.08.2018 00:00 Буду разбираться с дисплеем.Измерьте напряжение на процессоре и на дисплее, у меня такое было, два лишних резистора запаял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4467] Автор : akor Дата : 03.08.2018 00:04 А какие резисторы? У меня что на проце 3,3 вольт что на дисплее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4468] Автор : eu7ea Дата : 03.08.2018 00:08 А какие резисторы? У меня что на проце 3,3 вольт что на дисплее. Тогда в норме, у меня было 5в -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4469] Автор : Genadi Zawidowski Дата : 03.08.2018 00:11 Коллеги, кто может - проверьте пожалуйста мою тестовую прошивку: должен показывать дисплей, реагировать на валкодеры и опознаться USB устройство. ps: разумеется относится к тем, кто уверен в аппарате и своих возможностях по перешиванию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4470] Автор : UA0BHC Дата : 03.08.2018 03:22 Упс. Через некоторое время после подачи питания комп сообщил о новом найденом устройстве, но дисплей просто светит, ток поднялся до 200 мА: В наушниках звук вообще пропал. Буду разбираться с дисплеем. Если унч PAM, его надо включать в настройках. Надо починить дисплей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4471] Автор : akor Дата : 03.08.2018 09:57 UA0BHC, Да PAM. Дисплей похоже RIP. Заказал новый 2.8, на панельке. П.С.: Ребят, всем спасибо кто помогал и надеюсь ещё подсобите советами ибо впереди ещё запуск приёмника и передатчика:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4472] Автор : autosat Дата : 03.08.2018 12:50 Коллеги, кто может - проверьте пожалуйста мою тестовую прошивку Проверил: дисплей есть, на валкодер реагирует, по USB опознается как составное устройство. 293689 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4473] Автор : dadigor Дата : 03.08.2018 13:45 Дисплей похоже RIP. Заказал новый 2.8, на панельке. Я бы не стал так категорично... с чего бы ему помирать. Довольно часто вижу подобные заявления, чаще конечно типа микрухи битые. За всю свою практику видел очень немного исходно тухлых элементов, чаще сам убивал неправильным питанием или неправильным подключением )) но это были мои грехи и я о них знал. Так что если не было крминального питания, надо поискаться ещё. Прежде всего надо проверить, все ли сигналы до него доходят и правильно ли они подключены. Нет ли коротышей и непропаев. Тут осциллограф и прозвонка первое дело. Ведь пока на дисплей не пройдет определенная последовательность команд, он ничего не покажет, а это значит что АБСОЛЮТНО все сигналы должны доходить до него правильно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4474] Автор : akor Дата : 03.08.2018 13:49 dadigor, Такой ослик пойдёт для проверки сигналов дисплея: https://ru.aliexpress.com/item/DSO138-2-4-TFT-Digital-Oscilloscope-Kit-DIY-200KHz-Tester-1Msps-Bandwidth-Probe-Electronic-Production-Suite/32740256548.html?spm=a2g0v.search0604.3.66.5efb78d24TNYn3&ws_ab_test=searchweb0_0,searchweb201602_4_10152_10151_10065_10068_10344_10342_10343_10340_10341_10696_10084_10083_10618_5723515_10307_10301_5723315_10059_100031_10103_10624_10623_10622_10621_10620,searchweb201603_56,ppcSwitch_5&algo_expid=95ff2948-2af6-45c8-b43a-0e252686fd7e-9&algo_pvid=95ff2948-2af6-45c8-b43a-0e252686fd7e&priceBeautifyAB=0 ? Я перепутал распиновку шлейфа, питание пошло бог знает куда. Экран сейчас только светится. Сегодня подпаяюсь проводками на шлейф, посмотрим что получится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4475] Автор : dadigor Дата : 03.08.2018 13:54 Вряд ли, там спектр сигналов на порядки выше. Были бы Вы в мск, проверили бы вместе... нет ли у кого из Ваших знакомых осциллографа с полосой порядка 100 МГц? Попробуйте вызвонить все цепи на целостность и на взаимные не замыкания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4476] Автор : akor Дата : 03.08.2018 14:01 Спасибо за предложение, но до Москвы далековато.) На счёт знакомых с измерительной аппаратурой, что-то я не догадался про такой вариант (мысли только о покупке).) Есть у друга куча всяких осцилографов, мастер по ремонту ТВ и другой техники, надо у него забрать подходящий. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4477] Автор : akor Дата : 03.08.2018 15:26 На прводках запустился: 293692 2-й, заказанный мной дисплей пойдёт на анализатор какой-нить, пригодится для настройки полосовиков к примеру. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4478] Автор : dadigor Дата : 03.08.2018 17:03 На проводках запустился Вот видите, не так всё страшно, как казалось, на проводках пошёл.)) Кстати интересно, а как был подключен до этого и что было не так? А дисплеи не бывают лишними у творческих людей.)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4479] Автор : akor Дата : 03.08.2018 17:55 dadigor, Да, у страха глаза велики, как говорится))) Изготовил платы фальш-панели (здесь кто-то выкладывал лайоут) для дисплея без платы. Шлейф паяется прямо на плату, не спц. предназначенные для этого дорожки. Всё-бы ничего, ибо я паял в промышленном масштабе такие дисплеи, руки заточены под это дело, но фикус в том что на этом дисплее контакты шлейфа нифига не лудятся. Проверить на лужение я даже и не подумал, да и платы самодельные без маски. Короче говоря пока паял плёнка поплавилась и часть проводников естественно висела в воздухе. Дальнейшее прогревание шлейфа я посчитал излишнем и тупо сделал на МГТФ. Скрутил аккуратно, дисплей посадил на 2-х сторонний скотч и скрутку залил термоклеем. Вот так получилось: 293704293705 А это вторая плата. Останется на 2-й маламут))) 293706 Пользуясь случаем вопрос к спецам. Мне друг отдал ослик С1-67, он до 10 мгц, нельзя ли как-то увеличить его полосу до хотябы 50 мгц, может есть какие-то примочки для этого? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4480] Автор : UA7KJ Дата : 03.08.2018 18:31 но фикус в том что на этом дисплее контакты шлейфа нифига не лудятся. Как так не лудятся еще как хорошо лудятся. Плату для такого дисплея выкладывал я. Припаял на такие платы уже 4 дисплея без проблем. Ораничивающий резистор на подсветку на ней ставить не нужно если дисплей питается от CPU. Уже появились дисплеи 3.2 у китайцев на платах смотрите детали Мамламута -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4481] Автор : akor Дата : 03.08.2018 18:33 Видимо попался такой дисплей, мне врать ни к чему. К стати, за плату спасибо! Блин звука нет, реакция на прикосновение входа приёмника есть на дисплее. Со звуком полная тишина, может в настройках что-то надо включить? Микросхема PAM. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4482] Автор : UA7KJ Дата : 03.08.2018 18:56 что-то надо включить? Микросхема PAM. Зайти в меню 22пункт SDR нажимаем Set ищем 9 пункт Level -Set -ставим 0 жмем Set. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4483] Автор : Сармат Дата : 03.08.2018 19:41 Насчет лужения шлейфа, поддержу Петра, я изготовил в общей сложности 7 плат и припаял к ним дисплеи 3.2. Лудится моментально, без повреждения пленки и припаивается хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4484] Автор : akor Дата : 03.08.2018 20:19 А я и не спорю с Петром, зачем его поддерживать?) Я знаю что они лудятся просто замечательно ибо паял их в больших количествах одной левой ногой, видимо разучился.) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4485] Автор : akor Дата : 05.08.2018 09:52 Подскажите пжлста, при отключенной антенне, некоторые диапазоны сильно шумят, так и должно быть или менять кодек? Запитываю от импульсного блока питания. Приём не могу пока проверить ибо валкодер ещё не пришёл, но сегодня ночью принимал на 7400 какую-то вещалку. https://youtu.be/DnjbgIfN03s -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4486] Автор : EU1SW Дата : 05.08.2018 10:02 Ну прям повальное... Неужели так сложно самостоятельно додуматься запитать от линейного стабилизатора или аккумулятора и проверить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4487] Автор : UA0BHC Дата : 05.08.2018 10:12 Если некоторые шумят, кодек наверно не при чём. Не большой шум и спуры от проца в некоторых местах должны быть без антенны. Примерно такой уровень шума как на видео у вас, у меня с преампом. Тут стоит больше внимание обратить не на шум, а на пичок курсора прослушивания, почему он так торчит излишне. Видео Эдуарда RW6MQ посмотреть ( https://www.youtube.com/channel/UCAtmbYWARi77SGChRvDFCFA/videos ), прикинуть правильно ли трансформатор возле смесителей намотан. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4488] Автор : akor Дата : 05.08.2018 11:12 Спасибо. Трансформатор на самом деле намотан абы как на абы чём, жду когда придут нормальные ферриты. Сейчас попробую запитаться от акка, хотя блок питания лабораторный, не думаю что он такие наводки даёт. На счёт кодеков, вроде нормальные брал у серьёзной компании замногоденег. Собирал аккуратно, с проверкой всех пассивных элементов перед впайкой. Добавлено через 52 минут(ы): Посмотрел видео Эдуарда, таки у него тишина почти полная. Запитал от акка, стало потише, но на 40-ке шумит так-же. Грешу на помеховую обстановку в квартире, возможно ли такое? Трансформатор можно намотать на колечке из езернет разъёма материнки, такое маленькое? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4489] Автор : RX9UAO Дата : 05.08.2018 13:51 EU1SW,Да,давно это было(с #681).Ну,а теперь,что имеем,то и имеем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4490] Автор : Love777888 Дата : 05.08.2018 14:01 Acor, добрый день, делайте на бинокле от амидон лучше, я колечко от материнки пробовал но мне не очень понравилось, чутье было слабее по сравнению с биноклем. Витки брал как в схеме. И ещё, такой вопрос общественности, в центре экрана полоса красная, и ровно по этой полосе при переключениях бендов, при переходе на передачу и обратно на прием возникает вниз падающая полоска, у автора такого нет, у многих же повторяющих конструкции есть, это так должно быть? Ибо эта хрень портит эфир в фм, на си би например, я инргда общаюсь и получаю затык в приемнике пока эта полоска вниз не упадет. П.С. интересно а чем это Эдуард балансирует смеситель? Кроме собственно говоря трансформатора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4491] Автор : ub3gce Дата : 05.08.2018 14:14 293821 Не могу понять один момент. Подскажите кто может. Собран синтез по этой схеме. При прикосновении к дросселю в точке сонтакт с первой ногой пропадает генерация вообще. Помогает только снятие питания. Где копнуть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4492] Автор : Genadi Zawidowski Дата : 05.08.2018 14:25 А где блокировочный конденсатор на первой ноге? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4493] Автор : ub3gce Дата : 05.08.2018 14:35 Так он за дросселем) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4494] Автор : Genadi Zawidowski Дата : 05.08.2018 15:00 Тогда не трогать первую ногу пинцетом. Если очень хочется, заменить дроссель перемычкой и посмотреть на результат. Так он за дросселем) Если в изделии конденсатор есть, но не изображён на схеме - ладно. Если в изделии как на схеме - ничего кроме дросселя на первой ноге нет - поставить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4495] Автор : ub3gce Дата : 05.08.2018 15:43 Генадий спасибо. Поставил перемычку 000 всё стало нормально, срыв не происходит. Без дросселя думаю не критично -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4496] Автор : РУСИЧ Дата : 05.08.2018 16:08 На улице "Жара" за 30 градусов. Решил немножко по канифолить, версия платы 1.4))):oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4497] Автор : Genadi Zawidowski Дата : 05.08.2018 16:21 Поставил перемычку 000 а конденсатор не судьба была поставить туда, где ему место? 293831 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4498] Автор : ua9xos Дата : 05.08.2018 17:10 Интересно, кто нибудь реализовывал включение Маламута кнопкой без фиксации, что б как у заводских трансиверов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4499] Автор : ua3ycv Дата : 05.08.2018 18:06 кнопкой без фиксации, что б как у заводских трансиверов.вопрос один-зачем? зачем усложнять простое работающие без проблем ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4500] Автор : ua9xos Дата : 05.08.2018 18:28 вопрос один-зачем? зачем усложнять простое работающие без проблем ? Основную схему это не как не затрагивает. Просто добавляется еще один модуль в разрыв питания. Что то типа такого. 293832 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4501] Автор : Sysert Дата : 05.08.2018 18:45 Стрелки у светодиода надо на 180 градусов повернуть, а то подумают что трансивер с восходом солнца включается ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4502] Автор : Neuro Дата : 05.08.2018 19:48 Здравствуйте, коллеги! Подскажите, пожалуйста, где найти намоточные данные катушек и трансформаторов? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4503] Автор : R3DI Дата : 05.08.2018 19:55 кто нибудь реализовывал включение Маламута кнопкой без фиксации, что б как у заводских трансиверов. в своих портативных уст-ах применяю такую схему с "0ым" потреблением, но требует программного отслеживания нажатия кнопки для отключения (для включения можно сделать ПОС) .... хотя наверное можно поставить триггер ... и для трансивера Р-канал нужен мощнее. J1- батарея, PWR_ON удержание с процессора, KEY_PWR - отслеживание кнопки. 293838 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4504] Автор : ua9xos Дата : 05.08.2018 20:18 Здравствуйте, коллеги! Подскажите, пожалуйста, где найти намоточные данные катушек и трансформаторов? Спасибо! Смотрите стр197 #1969 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4505] Автор : ub3gce Дата : 05.08.2018 21:19 а конденсатор не судьба была поставить туда, где ему место? 293831 Плата такая... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4506] Автор : dadigor Дата : 05.08.2018 21:36 Грешу на помеховую обстановку в квартире, возможно ли такое? Ещё как возможно. В Москве на нижних диапазонах, иногда вплоть до 12 МГц, у меня шумовая дорожка огого. Плюс у меня есть пара зарядок, которые просто не дают житья приемникам. Иногда что-включается где-то рядом (у соседей?) и добавляет ещё широченный спектр помех. Спасаюсь на даче, тут гораздо лучше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4507] Автор : akor Дата : 05.08.2018 21:41 dadigor, Сегодня раздобыл старые материнки с биноклями которые стоят возле разъёма езернет, намотал трансформатор 6TR1, всё успокоилось, тишь и благодать) Единственное, 40-ка подшумливает до 2 балов, это я как-раз и отношу к случаю помех от роуторов, компа, ТВ и т.д. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4508] Автор : UA7KJ Дата : 06.08.2018 08:58 ua9xos, Можно сделать включение/выключение одной кнопкой по приведенной Вами схеме это кому,что нравиться. Я бы добавил еще защиту от переполюсовки и ограничение тока реле до тока удержания. Я сделал по проще. Применил потенциометр регулятора громкости с выключателем.293850 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4509] Автор : RX9UAO Дата : 06.08.2018 09:03 РУСИЧ,у нас +16,но прежде чем запаевать комп. на плату, мы проверяем питание всех стабилизаторов.По пайке не видно,что вы делали проверку.Извините если не прав.А то потом на 10 страниц обсуждений будет.Удачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4510] Автор : D0ITC Дата : 06.08.2018 13:12 Собрал пока только приёмную часть и появилось два вопроса: 1. пробую слушать вещательные станции в FM2, в моей местности самая сильная станция на частоте 100,2, станция слышна, но звук запертый, не качественный, полосу ставлю 24кГц, если выбирать меньше то звук ещё хуже. Включаю байпас, УВЧ-ничего не помогает, сделать полосу шире нет возможности, в меню 24 максимум, больше не накручивается. Оно так и есть или у меня что-то не так? 2. подключаю по USB, установился драйвер, комп видит устройство как маламут, на дисплее трансивера вместо процентов загрузки проца пишется USB, но трансивер постоянно переключается с приёма на передачу, что у меня не правильно? 293872 293873 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4511] Автор : dadigor Дата : 06.08.2018 17:48 Применил потенциометр регулятора громкости с выключателем. Аналогично. Старый добрый способ, надежный и простой. Никаких утечек и прочих электронных бяк. Тем более сейчас есть весьма неплохие регуляторы с выключателями. И никакого громкого включения, тихонечко щёлкнул и увеличивай громкость до нужной. Раньше делал громкость и кнопочную, и на энкодере, и сенсорную (прямо на тач скрине), но вернулся к середине прошлого века. )) Хотя теперь почти во всех камушках есть электронная регулировка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4512] Автор : ua3ycv Дата : 06.08.2018 18:08 в меню 24 максимум, больше не накручивается. Оно так и есть или у меня что-то не так? у меня по крайней мере так же-я так понял УКВ там "условно" для контроля-хотя 50 мгц выглядят очень даже не плохо-в этом режиме бейпас включен уже при переходе на этот диапазон да и увч то же по моему в автомате включен-хотя могу ошибаться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4513] Автор : РУСИЧ Дата : 06.08.2018 19:14 .Извините если не прав.А то потом на 10 страниц обсуждений будет.Удачи. Не извиняйтесь:oops: Спасибо за совет! :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4514] Автор : R3DI Дата : 06.08.2018 19:31 D0ITC, для этого диапазона частот нужна полоса намного шире чем это может маламут, у нас еще есть вещание на УКВ диапазоне 65.9...74 МГц, при переключении маламута в режим 96к еще можно слушать станции более-менее. Но это так... скорее развлекалово. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4515] Автор : D0ITC Дата : 06.08.2018 21:14 Понятно, спасибо за разъяснение. Сегодня ещё проверял работу CW декодера-работает, но с ошибками. Хотя наблюдать за его работой прикольно. А вообще впечатление от работы трансивера на приём очень даже положительное, спасибо, Евгений, за труд! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4516] Автор : ur7hfo Дата : 07.08.2018 10:29 Собрал пока только приёмную часть Все влезло в корпус от трансивера SW ? Любопытно.. А можно фото внутренностей ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4517] Автор : D0ITC Дата : 07.08.2018 12:36 У меня ещё и валкодер на пол корпуса, не мог и подумать что в этой конструкции валкодер с количеством импульсов 1024 будет так классно работать. Выставил в меню деление на 2 и шаг 10Гц, на оборот получилось 5кГц. 293938 293939 293940 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4518] Автор : ur7hfo Дата : 07.08.2018 18:16 У меня ещё и валкодер на пол корпуса Ну то такое.. Индуктивности я так понял в фильтрах размера 1206 .. Это мне понравилось. Корпус такой без дела у меня лежит, поэтому вопрос такой был. Спасибо за фото. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4519] Автор : Сармат Дата : 08.08.2018 16:45 Четвертый маламут...на прием уже работает...на передачу тоже заработает...50 ватт гарантированно294007294008 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4520] Автор : ra6ljm Дата : 08.08.2018 20:02 Сармат, Можно файл платки с разъемом usb и аудио? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4521] Автор : Love777888 Дата : 08.08.2018 23:03 То Сармат, скажите как вы столько мощи сняли? Преобразователя не видел на снимке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4522] Автор : akor Дата : 09.08.2018 13:41 Решил перепрошиться, как раз пришёл программатор (до этого прошивал в Dfu). Подключил к разъёму 11J1 да видать перепутал SWCLK и SWDIO. Утилитка поругалась, отключил питание, перекинул разъёмы, включаю питание-ТРХ загружается, дисплей входит в раб. режим после чего ток поднимается до 1 А и срабатывает защита моего БП. Если снять защиту по току с БП то аппарат работает, но жрёт около 1 А. Процессору каюк? Хотя врят-ли, он же запускается. Может подскажите что можно предпринять чтобы локализовать проблему?( https://www.youtube.com/watch?v=eV2pOGD-AFg&feature=youtu.be Стаб на 3,3 откидывал, естественно ничего не работает но и не жрёт столько току) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4523] Автор : DeXter Holland Дата : 09.08.2018 14:08 если потребляет 1 ампер, значит что-то должно греться и притом ощутимо а какой толк был в перепрошивке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4524] Автор : akor Дата : 09.08.2018 14:12 Кроме 7805 греется процессор, и стаб его питающий. Но я бы не сказал что прямо как утюг, палец терпит. Хотя судя по загрузке процессора не должно так (22% всего). Нужно подольше его погонять на таком режиме, возможно что-нить и вспыхнет))) UPD: Нашёл проблему. Забыл что с нижней стороны платы находится ещё одна микросхема PAM, вот она то и грелась как утюг. Выпаял-ток нормализовался. Буду звонить её обвязку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4525] Автор : Алекс88 Дата : 09.08.2018 16:37 У меня в этой обвязке один из кондеров 1 мкф коротил. Проверьте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4526] Автор : akor Дата : 09.08.2018 16:41 Спасибо, но я накосячил сам, вместо PAM8406 поставил PAM8403. Она работала но возбуждалась время от времени+были искажения в звуке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4527] Автор : ua3ycv Дата : 09.08.2018 18:35 но я накосячил сам,стесняюсь спросить-а радио заработоло? а то как то одни косяки:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4528] Автор : akor Дата : 09.08.2018 18:47 ua3ycv, А Вы не стесняйтесь, спрашивайте). Отвечаю: да, работает. Без УВч, без ПДФ, но принимает. П.С.: Дядьки, я от радио отошёл уже лет как 10 назад, приборов нет, навыки и знания потерял. Тупо повторяю. Не серчайте из-за этого на меня, считайте это экспериментом, сумеет ли полный чайнег собрать Маламут))), тем более ранее в этой теме кто-то писал что если повторить точно то заработает стразу.:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4529] Автор : autosat Дата : 09.08.2018 18:59 сумеет ли полный чайнег собрать Маламут))) Правильно собранный, из ОРИГИНАЛЬНЫХ деталей "Маламут" в налаживании не нуждается. ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4530] Автор : akor Дата : 09.08.2018 19:17 autosat, Оригинальных, значит подлинных. Совершенно верно, поэтому я стараюсь их и использовать окромя китайских дисплеев, валкодеров, гарнитуры, той-же PAM-ки, но их использует и сам автор, так-что у меня всё, оригинальное) Если Вы намекаете на мой косяк с PAM-кой, ну чтож, бывает. Было у меня 2 модуля усилителей на них, посмотрел в лупу, увидел надпись PAM840... а последнюю циферку не посчитал нужным дочитать, и успокоился))) В принципе, цифровая и приёмная часть запустилась сразу. Косяки, окромя РАМ-ки были, но они были связанны с моей криворукостью (не пропай в основном)). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4531] Автор : UU5JPP Дата : 09.08.2018 19:27 Позволю я не согласится по поводу деталей от Китайских друзей. Все зависит у какого Китайца покупать, у меня есть знакомый Китаец у которого я уже больше года покупаю разные комплектующие, я ему даю список что мне нужно, он собирает по нему все что нужно, и отправляет одной посылкой, так вот на его деталях я собрал 3-ри маламута один знакомому подарил и два для себя, так не было проблем вообще, главное внимательность, не перепутать местами резисторы и конденсаторы, и запускается без танцев с бубном, а если брать у наших детали то маламут выйдет золотым, взять даже PE-ку за одну от 60р и кодек от 1000р. Да кто то может сказать, что работать будет не так хорошо, я сравнивал приём с заводским трансивером, и маламут не проигрывает, а даже выигрывает перед некоторыми аппаратами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4532] Автор : akor Дата : 09.08.2018 19:32 UU5JPP, Тоже верно, но так как я начинающий то я рисковать с китайцами не могу чтобы потом вылавливать неисправную деталь, нет для этого приборов да и тупо знаний. Но всё равно, я каждую деталюшку проверяю перед впайкой SMD пробником-пинцетом. Китайское может быть и нормально звонится но в процессе работы может отказать, я так думаю) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4533] Автор : RV4LX Дата : 09.08.2018 19:48 Правильно собранный, из ОРИГИНАЛЬНЫХ деталей "Маламут" в налаживании не нуждается. Вы даже током покоя выходных транзисторов не заморачиваетесь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4534] Автор : autosat Дата : 09.08.2018 20:19 Вы намекаете Даже в мыслях не было намекать, тем более, что у меня так же ушла в КЗ PAM8406. Пустив Хоттабыча, спас ситуацию 12L1. Позволю я не согласится Возможно, но вот фото двух CS4272 одна из Китая, другая оригинал (работают обе). Явно видно перемаркировку, значит отбраковка с завода, а это в свою очередь означает несоответствие параметрам. Оно нам надо? 294082 током покоя выходных транзисторов не заморачиваетесь? Конечно "заморачиваюсь"). Поэтому у меня УМ собран немного иначе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4535] Автор : akor Дата : 09.08.2018 20:20 Сегодня пришли индуктивности из чипдипа, собрал один ДПФ на 40-ку, подцепил антенну к входу оного, с выхода на трансформатор 6tr1, вроде пашет. Антенна-МГТФ кинутый из окна 9-го этажа на дерево))) https://youtu.be/7uRhJ03FmtU -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4536] Автор : UU5JPP Дата : 09.08.2018 20:35 Не и могу сейчас показать фото своих кодеков, так как не дома, но я писал что сравнивал сигнал по приему, не не вижу смысла покупать кодек за 1000р для чистоты эксперимента, и тем более PE4259 за 80р за штуку :crazy: я покупал у одного и тогоже Китайца сначала за 8р и в последний раз за 12р пусть я от бракую из 10 штуки и то в выигрыше буду, ладно если бы мне нужно было-бы всего одна или две штуки, но когда 24=1920р и за доставку ещё заплати. Ну тут как говорится на вкус и цвет, я не кого не агентирую в Китае брать, каждый сам для себя решит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4537] Автор : autosat Дата : 09.08.2018 20:40 тут как говорится на вкус и цвет Абсолютно верно, каждый решает сам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4538] Автор : ua9xos Дата : 09.08.2018 20:45 Китаец китайцу рознь:crazy:. Первая партия пешек 30 шт и 5 кодеков. Все бракованные. Заказал у другого. И все норм. А как я с сишками намучался -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4539] Автор : РУСИЧ Дата : 09.08.2018 20:54 ua9xos, Парни! А Вам не кажется что эта тема с пыешками с кодыками, на восьмой круг становиться:crazy: Уморили ее:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4540] Автор : EU1SW Дата : 09.08.2018 20:58 Лев Николаич Толстой написал «Все счастливые семьи счастливы одинаково, каждая несчастливая семья несчастлива по-своему» Господа не считают для себя нужным читать о проблемах других, но своей проблемой нужно обязательно поделиться ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4541] Автор : RV4LX Дата : 09.08.2018 21:22 Лев Николаич Толстой написал Маяковский тоже оставил после себя бестселлеры. Посылай на и в....Здесь форум для живого общения. А не справочник по неисправностям. Нет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4542] Автор : UR3ACH Дата : 09.08.2018 21:37 Все "клепают" то что есть. Какие-нибудь подвижки по поводу плат и прошивок вообще есть? Не считая автора. Что-то я отошел от темы.. Китайцы как там, спят? Странно. :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4543] Автор : EU1SW Дата : 09.08.2018 22:23 Посылай на и в....Здесь форум для живого общения ну так пусть идут на и в ж... со своими неисправными пешками китайскими... Нет? ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4544] Автор : Сармат Дата : 09.08.2018 23:31 То Сармат, скажите как вы столько мощи сняли? Преобразователя не видел на снимке Преобразователь на месте294093 Добавлено через 13 минут(ы): Сармат, Можно файл платки с разъемом usb и аудио? Спасибо. 294098294099 Файл в лайоте не нашел у себя, не сохранил наверно. В принципе по чертежу можно в лайоте нарисовать. Четыре разъема: USB, CW key, Lin in и включение РА при тх -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4545] Автор : D0ITC Дата : 10.08.2018 13:41 R3DI Евгений, у меня проблема с работой CAT по USB. В диспетчере устройств AF, 48 и 96 отображается всё правильно, но трансивер постоянно щелкает с приёма на передачу, победить пока не удаётся. Прилагаю видео, там всё видно. https://youtu.be/7fXwBB7cwwg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4546] Автор : R3DI Дата : 10.08.2018 13:50 D0ITC, ничего не могу сказать по видео, управление идет по компорту, RTS CTS или CAT командой в зависимости от версии прошивки вроде ( в последних CAT командой, это точно ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4547] Автор : Love777888 Дата : 10.08.2018 20:54 Здравствуйте парни! Вопрос такой, на каком этапе работа по usb, имеется ввиду увидеть панораму услышать прием и делать передачу, недавно запаял все разъёмы на передней панели. Подконнектил usb to pc, выскочило сообщение по установке драйверов на устройство Malamute. Если есть готовые инструкции по применению. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4548] Автор : R3DI Дата : 10.08.2018 21:20 по умолчанию usb в режиме 48к AF, для цифровых мод сразу подойдет прием/передача, для панорамы нужно переключит маламут в режим IQ - SDR Setup->USB Mode- AF|IQ, для 96к нужно и маламут не забыть перевести в 96к - все это только прием - передачи нет тк не знаю как настроить HDSDR (это тема уже круг на 5й идет наверное ) CAT - FT817 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4549] Автор : EU1SW Дата : 11.08.2018 07:55 Что значит "не знаю как настроить"? ) Евгений, Вам даже я уже не раз писал, что для того, что бы появилась передача в HDSDR на вашем железе - нужно длл-ку писать. Иначе "оно" и на 25-й круг зайдет, с тем же нулевым результатом. Автор "осы" заморочился - у него работает. Готовая длл с активной передачей есть для Softrock. Звуковые устройства у Вас уже есть. Если дополнительно съэмулируете на стороне трансивера протокол синтезатора SoftRock - получите полностью работоспособный тракт, с управлением частотой трансивера из программы, без написания кода под Винду. Исходники софтроковского синтеза или его прототипа можно найти в сети, исходники длл - в сети отсутствуют. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4550] Автор : Сармат Дата : 11.08.2018 10:27 Кому нужны файлы плат: питания-коммутации РА, преобразователь 12-24, разъемы (РА, СW и Line in) Разъем PA, CW, Line in в одном корпусе, берется с материнки компа -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4551] Автор : R3DI Дата : 11.08.2018 11:27 нужно длл-ку писать. Иначе "оно" и на 25-й круг зайдет, с тем же нулевым результатом. Автор "осы" заморочился - у него работает. Готовая длл с активной передачей есть для Softrock. Сергей, да в том то и дело что длл-ка для меня темный лес полностью, собственно как и что такое Softrock с его протоколом. А изучать все это нет возможности... не знаю, может кому достаточно пару часов на все это, мне нет. Настолько далек от этого, что с трудом понимаю даже в какой среде эта дллка создается, нет я конечно понимаю что есть скорее всего специальные компиляторы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4552] Автор : EU1SW Дата : 11.08.2018 11:58 Так я ж собственно к тому и веду, как это сделать - известно. Имеет ли смысл - совершенно другой вопрос, очень даже философский местами ) А Вас есть свои цели, желания и перспективные вектора развития. Незачем топтаться на месте и прикручивать "хобот" к месту, для этого не предназначенному, просто потому, что кому то так хочется. Есть куча конструкций которые работают с компом. Показывают большую и краивую панораму, и работают на передачу. А маламут хорош тем, что он есть, и автономен. А желающих получить "перламутровые пуговицы", да еще и нахаляву, всех не обслужить, некогда бужет заниматься тем, что именно Вам интересно ) Потому что всегда найдется еще один, кто напишет - а неплохо б было иметь еще и "чтонибудь" ) и так без конца ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4553] Автор : Love777888 Дата : 12.08.2018 12:27 Здравствуйте, интересное мнение, но имея аппарат который может, но не допилен, это тоже не дело, к вам Евгений вопросов нет, на том спасибо за современный трансивер, может тогда кто нибудь займется этим вопросом? Скажу сразу я ниразу не программист. Думаю если и помочь чем так обьеденить усилия всем желающим и помочь рублем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4554] Автор : EU1SW Дата : 12.08.2018 12:34 но не допилен, это тоже не дело "недопилен" он только в мозгу всяких недопилков, постящих всякую чушь, оскорбляющую авторов, и не более... бесплатное пользование интеллектуальной собственности и крики "давай ищо" ) Интерфейсы для работы цифрой цифрой через USB? работает!... ) Панораму показывает? показывает!... ) его таким автор захотел увидеть - и увидел Скажу сразу я ниразу не программист. Из за таких "помогателей" у авторов пропадает желание делать что либо на публику... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4555] Автор : Love777888 Дата : 12.08.2018 13:35 Это вы тут Серёжа разошлись! Кто это тут недопилок? Следите за своим языком! Помогатель вы наш! Я предложил всего лишь желающим скинутся человеку который займется этой проблемой, я не говорю что этот человек обязательно должен быть автором этого проекта, тем более Евгений занят другими делами и это его право! Спасибо за трансивер еще раз, большущее! Если знаете этих людей Серёжа так и скажите, а не выдавайте мнимое за действительное, типа я здесь обосрал автора! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4556] Автор : EU1SW Дата : 12.08.2018 13:55 Олег, да, виноват, был резок. но я читаю черным по белому основную мысль вашего поста "спасибо автору за конструкцию, но она недопилена"... Вы берете на себя решать допилена она или недопилена? ТЗ может еще автору выставляли? ) Вам никто не запрещает найти людей, заплатить им, что бы они доработали ее под ваши желания... Зачем это делать в авторской теме? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4557] Автор : Love777888 Дата : 12.08.2018 14:23 Сергей приветствую, вы случаем не адвокат автора? К автору претензий нет и на этом точка! Не нужно опять придумывать, то чего нет про выставление каких то вымышленных счетов, претензий. А с какого момента, я уже не могу что то предложить здесь именно в этой теме и именно по этой теме? Сергей если вы знаете этих людей то так и скажите, нет тогда не пишите. Кстати как было дело еще зимой, кто то в теме написал что хочет создать тему, где хотели изменить интерфейс на дисплее, и еще пару моментов в прошивке, вот и подумал, может есть среди нас эти люди -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4558] Автор : dadigor Дата : 12.08.2018 18:52 Ну, например, я хотел и хочу изменить интерфейс и еще пару моментов, но пока работа только начата и тут же прервана, ввиду других наложившихся дел. Сразу скажу, что программист я аховый, это раз. В основе будут лежать влыженные автором исходники версии 2 -это два. Буду делать только приемную часть и под свои хотелки, это три. И, самое главное, обсуждаться это в специально открытой теме (http://www.cqham.ru/forum/showthread.php?37720-%CF%F0%EE%E3%F0%E0%EC%EC%ED%EE%E5-%EE%E1%E5%F1%EF%E5%F7%E5%ED%E8%E5-%E4%EB%FF-quot-%CC%E0%EB%E0%EC%F3%F2%E0-quot-%EE%F1%ED%EE%E2%E0%ED%ED%EE%E5-%ED%E0-%EE%F2%EA%F0%FB%F2%EE%E5-%E0%E2%F2%EE%F0%EE%EC-%E2%E5%F0%F1%E8%E8-V2-0/page19) . Кстати, там сейчас активно развивает свой вариант Andreichk. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4559] Автор : ur7hfo Дата : 14.08.2018 10:51 Подскажите пожалуйста оптимальный шаг скрутки в трансформаторах смесителей , и еще может кто пробовал, будет ли лучше их намотать на маленьких амидоновских биноклях ? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4560] Автор : Integral Дата : 14.08.2018 11:48 Подскажите пожалуйста оптимальный шаг скрутки в трансформаторах смесителей , и еще может кто пробовал, будет ли лучше их намотать на маленьких амидоновских биноклях ? Спасибо. 2-3 скрутки на сантиметр. На бинокле гарантированно получается более широкая полоса, хотя на амидоновских кольцах при аккуратном изготовлении будет тоже нормально работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4561] Автор : Love777888 Дата : 14.08.2018 11:56 Здравствуйте делал на бинокле, в сравнении с колечком с материнки шпилька с генератора в 1 мкВ больше(выше) на экране. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4562] Автор : UA0BHC Дата : 14.08.2018 16:51 А я не скручивал, потому что тот провод, который был в наличии, в скрученном виде не пролазил в бинокли. Это очень критично? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4563] Автор : Love777888 Дата : 14.08.2018 17:57 Я тоже не скручивал, работает,т там и так плотненько получилось -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4564] Автор : Сармат Дата : 14.08.2018 21:06 Завершил 4-й Маламут 294405294406294407294408 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4565] Автор : Radiotester Дата : 15.08.2018 00:23 Сармат, Приветствую! Платы smd сами набиваете или шустрая молодежь с хорошим зрением паяет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4566] Автор : UT0UM Дата : 15.08.2018 01:09 4-й Маламут а что с буквами? рука дрогнула? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4567] Автор : ur7hfo Дата : 15.08.2018 09:26 Здравствуйте делал на бинокле А сколько витков у Вас получилось на бинокле ? Я хочу тоже попробовать, есть пара мелких биноклей BN-43-2402 (http://ur8qp.com/images/cores/BN43-2402.jpg) . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4568] Автор : UA0BHC Дата : 15.08.2018 09:50 А сколько витков у Вас получилось на бинокле ? Я хочу тоже попробовать, есть пара мелких биноклей BN-43-2402 (http://ur8qp.com/images/cores/BN43-2402.jpg) . 3+3 и 3 витка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4569] Автор : us5evd Дата : 15.08.2018 10:18 R3DI Евгений, а почему в режиме АМ глубина модуляции не выставляется более 30% , в меню можно установить до 100, а реально регулируется только от 0-30%. куда посмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4570] Автор : R3DI Дата : 15.08.2018 11:28 Файл tx_sdr.c, было такое в ранних версиях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4571] Автор : RK4CI Дата : 15.08.2018 11:49 3+3 и 3 виткаЯ трансформаторы на таких бинокликах мотаю сразу в три провода. Умещается четыре виточка. Просто надо использовать и боковые стенки бинокля. Первый виток, мотается на боковой стенке, потом второй и третий, как обычно, а четвёртый на противоположной боковой стенке. Провод, 0,25-0,27, с более тонким, работать попросту неудобно. Способ придумал не я, подсмотрел где то на иностранном сайте, в описании высокоуровневого смесителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4572] Автор : Love777888 Дата : 15.08.2018 12:16 Сперва 3+3, а после вторичку ещё 3, бинокль у меня стоит вертикально. Но вроде уместилось больше витков, не помню вроде 4. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4573] Автор : us5evd Дата : 15.08.2018 12:17 Файл tx_sdr.c, было такое в ранних версиях. Спасибо. у меня вер. 4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4574] Автор : UA0BHC Дата : 15.08.2018 12:37 RK4CI,а как сразу три? У меня они в разные стороны выходят, бинокли стоят горизонтально. Тоже мотал сначала обмотку без среднего вывода, потом сдвоенным проводом вторую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4575] Автор : RX9UAO Дата : 15.08.2018 13:19 А что за папка M2 на ядиске? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4576] Автор : ur4qij Дата : 15.08.2018 16:38 Намерял в своем экземпляре маламута чувствительность 1- 1,5 мкв. Мне мало, решил разобраться почему такой хороший УВЧ почти не дает прибавки чувствительности, только повышает сигнал (и шум) на 20 дБ. И вдруг обратил внимание на интересный момент. При отключенной антенне включаю УВЧ , шум возрастает, затем включаю аттенюатор и шум падает! Но аттенюатор стоит ПЕРЕД УВЧ а не после его, почему же падают шумы УВЧ, или это не шумы УВЧ а что то другое?? Может РЕшки шумят? Да , и при отключенном УВЧ, тоже при отсутствии сигнала аттенюатор уменьшает собственные шумы приемника. Добавлено через 59 минут(ы): И еще вопрос, что делает кнопка SLPE ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4577] Автор : ur7hfo Дата : 15.08.2018 17:58 Намерял в своем экземпляре маламута чувствительность 1- 1,5 мкв. А сколько стоит RX gain в сервисном меню ? to all: Понравился транс в приемном смесителе на маленьком амидоновском бинокле, прием ФМ есть до 103 мгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4578] Автор : ur4qij Дата : 15.08.2018 18:06 RX усиление - 750. Дело не в усилении, чувство ограничено собственным шумом. причем УВЧ не улучшает отношение сигнал-шум. При этом усиление УВЧ до 20 дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4579] Автор : UT4UBK Дата : 15.08.2018 18:14 Намерял в своем экземпляре маламута чувствительность 1- 1,5 мкв. Мне мало, решил разобраться почему такой хороший УВЧ почти не дает прибавки чувствительности, только повышает сигнал (и шум) на 20 дБ. И вдруг обратил внимание на интересный момент. При отключенной антенне включаю УВЧ , шум возрастает, затем включаю аттенюатор и шум падает! Но аттенюатор стоит ПЕРЕД УВЧ а не после его, почему же падают шумы УВЧ, или это не шумы УВЧ а что то другое?? Может РЕшки шумят? Да , и при отключенном УВЧ, тоже при отсутствии сигнала аттенюатор уменьшает собственные шумы приемника. Добавлено через 59 минут(ы): И еще вопрос, что делает кнопка SLPE ? У PEшки есть встроенный генератор напряжения, он может давать шумы "горбы" на НЧ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4580] Автор : RK4CI Дата : 15.08.2018 18:30 а как сразу три? У меня они в разные стороны выходят, бинокли стоят горизонтально.Можно и так выполнить. Но тогда, первый виток, скрутки из трёх проводков, мотается на центральном стержне, второй на одной боковой стороне, третий снова на центральном, а четвёртый на другой боковой стенке бинокля. Затем вытягиваем один из проводков начала обмотки на другую сторону. Находим конец этого проводка, и доматываем эти пол виточка. Выводы одной обмотки с одной стороны, двух других, с другой. Но собственно, можно намотать обмотки и по отдельности. Но вроде, при намотке скруткой возрастает широкополосность. Вроде, потому что сам никаких измерений не проводил. Данные с того самого сайта. Там вроде такой трансформаторик по широкополосности даже выигрывал у обычных, намотанных на колечках. Но меня там заинтересовал именно сам способ намотки трансформаторика. Остальное, вспоминается с трудом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4581] Автор : UR3ACH Дата : 15.08.2018 20:03 Может кто-нибудь графически показать, т.е в виде рисунка как и куда мотать на двухдырочном феррите трансформаторы в смеситель? Что-то не совсем понятно виток на одной стороне, потом на другой и посередине:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4582] Автор : Genadi Zawidowski Дата : 15.08.2018 20:12 Да мотайте в три провода без затей... 294454 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4583] Автор : UA7KJ Дата : 15.08.2018 20:35 UR3ACH, Вот так и надо мотать на BN-43-2402 (http://ur8qp.com/images/cores/BN43-2402.jpg). Я мотал проводом 0.21 в три провода три скрутки на сантиметер. Влазит 5 витков и этого достаточно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4584] Автор : Сармат Дата : 15.08.2018 23:09 Пока все сам паяю.....это ответ, кто спрашивает, сам ли я паяю.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4585] Автор : ur4qij Дата : 16.08.2018 09:46 Намерял в своем экземпляре маламута чувствительность 1- 1,5 мкв На тему основного параметра трансивера (чувствительность) что то никакого обсуждения? Шипит ведь приемничек, или у всех 0,3 мкв?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4586] Автор : ur7hfo Дата : 16.08.2018 11:48 Да мотайте в три провода без затей... Выполнил точно так как у Вас на картинке, но влезло моим проводом (диаметр не мерил) ровно 4 витка. Добавлено через 22 минут(ы): Может кому пригодится, приемник настроен 200 кгц, приблизительно оценить работу SI5351A, DS90LV028A, SN74LVC74AD на этой частоте можно дешевым китайским логическим анализатором. (Качественного осцилографа нет.) Первая картинка выход с сишки, вторая - выход с SN74LVC74AD (1 и 2 канал сдвиг 90 градусов) 294493294494 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4587] Автор : ur4qij Дата : 16.08.2018 13:45 Вопрос автору. При включении АТТ меняется что либо в программе или только переключаются РЕшки аттенюаторов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4588] Автор : Love777888 Дата : 16.08.2018 13:51 На тему Шипит ведь приемничек, или у всех 0,3 мкв?? Здравствуйте, мне пришлось немного в пч поднять усиление, чтоб от 0.6 мкв слышать. И да шум стоит, из за него невозможно принять слабый сигнал. Кто-то намерял вроде 0.5 мкВ, но без шума, как это человек сделал, неизвестно. Из чип и дип заказал оригиналы фст3253, посмотрим что будет. Все дпф перешерстил, но так толком ничего не добился, там где были явные завалы, там это нашлось. Даже на сердечниках мотал индуктивности, кардинально это не меняет дела. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4589] Автор : R3DI Дата : 16.08.2018 15:24 При включении АТТ меняется что либо в программе или только переключаются РЕшки аттенюаторов? только переключаются РЕшки аттенюаторов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4590] Автор : ur7hfo Дата : 16.08.2018 16:34 Все дпф перешерстил Там на ВЧ бендах 11-12 дб потерь получается, чутья так нужного на вч не будет. на нч бендах все нормально выходит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4591] Автор : Love777888 Дата : 16.08.2018 19:00 Дима вы про свитчеры? Вроде дпф в норме -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4592] Автор : ur7hfo Дата : 16.08.2018 19:23 Дима вы про свитчеры Я мерял все в куче, от антенного входа , и до входа смесителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4593] Автор : Love777888 Дата : 16.08.2018 19:27 У вас дпф выведены по ачх? Может с ростом частоты сам смеситель не тянет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4594] Автор : ur7hfo Дата : 16.08.2018 21:02 У вас дпф выведены по ачх? Да, более менее настроены дпф. Я мерил от антенного входа до входа смесителя с помощью NWT(то есть антенный вход -> пешка -> фильтр -> пешка -> пешка -> пешка -> вход смесителя) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4595] Автор : PJA1976 Дата : 17.08.2018 12:31 Да, более менее настроены дпф. Я мерил от антенного входа до входа смесителя с помощью NWT(то есть антенный вход -> пешка -> фильтр -> пешка -> пешка -> пешка -> вход смесителя) Вся эта цепочка из пешек добавляет шум ,который потом усиливает усилитель. Природа шума скорее всего сама пешка+шумы от цифровой части схемы.Это выливается в наличие "горбов" на некоторых участках диапазонов и понижение с/ш+ослабление на пешках .Самый чистый сигнал при подключении антенны только через дпф. Но тогда мала чувствительность на вч диапазонах т.к. нет усилителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4596] Автор : rudolf0351 Дата : 17.08.2018 12:44 Подскажите как подключаються кнопки. Из схемы не понятно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4597] Автор : UA0BHC Дата : 17.08.2018 13:01 Подскажите как подключаються кнопки. Из схемы не понятно. Разъём 11J5 KEY, представляет из себя 10 контактов в два ряда. Ряд нечётных, ряд чётных. По краям подписано шелкографией на плате (1, 2, 9, 10). Если хотите убедиться на 100% эти сигналы можно вызвонить, они идут на процессор. Или найти общий для них земляной провод на этой же колодке. Кнопки припаиваются между сигналом и землёй. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4598] Автор : rudolf0351 Дата : 17.08.2018 13:21 Спасибо Добавлено через 9 минут(ы): И ещё подскажите в чём разница прошивок: SDR V2.5 , SDR V2.0 , SDR V4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4599] Автор : UA0BHC Дата : 17.08.2018 13:48 Вторая - старая с исходниками. 4.9 - последний доступный релиз, её и надо лить. Про 2.5 в первый раз слышу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4600] Автор : ur7hfo Дата : 17.08.2018 16:33 ослабление на пешках По поводу затухания, вот режим байпасс, куча пешек включена последовательно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4601] Автор : rudolf0351 Дата : 17.08.2018 16:49 Понятно , спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4602] Автор : Love777888 Дата : 17.08.2018 23:14 Здравствуйте, такой момент, а почему не поставить УВЧ в разрез дорожки RX CAB(это от реле прием-передача к первому РЕ)? Тем самым скомпенсировать затухания на каждом последующем свитчере РЕ? Вроде и мусора меньше должен собрать в таком случае УВЧ, нежели после цепочек РЕ. Поправьте меня если что не так. Не факт конечно что он не собирает это все по соседству с цифровой частью трансивера, но все же. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4603] Автор : ur4qij Дата : 18.08.2018 13:41 При отключенной антенне включаю УВЧ , шум возрастает, затем включаю аттенюатор и шум падает! Но аттенюатор стоит ПЕРЕД УВЧ а не после его, почему же падают шумы УВЧ, или это не шумы УВЧ а что то другое? R3DI, Евгений, у вас другая плата (у меня от UR4QBP), имеется такой эффект на вашей плате? Я предполагаю что шум наводиться по шинам земли от цифровой части. а почему не поставить УВЧ в разрез дорожки RX CAB Да, надо попробовать но если по шинам земли наводка шума и т. п. может и не помочь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4604] Автор : PJA1976 Дата : 18.08.2018 14:46 Насколько я знаю, по классике построения трансиверов аттенюатор должен стоять сразу после антенны, тогда он дает выигрыш по динам.диапазону (ДД). Если его засунуть после УВЧ и ДПФ , то он просто будет ослаблять сигнал.Можно попробывать так: аттенюатор-ДПФ-УВЧ-смеситель.Сейчас по схеме маламута первым стоит ДПФ.Я пробывал оставлять только ДПФ, у меня шум возрастал только в районе 900кгц. (появлялись горбы).С этим можно смириться. Может кто знает , пешки сами дают шум? Может все шумовые ухудшения из-за них? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4605] Автор : Love777888 Дата : 18.08.2018 14:53 PJA1976, Здравствуйте, а чуйку не меряли с одним дпф? По идее прибавка должна быть. Фст3253 по словам некоторых радиолюбителей имеют хорошую чувствительность, что то около 0.3 мкВ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4606] Автор : PJA1976 Дата : 18.08.2018 16:06 Love777888, я уверен , что у смесителя чувствительность где-то в районе приведенных вами цифр.Но всё что ставится перед ним , вносит ослабление, которое хочется хотя бы скомпенсировать усилителем.Но усилитель усиливает и шумы, которые забивают сигнал , видимый при прямом подключении к смесителю.Правда не везде, но на некоторых участках.Зависит еще от добротности ДПФ .Они на полосатых индуктивностях получаются не очень на вч бендах.Но зато малогаборитные. Надо максимально уменьшить шумы аттенюаторов и ослабление ДПФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4607] Автор : ur7hfo Дата : 18.08.2018 20:42 Наткнулся на странный глюк, если слушать фм станцию , а затем переключить бенд на кв, то полностью пропадает прием, но на кнопки трансивер реагирует. Лечится перезагрузкой по питанию. Не критичный глюк конечно. Версия прошивки 4.9 , версия платы UR4QBP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4608] Автор : ua9xos Дата : 18.08.2018 21:25 Наткнулся на странный глюк, если слушать фм станцию , а затем переключить бенд на кв, то полностью пропадает прием, но на кнопки трансивер реагирует. Лечится перезагрузкой по питанию. Не критичный глюк конечно. Версия прошивки 4.9 , версия платы UR4QBP. Такой же глюк наблюдал. Плата авторская -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4609] Автор : ua3ycv Дата : 19.08.2018 08:01 Такой же глюк наблюдал. Плата авторскаято же самое-но на разных vfo по разному-на В реже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4610] Автор : R3DI Дата : 19.08.2018 09:38 На авторских последняя прошивка должна быть, сам пользуюсь постоянно и переключением vfo и фм иногда слушаю, глюков не наблюдал. Опешите точнее порядок действий и что происходит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4611] Автор : ua9xos Дата : 19.08.2018 11:47 На авторских последняя прошивка должна быть, сам пользуюсь постоянно и переключением vfo и фм иногда слушаю, глюков не наблюдал. Опешите точнее порядок действий и что происходит. У меня всего раз такое было на FM. С тех пор в аппарате кое что изменилось. Заменил все пешки, перемотал трансы на смесителях. По приему на фм он скорее всего затыкался из за мощного сигнала. Живу не далеко от телевышки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4612] Автор : D0ITC Дата : 19.08.2018 12:36 Евгений, прошивка 4.9 если после включения трансивера сначала выбрано SSB, а потом включить FM2, а потом опять вернуться в SSB то приём пропадает. После выключения и включения питания снова приём возобновляется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4613] Автор : RX9UAO Дата : 19.08.2018 13:06 D0ITC,только что попереключал несколько раз-все нормально. (прошив.SX) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4614] Автор : ur7hfo Дата : 19.08.2018 13:37 Опешите точнее порядок действий и что происходит. Прошивка 4.9. При переходе с прослушивания мощной фм станции (в районе 100.. 103 мгц , уровнь 59+30 ) на кв бенд, пропадает прием и водопад на дисплее. Но процик не вешается , так как на нажатия кнопок реагирует. Если питание выключить а затем включить, то прием восстанавливается. Больше не могу ничего добавить, разве что пропадания эти происходят с вероятностью 50 процентов. Повторюсь, для меня это не особо критично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4615] Автор : ur4qij Дата : 19.08.2018 14:58 Ладно, с шумом сам буду разбиратся. Евгений , ещё вопрос. В АМ на передачу у меня на несущей рокоток наблюдается, и мелкая модуляция. В ССБ нормально. Что то можно сделать или только изменением программы? Версия у меня 4.7. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4616] Автор : eu7ea Дата : 19.08.2018 15:38 Подскажите пожалуйста по некоторым номиналам резисторов/конденсаторов: 4R15, 4R17, 4C19 какие поставить изначально? Нужен ли резистор 11R18 при собранном регуляторе яркости на 11Q1 (IRLML5103)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4617] Автор : R3DI Дата : 19.08.2018 15:42 ur4qij, рокот где, в мониторе или в приемном трансивере? Мелкая модуляция это программно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4618] Автор : ur4qij Дата : 19.08.2018 15:49 Рокот вижу на выходном сигнале осциллографом и на контр. приемнике Программно, значит углубить не получится? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4619] Автор : R3DI Дата : 19.08.2018 15:56 Аа, еслиб монитор - то он только для ссб, в ам несущая формируется НЧ сигналом т.к. iq преамп dc не пропустит. А если на контр приемнике - не скажу, может и программно, может и далее в схему что подмешивается (помеху хватает где) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4620] Автор : ur4qij Дата : 19.08.2018 16:06 Понятно. Будем искать , если поиеха то поборем. А углубить модуляцию может быть получится если перевести режим УМ в класс В , с нулевым током покоя:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4621] Автор : Aleks8585 Дата : 21.08.2018 10:05 Евгений.Есть платы в наличии,скиньте в личку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4622] Автор : aiwa Дата : 22.08.2018 01:14 В чем причина - водопад запускается только после вкл-выкл "тон"? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4623] Автор : sargi Дата : 23.08.2018 19:36 UA7KJ У меня есть lcd 3.2 "... в адаптере pcb, выделенном желтым цветом, что я должен положить ?... 294998 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4624] Автор : UA7KJ Дата : 23.08.2018 20:03 sargi,Ни чего не ставьте. Это резистор в цепи подсветки нужен только когда питаете дисплей от другого источника питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4625] Автор : RK3AQW Дата : 26.08.2018 22:39 Нашел одну из причин щелчков валкодера на ВЧ(пробовал на 28 МГц узкополосный), было большое затухание ДПФ порядка 10дБ,сделал "большой" ДПФ на макетке с затуханием около 1 дБ(не настраивал особо),практически(ели слышно, но не мешают при перестройке при вкл УВЧ) не слышно при подключенной антенне.Надо приводить затухание к 0.Как-то так.Версия платы 1.31 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4626] Автор : RA1CAC Дата : 27.08.2018 06:34 Нашел одну из причин щелчков валкодера на ВЧ(пробовал на 28 МГц узкополосный), было большое затухание ДПФ порядка 10дБ,сделал "большой" ДПФ на макетке с затуханием около 1 дБ(не настраивал особо),практически(ели слышно, но не мешают при перестройке при вкл УВЧ) не слышно при подключенной антенне.Надо приводить затухание к 0.Как-то так.Версия платы 1.31Не. Большое затухание ДПФ - это скорее всего не причина. Просто при малом затухании, щелчки больше маскируются шумом эфира и полезным сигналом. У меня такая же история на 1.31 с узлом регулировки яркости дисплея - сильнее всего рычит на 28 мгц. Однако, когда включаешь УВЧ, на панораме эту помеху становится не видно. И в динамике вроде как слабее слышно, но однако - есть. Надо видимо, попробовать вернуть на место 11R13. Я думаю, что помехи от процессорных узлов воздействуют на участок схемы, от ДПФ до RX смесителя - где-то здесь. Потому как, снимаешь перемычку 3J1 - и помеха никуда не девается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4627] Автор : R3DI Дата : 27.08.2018 09:59 RA1CAC, это с каким ШИМ 105кНz? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4628] Автор : RA1CAC Дата : 27.08.2018 10:29 ... ШИМ 105кНz?Евгений, доброго утречка. Не могу сказать, по поводу 105 кгц, но думаю, что это именно узел на 11Q1. Потому как, если регулировать в меню яркость дисплея, то при малой яркости, помеха гораздо меньше, правда и дисплей при этом почти не различается. И еще интересный момент - помеха наблюдается с дисплеем 2.8. Ставлю дисплей 3.2 (тот который без LCD_CS) - помеха есть, но только в виде тоненькой ниточки на водопаде - можно пренебречь. А недавно пришел еще дисплей 2.2 - надо будет еще с ним посмотреть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4629] Автор : R3DI Дата : 27.08.2018 11:12 Алексей, доброго! Не помню есть ли возможность в 1.31 перевода подсветки (физически) на шим 105к (программно точно есть в прошивке), посмотрите по схеме 1.4 да и меню порт подписан, на 1.3х удобно взять с переходного отверстия второго процессора этот сигнал. И есть еще момент, в меню дисплея есть выбор режима для водопада с DMA на soft, это несколько замедляет его работу но в некоторых участках становиться тише. Так же есть хорошие рекомендации по снижению "щелчков " в видео Эдуарда RW6MQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4630] Автор : RA1CAC Дата : 27.08.2018 11:57 Спасибо, Евгений. ...есть ли возможность в 1.31 перевода подсветки (физически) на шим 105к (программно точно есть в прошивке), посмотрите по схеме 1.4 да и меню порт подписан, на 1.3х удобно взять с переходного отверстия второго процессора этот сигнал... Вы имеете в виду сигнал SPI2_NSS (по схеме 1.4)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4631] Автор : R3DI Дата : 27.08.2018 12:01 Ага, он -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4632] Автор : RA1CAC Дата : 27.08.2018 12:45 Угу, спасибо, надо попробовать. В 1.4 я пока до этого места не дошел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4633] Автор : NickV Дата : 28.08.2018 14:20 Подскажите размер выреза в передней панели для видимой части 2,8" дисплея. И расстояния до отверстий крепления дисплея. Или, если это в теме обсуждалось, то подскажите в каком месте. Спасибо. ------------ NickV -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4634] Автор : UU5JPP Дата : 28.08.2018 15:54 Подскажите размер выреза в передней панели для видимой части 2,8" дисплея. И расстояния до отверстий крепления дисплея. Или, если это в теме обсуждалось, то подскажите в каком месте. Спасибо. ------------ NickV Видимая часть 60х45 а расстояние до отверстий 295302 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4635] Автор : NickV Дата : 28.08.2018 16:07 Большое спасибо Антон, теперь понятно. У меня дюралевая передняя панель, поэтому не хотелось бы ошибиться. ------------ NickV -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4636] Автор : UU5JPP Дата : 28.08.2018 16:19 Большое спасибо Антон, теперь понятно. У меня дюралевая передняя панель, поэтому не хотелось бы ошибиться. ------------ NickV Что-бы был ориентир от отверстий до видимой части вот фото 295305295306 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4637] Автор : NickV Дата : 28.08.2018 16:41 Что-бы был ориентир от отверстий до видимой части вот фото Спасибо, я уже сам хотел уточнить этот момент! ------------ NickV -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4638] Автор : RK3AQW Дата : 28.08.2018 21:24 Кто делал ДПФ на Murata проволочных индуктивностях SMD,выложите АЧХ,в сомнениях брать их или нет,или все же делать на нормальных катушках,ну никак не получаю затухания ниже 3,5 дБ на НЧ (кроме 160 там почти 0)на вч ниже 8,5 дБ.В данный момент пленочные,ну с ними все ясно,только в мусор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4639] Автор : Radiotester Дата : 29.08.2018 00:01 RK3AQW, На Китайских плёночных получается откровенное Г. Хотите хорошие полосовые сделайте их на колечках. Если конечно позволяет место вставить доп.плату. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4640] Автор : RK3AQW Дата : 29.08.2018 00:18 Radiotester, да это понятно про пленочные,уже обжегся на них,предполагал заранее ,что будет бяка,но надежда померла ,как всегда,вот на грабли и наступил:ржач:,кольца имеются , размер великоват амидон т-50 ,либо наши 20-30-50 вч 12 -20мм(слишком большие) ,вот и спрашиваю,в чип-дип есть катушки smd,от Murata,,ехать пол часа до Беговой,попробовал на кольцах 50ВЧ 4Х2Х2,5 получше конечно АЧХ,но не то,что хотелось бы в результате. Лето заканчивается,сезон конструирования начинается,1 -й Маламут работает полгода, синтез на сишке ,собираю второй на ad9954 с опорой 100 МГц версия 1.31,хочу сравнить.Либо полноразмерный ДПФ буду считать на кольцах т-37,места хватит. графики на пленке 0803 на НЧ достаточно,снято со АНТ входа,все остальное показывать стыдно:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4641] Автор : ra9qba Дата : 29.08.2018 00:44 RK3AQW пост 3214 АЧХ фильтров на проволочных индуктивностях smd -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4642] Автор : RK3AQW Дата : 29.08.2018 01:02 ra9qba, Спасибо,не долистал:smile: странички)помню зрительно,где-то было,и сам похвалил за АЧХ конструктора,по картинке ясно ,что установлено,Вывод,на нч до 30 метров можно ставить все,что угодно из индуктивностей,в т.ч. пленка работает,а дальше будем пробовать,у Мураты на ВЧ катушки идут с аллюминиевым сердечником,без феррита,приобрету,выложу результаты после 3-го сентября. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4643] Автор : RA1CAC Дата : 29.08.2018 06:34 ...ну никак не получаю затухания ниже 3,5 дБ на НЧ (кроме 160 там почти 0)на вч ниже 8,5 дБ...Не парьтесь, то что у Вас получилось - это хороший результат. У меня меньше 10 дб на вч не получалось. Если же хотите уменьшить потери - надо идти другим путем (http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1556612&viewfull=1#post1556612). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4644] Автор : Radiotester Дата : 29.08.2018 08:59 RA1CAC, 10дб в полосе прозрачности затухание?:facepalm: RK3AQW, Если будите делать на т37 не пожалейте и поставьте ещё кпё...так добьётесь наилучшего результата. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4645] Автор : Genadi Zawidowski Дата : 29.08.2018 09:22 10дб в полосе прозрачности затухание? До переделок. После переделок без подбора параметров как я понял нормально получилось. Хочется уточнить - после переделок 1 дБ получился вместе с коммутаторами (PE...) или только фильтр в чистом виде? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4646] Автор : Radiotester Дата : 29.08.2018 09:48 Genadi Zawidowski, Геннадий, то что затухает в PE это капля в море по сравнению с 10db в фильтре. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4647] Автор : RA1CAC Дата : 29.08.2018 10:56 ... Хочется уточнить - после переделок 1 дБ получился вместе с коммутаторами (PE...) или только фильтр в чистом виде?АЧХ, которые я приводил в параллельной теме (http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1556612&viewfull=1#post1556612) - это сквозная характеристика от антенного гнезда, до перемычки 3J1. Причем, перемычка - установлена, к ней же подключалась высокоомная головка NWT, т.е. фильтр был нагружен на реальную нагрузку, с которой ему потом предстоит работать. На выходе NWT - внешний аттенюатор 6дб 50ом, чтобы развязать емкость кабеля. Высокоомная головка откалибрована с нагрузкой 50 ом. ЗЫ. Разумеется, ФНЧ тоже пришлось заранее подстроить, но он проблем не вызвал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4648] Автор : ua9ylu Дата : 30.08.2018 09:22 Интересно кто нибудь реализовал задумку трансвертерного режима маламута, в частности отображения прямой частоты трансвертера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4649] Автор : R3DI Дата : 30.08.2018 09:36 Просто включите этот режим. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4650] Автор : ua9ylu Дата : 30.08.2018 10:45 Просто включите этот режим. где то что то упустил, прошивка 4.9, наверно еще какая то есть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4651] Автор : R3DI Дата : 30.08.2018 10:55 В 4.9 нет, это в более поздних. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4652] Автор : rudolf0351 Дата : 30.08.2018 11:06 Какая последняя прошивка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4653] Автор : R3DI Дата : 30.08.2018 11:28 Открытая 4.9, закрытая SX -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4654] Автор : us5evd Дата : 30.08.2018 12:07 А планируется в открытый доступ что то посвежее 4.9? Файл tx_sdr.c, было такое в ранних версиях. Да, глубину АМ удалось подправить, спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4655] Автор : R3DI Дата : 30.08.2018 12:45 не планируется -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4656] Автор : Love777888 Дата : 30.08.2018 15:38 Не парьтесь, то что у Вас получилось - это хороший результат. У меня меньше 10 дб на вч не получалось. Если же хотите уменьшить потери - надо идти другим путем (http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1556612&viewfull=1#post1556612). RA1CAC,Здравствуйте, а можно увидеть фото как вы это все расположили? У Вас авторская плата? Евгений еще к Вам вопрос, а глубина модуляции в прошивке под ID процессора исправлена? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4657] Автор : RK3AQW Дата : 30.08.2018 15:47 то RA1CAC ДПФ на ВЧ,вот что получается ,пока не распаивал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4658] Автор : R3DI Дата : 30.08.2018 16:07 пока не распаивал мне тоже интересно что получится, подобных намоделил а проверить и некогда (14-24 на 0,82uH) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4659] Автор : RK3AQW Дата : 30.08.2018 16:19 R3DI, я также 820 выставлял, но как-то не получалось НЧ участок вогнать,посмотрим, что в "натуре" получиться,на картинках всегда все "красивше":smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4660] Автор : RA1CAC Дата : 30.08.2018 17:13 ...а можно увидеть фото как вы это все расположили? У Вас авторская плата?..Да, 1.31. Дороги пришлось резать, и детали паять в два этажа. В выходные доберусь до нее - сфотаю, что получилось. ДПФ на ВЧ,вот что получается... ...подобных намоделил... Да, у меня то же самое получалось, я соббсна и подгонял полосу в РФСимм. Сразу бросается в глаза пологий нч скат. И в реале он такой же получается. Кстати, эти фильтры несколько легче в настройке - прощают небольшие разбросы номиналов. А вот "Hourglass" красивше получается: 295466 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4661] Автор : RK3AQW Дата : 30.08.2018 17:36 R3DI, Жень,вот что вышло,20-30 мгц без настройки(в Маламут вставил),имеет право на жизнь,на пленочных SMD инд-ях. RA1CAC, спасибо за наводку,на пленочных здорово выходит,а то я ихв мусорку хотель отправить) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4662] Автор : R3DI Дата : 30.08.2018 17:41 вот что вышло,20-30 мгц Отлично, спасибо! У меня 1-в-1 номиналы в модели. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4663] Автор : RA1CAC Дата : 30.08.2018 17:41 ...спасибо за наводку,на пленочных здорово выходит...Всегда пожалуйста. Однако поднастроить надо - 5 дб, это многовато, при должном усердии, до 0.5 дб можно довести, имхо. И верхнюю границу надо бы за 30мгц утащить. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4664] Автор : RK3AQW Дата : 30.08.2018 17:47 RA1CAC, да я только вставил,даже канифоль не мыл) то здорово,что особо много резать не надо дорожки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4665] Автор : autosat Дата : 30.08.2018 17:52 А вот на пленочных индуктивностях TDK MLF2012, сквозь 2 PE4259: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4666] Автор : RA1CAC Дата : 30.08.2018 17:55 ...то здорово,что особо много резать не надо дорожкиНу в трех местах-то все же надо. ЗЫ. Дмитрий, похоже у Вас какая-то часть внешней измерительной схемы NWT резонирует на частотах 5..10 Мгц, судя по горбам в этой области. У меня такое было, пока не сделал нормальную выносную высокоомную голову на втором канале. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4667] Автор : ur3ilf Дата : 30.08.2018 18:26 посмотрим, что в "натуре" получиться,на картинках всегда все "красивше" Потому что в моделях зачастую используют идеальные катушки. Но в железе таких катушек нет. По этому затухание получается большое и реравномерность отличается от модели и т.д. Так ведь и должно быть. Если подставить хотя бы примерно добротность катушек то совпадение с моделью в железе будет приличное. Вот модель фильтра 295473 Вот результат в железе. Индуктивности на кольцах 30ВЧ диаметром 4мм. Какие были в общем. 295474 Потом был подкорректирован чтоб уменьшить неравномерность в полосе. Это второй пример 295475 В железе 295476 Всё совпадает если указывать добротность катушек. И обращать на график в RFsim- линейный или логарифмический. Часто стоит логарифмический а в приборах линейное измерение. Потом скат получился типа другой:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4668] Автор : RK3AQW Дата : 30.08.2018 19:01 ur3ilf, да это все ясно,тут эксперементируем с пленкой китайской))пытаемся ей добротность повысить:ржач:,я также делал на 4мм 50 вч кольцах катушки,так там все практически с расчетами совпадало.Если выставить Q=20 на этих пленках ,что просто для них будет выше крыше,то график имеет пересечение в 2-х точках:-P, выставил Q=20 F=30mHz график совпал на 99% с расчетным,т.е. затухание 3 дБ +/- 1дб неравномерность в полосе,всё лучше чем 10дБ. RA1CAC, Алексей,головка высокоомная имеется,лень два провода к ней припаять))+5 и массу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4669] Автор : RA1CAC Дата : 30.08.2018 19:18 .. Часто стоит логарифмический а в приборах линейное измерение. Потом скат получился типа другой:-PНе ну это уж совсем надо быть... как бы это... начинающим, штоле. ...головка высокоомная имеется,лень два провода к ней припаять))+5 и массу.Надо. Меньше проблем будет при измерениях. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4670] Автор : RA1CAC Дата : 30.08.2018 20:58 .... Если подставить хотя бы примерно добротность катушек то совпадение с моделью в железе будет приличное...А можете показать, что у Вас получилось в диапазоне 20..33мгц? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4671] Автор : Love777888 Дата : 31.08.2018 09:46 RA1CAC, Здравствуйте, скажите пожалуйста, на вч бендах какие индуктивности применяли? И можно ли скинуть все фильтра сюда в тему. Спасибо! R3DI, И вам добрый! Скажите вопрос с глубиной модуляции в АМ решен в прошивке которая привязана к ID процессора? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4672] Автор : RA1CAC Дата : 31.08.2018 10:21 Приветствую. ...на вч бендах какие индуктивности применяли? В фильтре 20..33мгц вот эти (https://www.chipdip.ru/product/lqh31hnr50j). С остальными индуктивностями мне здорово помог Геннадий Завидовский (TKS). Что за фабрика - не скажу, но что-то вроде этого (https://www.chipdip.ru/product/nlcv32t-100k-ef-10). ... И можно ли скинуть все фильтра сюда в тему. Если бы еще вспомнить номиналы... Доберусь до дому, постараюсь скинуть. Впрочем, исследования на эту тему не закончены, результат еще впереди. Пока могу только рекомендовать взять за исходник фильтры от FLEX-1500, и попытаться вытянуть нужную полосу - я так и делал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4673] Автор : Genadi Zawidowski Дата : 31.08.2018 10:23 Точный ответ - NLV32 - есть в чипедипе, 5%. Кстати, там же есть более крупные версии - NL453232T тоже 5% но что-то вроде этого. А это лучше вообще не применять... 10 и 20 процентов точности... Специально для развязок - с минимальной добротностью... в даташите написано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4674] Автор : RA1CAC Дата : 31.08.2018 10:31 Точный ответ - NLV32 - есть в чипедипе, 5%. Кстати, там же есть более крупные версии - NL453232T тоже 5%...Да, точно, вот сейчас копнул BOM на Аист - одна из позиций (https://www.chipdip.ru/product1/8974855859). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4675] Автор : RC3ZQ Дата : 31.08.2018 10:55 в прошивке которая привязана к ID процессора? Это комерческая прошивка? Какие при имущества в ней? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4676] Автор : Eugene163 Дата : 31.08.2018 11:06 Существует ли версия "Маламута" с большим по размеру дисплеем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4677] Автор : R3DI Дата : 31.08.2018 11:27 3.2" самый большой дисплей который можно установить напрямую, с большими дисплеями Аист, Тюльпан и тд, не вижу смысла делать Маламут с большим дисплеем. ... а вот сделать Маламут еще меньше ))).... Добавлено через 7 минут(ы): Love777888, да вроде как да, до 100% по осцилу, RC3ZQ, скорее - мотивирующая (не продается, добавляется для плат v1.x), в основном изменения коснулись ключевания CW, и всякие мелочи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4678] Автор : cqdx Дата : 31.08.2018 11:29 Почему бы и нет большой дисплей? У маламута панарама живая, сделайте дисплей как у 756. Люблю телевизоры -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4679] Автор : Integral Дата : 31.08.2018 11:31 R3DI, Евгений, а почему бы не использовать тачскрин с индикатором ILI9341? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4680] Автор : us5evd Дата : 31.08.2018 11:36 ... а вот сделать Маламут еще меньше ))).... А вот это интересно, можно поподробнее? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4681] Автор : RC3ZQ Дата : 31.08.2018 11:38 R3DI, Евгений, спасибо за ответ. Тоесть если есть плата 1.4 (ваша) то потом когда cpu заведется на плате можно ключик заполучить у Вас? Верно? Смотрел сейчас доки по версии платы 1.4. Возник такой вопрос. Правильно ли я понимаю что dds можно установить AD9952+ обвязка или si5351+ обвязка ? А AD9851 обязательна и в том и ином случаи для установки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4682] Автор : R3DI Дата : 31.08.2018 11:43 (Пишу с будильника, потому цитировать оч не удобно, а полные цитаты все забьют, ... по никам) Болт999, а оно мне это зачем, как бы есть чем заняться. Integral, так же не вижу смысла - "спичкой" тыкать )), не удобно, не смотря на то, что своими пальцами могу поднять 0603 со стола (не прилипанием))) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4683] Автор : RW6MQ Дата : 31.08.2018 11:47 Почему бы и нет большой дисплей? У маламута панарама живая, сделайте дисплей как у 756. Люблю телевизоры Так и подключите по USB к телевизору и смотрите на любых дюймах :-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4684] Автор : R3DI Дата : 31.08.2018 11:49 RC3ZQ, да, если плата моя, то ИД мне (не важно где ее(плату) взяли). Генератор(синтезатор) только один, на выбор. 9851 ну это совсем уж "наотстань" ))... хотя когда нет ничего другого под рукой.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4685] Автор : RC3ZQ Дата : 31.08.2018 11:57 хотя когда нет ничего другого под рукой.... Но все таки 9952 однозначно в приоритете перед сишкой и 9851? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4686] Автор : Сармат Дата : 31.08.2018 12:04 295512295513 осваиваем ЧПУ....пробная резка...рисунок сделал на Front Panel Designer, а управляющую программу на Арткаме....гравировка надписей еще не закрашена... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4687] Автор : Eugene163 Дата : 31.08.2018 12:40 Так и подключите по USB к телевизору и смотрите на любых дюймах А к планшету на Андроиде? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4688] Автор : cqdx Дата : 31.08.2018 13:21 Хотелось бы дисплей как в Icom756 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4689] Автор : Genadi Zawidowski Дата : 31.08.2018 13:35 А к планшету на Андроиде? тут коллега делал программу показа спектра с квадратур по звуковой карте - на тестировании у пользователей застопорилось... Но наверняка есть такие. ох и стукнут нас... то крепеж три девятки: У Вас есть что предложить? Болт999, а оно мне это зачем, как бы есть чем заняться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4690] Автор : us5evd Дата : 31.08.2018 13:38 Сармат, какое напряжение на входе УМ Маламута при выходной мощности около 50ВТ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4691] Автор : RK3AQW Дата : 31.08.2018 13:49 Сармат, какое напряжение на входе УМ Маламута при выходной мощности около 50ВТ? питание поднимите до 22-27вольт на рд16 и будет вам 50ватт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4692] Автор : us5evd Дата : 31.08.2018 13:53 Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4693] Автор : Love777888 Дата : 31.08.2018 15:08 А при напруге 24 вольт, IGN добавлять витки? Сейчас 2 витка при 13.8 вольта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4694] Автор : eu7ea Дата : 31.08.2018 23:27 Подскажите пожалуйста по некоторым номиналам резисторов/конденсаторов: 4R15, 4R17, 4C19 какие поставить изначально? Нужен ли резистор 11R18 при собранном регуляторе яркости на 11Q1 (IRLML5103)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4695] Автор : UN7RX Дата : 31.08.2018 23:42 Прошу прощения, а были варианты модификации под дисплей с бОльшим размером? Под 5-7 дюймов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4696] Автор : RA1CAC Дата : 01.09.2018 09:15 ...можно увидеть фото как вы это все расположили? ...Вот фото ДПФ, как обещал: 295588 Индуктивности можно даже разглядеть номинал, Но на всякий случай продублирую: 20..33 мгц - 500 нГн 14..22 мгц - 680 нГн 9...15 мгц - 1.2 мкГн 6.2..10.4 мгц - 1.8 мкГн 3.9..7.5 мгц - 2.7 мкГн 2..3.9 мгц - 5.6 мкГн 0..2 мгц - без изменений Конденсаторы - извиняйте, не сохранил файлов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4697] Автор : UA7KJ Дата : 01.09.2018 09:17 а были варианты модификации под дисплей с бОльшим размером? Не было таких. Самый большой 3.2 дюйма. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4698] Автор : RA1CAC Дата : 01.09.2018 09:56 Вот, удалось восстановить лестничный фильтр 20..33мгц: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4699] Автор : Genadi Zawidowski Дата : 01.09.2018 10:02 Но впаяны 470 нГ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4700] Автор : RA1CAC Дата : 01.09.2018 11:01 Нет, 500нгн. По крайней мере так на упаковке ЧиД так написано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4701] Автор : Genadi Zawidowski Дата : 01.09.2018 11:05 Ну партизан… Тип сказать можно или указать артикул чип-диповский? Удивительно что не из стандартного ряда. Для тех что 1..10 витков на оправке такое еще понятно, а на такие номиналы у всех уже из ряда E12 идет... Спасибо за ответ - прицеплю сюда даташит LQH31H Series (1206 Size) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4702] Автор : RA1CAC Дата : 01.09.2018 11:09 Ну партизан… Тип сказать можно или указать артикул чип-диповский? Удивительно что не из стандартного ряда. Для тех что 1..10 витков на оправке такое еще понятно, а на такие номиналы у всех уже из ряда E12 идет...:-P Вот, пожалуйста (https://www.chipdip.ru/catalog/smd-inductances?x.482=zhH). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4703] Автор : Сармат Дата : 01.09.2018 20:40 чтоб маламут излучал 50 вт, надо вот это295631 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4704] Автор : RK3AQW Дата : 02.09.2018 00:08 А ЭТО ЧТО?Умножитель? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4705] Автор : Сармат Дата : 02.09.2018 07:19 Это преобразователь DC-DC 12-24 v -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4706] Автор : ur7hfo Дата : 04.09.2018 22:53 Подскажите а у кого какая чувствительность получилась ? Запустил пока только на прием, но субъективно проигрывает моему SW2013 по чутью порядка 10 дб. Полосовики все проверены, от антенны и до входа смесителя на бендах от 1.8 и до 21 , потери не более 7-8 дб. Трансформатор смесителя перематывал, микросхему смесителя менял, без результатов. Осталось еще поменять операционник. ГСС-а (калиброванного) увы пока нету. А так прием вполне нормальный получился, но впечатление что не хватает чувствительности. https://www.youtube.com/watch?v=FfRfgnOSBH8& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4707] Автор : R0LN Дата : 05.09.2018 02:35 Здравствуйте всем, измерил чувствительность приёмника, на моём экземпляре такие цифры: 13мкВ с УВЧ, 40мкВ без УВЧ. Полосовики 3-4Дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4708] Автор : UR3ACH Дата : 05.09.2018 03:17 но субъективно проигрывает моему SW2013 по чутью порядка 10 дб. ГСС-а (калиброванного) увы пока нету. А так прием вполне Кто как мерял (или измерял) и чем??? Х.З. Где финики? Финики где? Где ARRL? И все остальные? измерил чувствительность приёмника https://www.youtube.com/watch?v=hE2sinyZU6M -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4709] Автор : R0LN Дата : 05.09.2018 04:47 Кто как мерял (или измерял) и чем??? Х.З. Где финики? Финики где? Где ARRL? И все остальные? Г4-107 на входе, нагрузка 8 Ом на выходе + осцилл, С/Ш по напряжению 10Дб. Методика всем известная. Да и визуально слышно, в сравнении с другими аппаратами, где -120дб слышно без проблем, на маламуте только присутствие. Если у вас ситуация лучше, так расскажите лучше как добились, или с коробки собрали и чуйка сразу огонь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4710] Автор : Павел Непийвода Дата : 05.09.2018 08:08 А почему 8ом а не 50 ом -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4711] Автор : R0LN Дата : 05.09.2018 09:34 А почему 8ом а не 50 ом Да и правда почему?:facepalm: Заменил резисторы 3кОм в обвязке 5532 на 22кОм(какие были под рукой:oops:), чуйка выросла на 10Дб:super:, меня устраивает, всем спасибо.:beer: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4712] Автор : ur7hfo Дата : 05.09.2018 10:41 Кто как мерял (или измерял) и чем??? А у Вас сколько вышло ? И по какой методике измеряли ? Любопытно знать, к какому результату нужно стремится для данного аппарата. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4713] Автор : RK3AQW Дата : 05.09.2018 10:59 :ржач: + шумы операционника выросли и понизился ДД + перегрузка кодека по входу,а не чуйка.Чувствительность не мерил,но сравнивая работу с ICOM-756 разницы не заметил ,за исключением 28мГц,но на тот момент там не было нормального фильтра,в режиме байпасс практически одинаково. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4714] Автор : RA1CAC Дата : 05.09.2018 11:43 А почему 8ом а не 50 омВидимо потому, что сопротивление динамика = 8 ом. ЗЫ. Народ, не надо фантазировать с ОУ. Переделок UR8QP (http://ur8qp.com/publ/1-1-0-46) вполне достаточно. Займитесь лучше настройкой ДПФ и ФНЧ - уверяю вас это более полезно для чувствительности и выходной мощности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4715] Автор : RK3AQW Дата : 05.09.2018 12:00 RA1CAC, +++ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4716] Автор : UA9olb Дима Дата : 05.09.2018 13:18 настройкой ДПФ Алексей поделитесь наработками по дпф где то видел Вы выкладывали . А то у меня 10 дб теряется в них относительно обхода ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4717] Автор : RA1CAC Дата : 05.09.2018 13:22 ...поделитесь наработками по дпф...С удовольствием (http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1556612&viewfull=1#post1556612). Однако точных номиналов пока нет - только рекомендации. Потому как еще не закончил - впереди еще фильтры "Hourglass". Впрочем, Вы можете это дело проделать самостоятельно, ссылка на прогу Filter Solution (http://www.cqham.ru/forum/showthread.php?7091-%D4%E8%EB%FC%F2%F0-%EF%F0%EE%F2%EE%F2%E8%EF-quot-hourglass-quot&p=281399&viewfull=1#post281399) там есть. Кроме всего прочего, что я описал по ссылке: Один 591-й у меня все-таки фукнул волшебным дымом - недавно обнаружил. Значит еще предстоит репу чесать над надежным драйвером. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4718] Автор : RK3AQW Дата : 05.09.2018 14:10 RA1CAC, чтоб 591-й не летел, входной тр-р драйвера мотайте I=3+3,II=6(на бинокле сделан), с КСВ=3-4 на свою суррагатную 20м соплю-заоконную работаю, проблем нет при мощности 35-50 ватт,до этого пару раз драйвер менял. По ДПФ сделал три диапазона(14-28),как Вы любезно тыкнули носом:smile:(за что спасибо)скаты на НЧ составляющей правда пологие получаются,но сойдет для SDR,НЧ диапазоны оставил авторские. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4719] Автор : RA1CAC Дата : 05.09.2018 14:27 RA1CAC, чтоб 591-й не летел, входной тр-р драйвера мотайте I=3+3,II=6(на бинокле сделан)...Спасибо за подсказку, 4Tr1 у меня намотан на BN43-2402, 6 витков, скруткой в три провода. Надо попробовать по Вашему. ...По ДПФ сделал три диапазона(14-28)...И как отдача? ...НЧ диапазоны оставил авторские.Согласен, на НЧ потери гораздо меньше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4720] Автор : R3DI Дата : 05.09.2018 14:27 Один 591-й у меня все-таки фукнул волшебным дымом Алексей, каким напряжением питается драйвер ? Защита была отключена или не помогла? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4721] Автор : RA1CAC Дата : 05.09.2018 14:33 ...каким напряжением питается драйвер ?... 8 вольт. ...Защита была отключена или не помогла?Никакой защиты не было - на тот момент трансивер питался от аккума автомобиля 12в, и антенна - просто длинная несогласованная веревка. Сам виноват - понадеялся на автоматический тюнер. А веревку надо было хотя бы через транс 1:9 подключить. ЗЫ. Евгений, спасибо, кстати за подсказку - шим 105 кгц, присобаченный на плате 1.31, сильно уменьшил помеху на 28 мгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4722] Автор : RK3AQW Дата : 05.09.2018 14:49 И как отдача? после 24 МГц падение идет до 35-37 Ватт,емкость компенсационную двигаю туда сюда,либо ВЧ либо НЧ валит ,оставил золотую средину,а так от 1,8 до 24(27 если быть точным)45-53 Ватта если на максимуме,отстроил в меню все диапазоны поставил 35 Ватт на нагрузке и на этом закрутил последний болт в крышке) Второй собираю потихоньку, хотел на AD делать,для сравнения, но пока набивал плату ,сишку впаял автоматом:crazy:выпаивать не хочется. А веревку надо было хотя бы через транс 1:9 подключить. а вот это правильно и плевать на любое КСВ до 10 и согласуется везде -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4723] Автор : RA1CAC Дата : 05.09.2018 14:52 ...отстроил в меню все диапазоны поставил 35 Ватт на нагрузке и на этом закрутил последний болт в крышке)..Замечательно.:пиво::super: Я так понял, что питается оконечник 24 вольтами? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4724] Автор : RK3AQW Дата : 05.09.2018 14:54 RA1CAC, 24в! С китайским преобразователем)Который к удивлению не гадит) 35 ватт выставил т.к. ИМД слишком большие,реально чтоб более менее линейно было, у меня выше 22 Ватт не получилось на 14мГц(на нем смотрел),лежит еще 2 готовых усилителя на РД16 с драйверами на AD и OPA все руки не дойдут до них ,хотя 60 ватт с них снял,но замеры не проводил.Хочется посмотреть кто из них гадит меньше,при большем выхлопе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4725] Автор : RA1CAC Дата : 05.09.2018 15:01 ...к удивлению не гадит)А чего ему гадить, на приеме он ведь без нагрузки, а на передачу это только корреспондент может обнаружить, что маловероятно. А можно ссылочку на преобразователь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4726] Автор : RK3AQW Дата : 05.09.2018 15:19 А можно ссылочку на преобразователь? а вот он ,копееШный,брал для драйвера диодного под фоторезист https://ru.aliexpress.com/item/200W-DC-DC-Boost-Converter-6-35V-to-6-55V-10A-Step-Up-Voltage-Charger-Power/32477977848.html?spm=a2g0s.8937460.0.0.9da82e0eDHPtBU Добавлено через 8 минут(ы): RA1CAC, АЧХ УМ можно выравнить более менее,поставив в стоки РД16-х конденсаторы порядка +/- 100 пФ(подбирается) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4727] Автор : Love777888 Дата : 05.09.2018 19:56 Да и правда почему?:facepalm: Заменил резисторы 3кОм в обвязке 5532 на 22кОм(какие были под рукой:oops:), чуйка выросла на 10Дб:super:, меня устраивает, всем спасибо.:beer: Не у одного у вас такие проблемы, тоже изменил номиналы, но поставил по 10кОм. И кстати кто там чего говорил, вещалки не забивают приемник, коллега в километре валил киловаттом пофиг ДД без проблем тянет приемник. Заинтересовался идеей лестничного фильтра, буду делать. Не скрою метод подмены резистров в ОУ добавляет шуму, но я хоть слышу, то чего не слышно при 3 кОм. Паяю NWT-7, сделаю увижу всю картину, явно есть завалы. Но делают на китайских индуктивностях и все пашет. Что интересно, весь ютуб снят на 80м диапазон, там да хорошо работает, а вч бенды? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4728] Автор : ur7hfo Дата : 05.09.2018 20:38 а вч бенды? У меня лично фильтр 20-33 мгц , вышел отвратительным, и это с проволочными смд индуктивностями. Остальное более менее, ну понятно что 160, 80, 40, 30 метров , там очень хорошо, но уже 20 и 15 хуже (потери 7..8 дб), а все что выше пятнашки, там полный отстой. Графики с НВТ не сохранял, но они похожи на авторские , за исключением фильтра 20-33 мгц. Как закончу аппарат полностью, то оставлю место в корпусе для своих полосовиков, где смд индуктивностей не будет, будут маленькие амидоновские колечки т37. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4729] Автор : Сармат Дата : 06.09.2018 19:02 295915295916295917 доделал корпус очередного Маламута.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4730] Автор : UA0BHC Дата : 07.09.2018 11:54 Получил журнал Радио за сентябрь - ну ничего интересного нет :( И вот подумалось, что раньше же были статьи про трансиверы своими руками, почему сейчас всё это пропало? С удовольствием бы прочитал там про "Маламут", и мне точно захотелось бы его повторить, тем более столько нового для себя узнал, его собирая. Не пора ли двинуть Маламута в массы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4731] Автор : EU1SW Дата : 07.09.2018 12:21 Да уже только ленивые не барыжат самосборными маламутами, самолепными платами... ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4732] Автор : RA1CAC Дата : 07.09.2018 13:06 ...Не пора ли двинуть Маламута в массы?Ачо, хорошая идея. Только для этого Евгению нужно собраться и двинуть в Радио полноразмерную статью о своем детище. По всем правилам публикации - Вводная глава, Список параметров, Принципиальная схема, Детали и их взаимозаменяемость, Сборка и наладка, ну и разумеется Подробная описуха на прошивку. Приложения - схемы и описания некоторых моментов наладки, появившихся после написания статьи. А так же диск или флешку с фотографиями и файлами, которые он сочтет нужными приложить. Только и всего-то, надо время на это найти. А если черновик описухи не велся с самого начала разработки - то гораздо сложнее взять себя за шкирятник и заставить писать статью. Половина тонких моментов забыта, из-за этого периодически приходится править. Не знаю, у кого как - а у меня после такой работы появляется очучение завершенности изделия - аппарат как будто начинает жить своей жизнью - родился!:smile: ЗЫ. Это дело для Евгения хорошим гонораром пахнет, имхо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4733] Автор : R3DI Дата : 07.09.2018 13:47 "Ачо, хорошая идея." Только вот зачем, в чем смысл? Для запуска (прошивки) трансивера нужен компьютер, не ужели тогда у него нет интернета? Мне думается, что на ту целевую аудиторию на которую рассчитан этот трансивер, статья в журнале не нужна. Да и статью готовить... "дипломный проект" на фоне требований выглядил бы как наброски черновика...так ещё скорее всего им и аппарат предоставить для замеров - а мне это зачем? Гонорар? - не думаю, для этого статья должна быть уникальной, кто пойдет покупать журнал ради статьи Маламута, когда этих статей полно в интернете. Там ещё много тонкостей, и думается мне что головной боли будет гораздо больше чем проффита. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4734] Автор : RA1CAC Дата : 07.09.2018 14:11 ... зачем, в чем смысл?...Наверное в этом: ...Гонорар? - не думаю, для этого статья должна быть уникальной...Именно в Вашем исполнении, Евгений, она и будет уникальной. Все остальное - "Опасайтесь подделок" (с). Разумеется, решать Вам, надо или нет. Мне, например, мысль понравилась. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4735] Автор : EU1SW Дата : 07.09.2018 14:57 Это дело для Евгения хорошим гонораром пахнет, имхо. с чего бы??? Журнал "Радио" выкупили арабские шейхи? ))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4736] Автор : R3DI Дата : 07.09.2018 15:07 Журнал "Радио" выкупили арабские шейхи? Пообщался с редакцией - нет, не выкупили )))). Желания не прибавилось... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4737] Автор : ua3ycv Дата : 07.09.2018 15:55 Желания не прибавилось...вот это и страшно и обидно что хорошее идёт другим путём. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4738] Автор : RW3ACQ Дата : 07.09.2018 16:34 Не у одного у вас такие проблемы, тоже изменил номиналы, но поставил по 10кОм. Олег, приветствую! Так есть ли смысл менять 3кОм на 10 или 22. Меня с практической точки зрения интересует, чтобы не выпаивать запаянное потом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4739] Автор : Love777888 Дата : 07.09.2018 23:55 Олег, приветствую! Так есть ли смысл менять 3кОм на 10 или 22. Меня с практической точки зрения интересует, чтобы не выпаивать запаянное потом. Сергей приветствую. Для себя я сделал так, нашел компромисс между уровнем шума и уровнем чуйки по генератору. Вышло 0.6мкВ. Вот когда отстрою дпф, тогда может поставлю на место номинал 3 кОм. А если Вас сейчас устраивает тот номинал кот вы запаяли, то пожалуйста пользуйтесь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4740] Автор : R3NC Дата : 08.09.2018 20:55 Ну да! Ваш земляк Яков Семенович Лоповок ( UA1FA ) обычно так и делал........ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4741] Автор : RA1CAC Дата : 10.09.2018 07:03 Всем привет. Экспериментировал с фильтрами "Hourglass", попытался сделать самый проблемный фильтр 20..33мгц, и вот что получилось: 296118 296120 Всего же испробовал три варианта, остановился на третьем (зеленый): 296119 Первый - небольшой завал на НЧ, Второй - слишком широкий, и с провалом в р-не 25мгц, Третий - как будто все как надо. Далее - сквозная характеристика (для сравнения приведены все остальные (лестничные) фильтры): 296121 Видно, что на ВЧ появился небольшой завал - видимо надо еще ФНЧ подстроить. Хочу сказать вот что: Даже имея на руках такой замечательный инструмент как Filter Solution, построить хороший фильтр не так просто. Потому как, не смотря на идеальную АЧХ, КСВ фильтра - штука очень капризная, и простое приведение расчетных номиналов к стандартным, с разбросом в 1%, может безнадежно испортить этот самый КСВ. Поэтому не надейтесь, что существует какая-то идеальная схема фильтра, которую просто спаял - и все гуд. Моделируйте каждый фильтр, сначала на макетке, проверяйте, настраивайте, и только потом впайвайте в трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4742] Автор : UA0BHC Дата : 10.09.2018 08:22 Чёт затухание в полосе непрозрачности не особо большое, так и должно быть? Собирал на макетке ДПФ на кольцах Т25-6 на участок от 9,5 до 15 МГц, без ФНЧ, если интересно могу поделиться результатом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4743] Автор : RA1CAC Дата : 10.09.2018 08:34 Чёт затухание в полосе непрозрачности не особо большое, так и должно быть?.Да, расчетное = 37.7 дб. При нем КСВ боле-мене приемлемый. На НЧ склоне соответствует. На ВЧ - видимо есть какие-то утечки. ... Собирал на макетке ДПФ на кольцах Т25-6 на участок от 9,5 до 15 МГц, без ФНЧ, если интересно могу поделиться результатом.Конечно интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4744] Автор : Radiotester Дата : 10.09.2018 12:54 RA1CAC, Если принебречь КСВ то чем сулит на ВЧ диапазонах это все? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4745] Автор : RA1CAC Дата : 10.09.2018 14:14 ...чем сулит на ВЧ диапазонах это все?Повышением чувствительности и выходной мощности. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4746] Автор : UA0BHC Дата : 10.09.2018 17:20 296143296144 296145 вот такой ДПФ 9,5-15 МГц на колечках Т25-6 номиналы деталей по схеме: 3L21 675 nH (14 витков) 3C31 225,6 pF 3L24 214 nH (7 витков) 3C34 829,7 pF 3L22 2,147 uH (27 витков) 3С32 81,74 pF 3L25 215,8 nH (7 витков) 3C35 829,8 pF 3L23 816,5 nH (16 витков) 3C33 226,3 pF Мотать равномерно по всему диаметру кольца, проводом 0,2 или чуть меньше. Конденсаторы подстраивать не пробовал. На линейность в означенном диапазоне оказывают большое влияние 3L21 и 3L22. Пологий скос на вч потом ещё дополнительно отфильтруется ФНЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4747] Автор : RA1CAC Дата : 10.09.2018 17:45 Хорошо. А на 20..33 Мгц что получается? ЗЫ. Юрий, я так понимаю, номиналы L и C получены в результате измерений? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4748] Автор : Radiotester Дата : 10.09.2018 18:13 Повышением чувствительности и выходной мощности. Ну я имел в виду какие побочные эффекты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4749] Автор : RA1CAC Дата : 10.09.2018 18:32 Ну я имел в виду какие побочные эффекты?Дык это и есть побочные эффекты. :ржач: Ну еще может быть опасность спалить драйвер на 591-х, что у меня и произошло при несогласованной веревке. RK3AQW советует перемотать трансформатор 4Tr1 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1561397&viewfull=1#post1561397), чтобы этого не случалось, однако я пока не пробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4750] Автор : ur7hfo Дата : 10.09.2018 20:25 UA0BHC, отличный фильтр , давайте уже и схему его, или она как в оригинале Маламута ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4751] Автор : Love777888 Дата : 10.09.2018 20:55 UA0BHC, Здравствуйте, а эти колечки поместятся на штатном месте в маламуте или планируете выносной ДПФ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4752] Автор : ua9xos Дата : 10.09.2018 21:09 UA0BHC, Здравствуйте, а эти колечки поместятся на штатном месте в маламуте или планируете выносной ДПФ? Мотал на этих колечках дпф 0.5 - 2мгц в притык входят, а там три индуктивности, на других по 5 могут и не влезть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4753] Автор : UA0BHC Дата : 11.09.2018 03:24 RA1CAC, да, измерял пинцетом НВ-14. Кольца сначала расчитывал количество витков в программе, в реале приходилось сматывать по 1 витку - были лишние. Другие фильтры не пробовал пока делать, т.к. интересовал именно этот диапазон. UR7HFO, схема и обозначения - как в оригинале. EW3ABA, надеюсь что поместятся на 1.4 версии платы, где есть отверстия для индуктивностей и кондёры все убраны на другую сторону. Постараюсь хотя бы значимые диапазоны сделать так. Кстати, тут раньше выкладывали фото, на котором было сделано на маленьких кольцах ДПФ, просто раздвинули их немного в стороны. Измерения без ПЕ-шек, они добавят своё затухание. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4754] Автор : RA1CAC Дата : 11.09.2018 08:23 ...измерял пинцетом НВ-14. Кольца сначала расчитывал количество витков в программе, в реале приходилось сматывать по 1 витку - были лишние. Другие фильтры не пробовал пока делать, т.к. интересовал именно этот диапазон....И все же было бы интересно, Юрий, увидеть в Вашем исполнении фильтр 20..33 Мгц. Я, разумеется, тоже попробую, но у меня на сегодня нет колечек Т-25 - конечно закажу у Андрея в ближайшее время, но пока придут... Юрий, постарайтесь понять меня правильно - Вы уже второй человек, демонстрирующий здесь прекрасный фильтр 9..15 Мгц и технично слившийся на вопрос "а что у Вас в полосе 20..33мгц". Поясню, в чем косяк: неискушенный человек, прочитав здесь о ДПФ, может подумать так: "Ну вот же нормальный фильтр у человека, по авторской схеме, чего измышлять-то, просто намотал катушки на кольцах - и все проблемы. А этот RA1CAC со своими "hourglass'ами" - просто .....бол". Без обид, плз - истина дороже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4755] Автор : UA0BHC Дата : 11.09.2018 09:31 Хорошо, постараюсь собрать, но это займёт некоторое время. Кольца не обязательно ждать такие, можно на любых собрать. Когда подстраивал, вообще юзал катушки с сердечниками, потом замерил их индуктивность и намотал на кольцах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4756] Автор : yur5553 Дата : 12.09.2018 00:36 Сходите на qrz.ru. Там их полно на продаже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4757] Автор : UN7RX Дата : 14.09.2018 18:24 Ачо, хорошая идея. Только для этого Евгению нужно собраться и двинуть в Радио полноразмерную статью о своем детище. Вы серьезно думаете что журнал "Радио"="массы"? Это не 80-е, когда журнал был по сути единственным источником хоть чего то. До появления "Радиолюбителя", побившего все рекорды и отнявшего у весьма придирчевого журнала львиную долю читателей. CQHAM даст абсолютно несопоставимую фору любому печатному изданию, по своему наполнению и живому общению авторов со своими поклонниками.. Увы, последнее не только хорошо, но и порой раздражающее. В журнале напечатал - и вот вам картинка с "чистым" авторским материалом. Тут же на двух пользователей адекватно общающихся в теме и по теме, обязательно найдется очередное неудержимое трепло, которое живо начнет топить темы в потоке словоизлияний. К сожалению и эту тему "накрыли" по полной. Что попадо тут жуют, от теории фильтров и их расчетов, до эфемерных аппаратов, которые автору даже в страшном сне не снились. Придет в тему новичок, собрать себе "Маламут" и просто офигеет, увидев пятьсот страниц, где полезного 20% а остальное продукты недержания местных болезных... Евгений, оцените тему, есть ли шанс вытащить отсюда реально полезное и НУЖНОЕ исключительно по вашему мнению? Без учета всего остального. Если что, можно сформировать новую тему на десяток другой страниц и любому, кого там застанет очередной приступ словесной дизентирии, рубить руки до пяток. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4758] Автор : R3DI Дата : 14.09.2018 20:26 UN7RX Роберт, здравствуйте! Не простой Вы задали вопрос. Мнения есть, но вопрос этот скрывает много скрытых моментов и потому даже мои личные мнения противоречат друг другу, лучше я их изложу Вам в л/с. Но что несомненно - так это то, что последнее решение на сайте всегда будет за модератором. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4759] Автор : Radiotester Дата : 14.09.2018 22:40 Евгению R3DI нужно дать модераторские права на эту тему. Не для того что бы он тупо "рубил посты на тему поболтать" а для того что бы "прикручивал" ссылки на посты на полезные доработки и решения проблем по теме Маламута. Но это по желанию конечно.... Лично мое мнение и не кому не навязываю. А бороться с демогогией и болтовней это борьба с ветряными мельницами. Толку не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4760] Автор : RA1CAC Дата : 15.09.2018 09:16 Вы серьезно думаете что журнал "Радио"="массы"?....Уважаемый Роберт, "Радио" - конечно уже давно не "массы". Но суть дела не в этом, пусть это будет "Радиолюбитель", или "Радиогубитель", или еще что... А суть Вы лично озвучили (при всем моем уважении к ресурсу CQHAM): ...Тут же на двух пользователей адекватно общающихся в теме и по теме, обязательно найдется очередное неудержимое трепло, которое живо начнет топить темы в потоке словоизлияний. К сожалению и эту тему "накрыли" по полной. Что попадо тут жуют, от теории фильтров и их расчетов, до эфемерных аппаратов, которые автору даже в страшном сне не снились. Придет в тему новичок, собрать себе "Маламут" и просто офигеет, увидев пятьсот страниц, где полезного 20% а остальное продукты недержания местных болезных...Должен сказать, что даже "очищенная" инфа по Маламуту, вызвала у меня, радиолюбителя с пожизненным стажем, некоторые затруднения при ее осмыслении, а что уж говорить про новичков? Цель - публикация в печатном издании - несмотря на ... CQHAM даст абсолютно несопоставимую фору любому печатному изданию, по своему наполнению и живому общению авторов со своими поклонниками ...,сподвигла бы Автора на создание полноценной статьи, как я уже писал, и дала бы ... шанс вытащить отсюда реально полезное и НУЖНОЕ ...,и тогда уже не пришлось бы новичку офигевать и "навозну кучу разгребать". ЗЫ. Извиняюсь за "словесную дизентерию". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4761] Автор : UT5QBC Дата : 15.09.2018 09:56 К сожалению и эту тему "накрыли" по полной. Что попадо тут жуют, от теории фильтров и их расчетов, до эфемерных аппаратов, которые автору даже в страшном сне не снились. Придет в тему новичок, собрать себе "Маламут" и просто офигеет, увидев пятьсот страниц, где полезного 20% а остальное продукты недержания местных болезных... Очень смешно читать это от модератора :-P То есть вы признаетесь в своей не компетенции. Кто вам мешал наводить порядок? рубать "розовые опусы" и раздавать баны? Поверьте бан на 1-3месяца остудит горячие языки, включит мозг у народа, будет думать прежде чем писать. Шашку в руки ну или лопату... И разгребать заваленную тему. Или это кто то сделает за вас? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4762] Автор : ur7cq Дата : 15.09.2018 11:22 Должен сказать, что даже "очищенная" инфа по Маламуту, вызвала у меня, радиолюбителя с пожизненным стажем, некоторые затруднения при ее осмыслении, а что уж говорить про новичков? Для этого и есть форум, чтобы была возможность задать вопрос и получить на него ответ. Что может быть проще? ,сподвигла бы Автора на создание полноценной статьи, как я уже писал, и дала бы Автор и так сподвигся на очень многое. То, что Вы предлагаете, говорит лишь об одном, занять автора ещё и этим, при том, что в наше время, это вот ВРЕМЯ и есть самое ценное. А когда его не хватает, как думаете, лучше с семьёй своей его провести, или потратить на чертежи и прочее для журнала "Радио" ? Не понимаю только зачем, всё-равно, даже после этого будут вопросы, на которые редакция журнала не сможет давать ответы. Было-бы это 20 лет назад, тогда это можно понять, но когда есть интернет, и этот замечательный форум, не понятно совершенно зачем автора занимать ещё и публикациями в изданиях. Другое дело, когда человек сам захочет, и сделает. Вот, захотел и сделал трансивер, при этом, должно быть понятно, что Евгений сам знает, что делать, и как своё время расходовать и без нас с вами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4763] Автор : UT5QBC Дата : 15.09.2018 11:29 Для этого и есть форум, чтобы была возможность задать вопрос и получить на него ответ. Что может быть проще? 1. Для начинающих должна быть песочница где они могут кидаться какашками но не в основной теме. 2. Задать вопрос который сформулирован правильно, Поясню сейчас. Вопрос: "Помогите, у меня не работаю часы !!!!!" = Рубать шашкой, однозначно рубать! Вопрос: "Где на Аллиэкспресе купить сишку?" = Рубать шашкой, однозначно рубать! Пост: "Смотрите я склепал очередной Маламут (фото, фото, фото)" = Рубать шашкой, однозначно рубать! Пост: "Я Аллиэкспресе купил экран у этого ..... " = Рубать шашкой, однозначно рубать! Вопрос: " Не работают часы, проверил кварц (генерирует) проверил батарейку, проверил то и то, вот эпюры осцилограм." = Вопрос здравомыслящего человека с головой и руками. Просто засрали тему, отдаю должное нервной системе автора, я бы не выдержал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4764] Автор : RA1CAC Дата : 15.09.2018 12:10 Для этого и есть форум, чтобы была возможность задать вопрос и получить на него ответ. Что может быть проще?Информация в теме достаточна для решения любой проблемы, возникающей при постройке аппарата. Действительно, зачем напрягать моск и что-то там искать, когда можно тупо задать вопрос. ...Автор и так сподвигся на очень многое. То, что Вы предлагаете, говорит лишь об одном, занять автора ещё и этим, при том, что в наше время, это вот ВРЕМЯ и есть самое ценное.Совершенно верно. Я и предлагаю потратить немного времени, для того, чтобы в дальнейшем тратить меньше. Доктор тоже иногда делает больно, чтобы потом больно не было. И обратите внимание - я не пристаю к Автору с ножом к горлу. Нет желания - это его выбор. ...отдаю должное нервной системе автора, я бы не выдержал.+100500, Тоже отдаю должное выдержке Евгения. И видит Бог, я хотел помочь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4765] Автор : EU1SW Дата : 15.09.2018 12:46 Так не испытывайте больше выдержку Евгения, просто сходите поболтайте на восьмидесятке, да и дело с концом. Страна советов, блин... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4766] Автор : ur7cq Дата : 15.09.2018 13:31 Действительно, зачем напрягать моск и что-то там искать, когда можно тупо задать вопрос. Ну нет уж, это вот Вы от себя добавили вывод. Но от меня всё далеко не так, нужно добавить фразу: " Если нет на форуме ответа". Мозг нужно как-раз напрячь, когда мне что-то нужно, я перечитал как-то более 2000 страниц одной темы одной, при этом не задавал вопросы. Совершенно верно. Я и предлагаю потратить немного времени, для того, чтобы в дальнейшем тратить меньше. Доктор тоже иногда делает больно, чтобы потом больно не было. И обратите внимание - я не пристаю к Автору с ножом к горлу. Нет желания - это его выбор. На сегодня, нет никаких преград, для создания того, что по вашему мнению нужно. Если у Вас есть время на это, можно посидеть и систематизировать всё, что есть на форуме касательно трансивера "Маламут". Думаю, что автор не будет против. Какие проблемы? Ну что, берётесь за дело? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4767] Автор : R3DI Дата : 15.09.2018 13:39 просто сходите поболтайте на восьмидесятке, да и дело с концом.:ржач::ржач::ржач::super: - улыбнуло ))), жаль антенны нет на этот диапазон, подходил бы по возможности... Да все хорошо. На то он и форум. И думаю даже если новую тему завести все пойдет по кругу. А то что легче спросить - дык так устроен мозг - и легче ответить "ищи -это уже было" чем писать ответ по новой - тоже из того же устройства. Тут пересекаются интересы и опыт как новичков так и профессионалов, а значить и тема будет расти - если она кому интересна. А по поводу запрещать что то в теме и банить - приведет к тому что станут больше писать в л.с( а можно так? а так не хотите сделать ? а вот там так сделано.. а что если...? ) - в теме то нельзя ))) .... но сейчас вроде как все "подуспокоилось". Хотя нет-да-нет а про бОльший дисплей да и проскочит )))). В общем все нормально, понемногу вырабатывается иммунитет к раздражающим факторам ))). Пысы Евгению R3DI нужно дать модераторские права на эту тему. А я и не возьму :crazy:, мне и моей работы с головой хватает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4768] Автор : RA1CAC Дата : 15.09.2018 17:09 ... Если у Вас есть время на это, можно посидеть и систематизировать всё, что есть на форуме касательно трансивера "Маламут". Думаю, что автор не будет против. Какие проблемы? Ну что, берётесь за дело?Времени, разумеется нет, но я возьмусь, с разрешения Евгения, если больше некому. И задачу я вижу не в систематизации форума, а в подробном описании конструкции. Правда есть вероятность некоторой предвзятости, в описании. Но я думаю, что рецензия Автора все расставит на свои места. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4769] Автор : RA1AIO Дата : 15.09.2018 18:59 Коллеги, добрый вечер. В мае месяце скачивал схемы трансивера по ссылке из шапки темы. Сейчас старая ссылка не работает, а по новой ссылке не смог найти схему, которая нужна. Может у кого-нибудь остались скачанными старые схемы? Поделитесь плиз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4770] Автор : R3DI Дата : 15.09.2018 19:07 RA1AIO, какая ссылка не работает и какая именно схема нужна? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4771] Автор : RV4LX Дата : 15.09.2018 19:09 Поделитесь плиз. 296512 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4772] Автор : RA1AIO Дата : 15.09.2018 19:31 Евгений, приветствую. Раньше ссылка была вот такой: https://yadi.sk/d/qZVx__Hy3Rqf5H Сейчас в шапке ссылка изменилась и в ней нет какой-то схемы (какой именно не скажу, стараюсь не для себя). RV4LX скинул архивчик. Надеюсь, что там есть все что нужно. Всем спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4773] Автор : R3DI Дата : 15.09.2018 19:57 ней нет какой-то схемы расскажите мне потом - какой там нет. - уже не нужно И в архиве от RV4LX, в документе на 29.09.2017 ссылка та же самая что и сейчас в шапке, да и не помню чтобы менял что-то.... да и там все схемы что у меня есть(кроме 1.2 - которая и так в шапке) ... может речь не о моих Хе, RA1AIO, так это и не мое, загуглил данную Вами ссылку - и сразу стало все ясно, и тех схем у меня не было, нет и не будет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4774] Автор : RA1AIO Дата : 15.09.2018 21:37 расскажите мне потом - какой там нет. - уже не нужно И в архиве от RV4LX, в документе на 29.09.2017 ссылка та же самая что и сейчас в шапке, да и не помню чтобы менял что-то.... да и там все схемы что у меня есть(кроме 1.2 - которая и так в шапке) ... может речь не о моих Хе, RA1AIO, так это и не мое, загуглил данную Вами ссылку - и сразу стало все ясно, и тех схем у меня не было, нет и не будет. Тогда дико извиняюсь, сам запутался и Вас запутал. Теперь понял, от кого ссылка была. ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4775] Автор : R6LHA Дата : 16.09.2018 11:03 Приветствую всех. Столкнулся с проблемой, pam8406 даёт фоновый постоянный равномерный шум. Включен по схеме через разделительный конденсатор 1uF (12R1). Если ручку громкости в минимум выкрутить то шипение не пропадает, при замыкании входа pam8406 на землю шум пропадает. Пробовал ставить конденсатор на землю по входу - не помогло. Может кто сталкивался и уже решил данную проблему. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4776] Автор : ua9ylu Дата : 17.09.2018 12:03 В прошивке SE в меню настройки dds появился пункт si5351 dout , которым меняется так понимаю ток этого синтезатора, попробовал по играл , при минимальном токе 2 ма решилась полностью проблема шумов при перестрой на вч диапазонах , при максимальной громкости и минимальных шумах при перестройке валкодера ни каких посторонних шумов. Наверно для этого и задумался этот пунктик? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4777] Автор : Love777888 Дата : 17.09.2018 14:44 ua9ylu, Скажите пожалуйста это прошивка под серийник? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4778] Автор : ua9ylu Дата : 17.09.2018 14:48 да под серийник -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4779] Автор : rudolf0351 Дата : 17.09.2018 15:13 А что за прошивка SE ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4780] Автор : R3DI Дата : 17.09.2018 19:26 ua9ylu, именно для этого, вместе с рекомендациями RW6MQ по установке доп.шины земли на си5351 позволяет достичь хороших результатов. SX - дальнее развитие прошивки 4.9, в основном коснулось режимов vfo, cw внешнего ключевания, электронного ключа... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4781] Автор : ur4qij Дата : 17.09.2018 21:02 рекомендациями RW6MQ по установке доп.шины земли на си5351 Евгений, а где почитать подробности? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4782] Автор : RuleZZZZ Дата : 17.09.2018 21:12 Лучше один раз увидеть, чем сто раз почитать. :smile: https://youtu.be/ouewvg6fe_w?t=236 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4783] Автор : ut29641 Дата : 18.09.2018 05:22 del... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4784] Автор : inter02 Дата : 22.09.2018 14:32 представляю, как меня сейчас тапками бить будут... :crazy: однако, столкнулся с проблемой. "часовой кварц" с внешнними емкостями не запускается. совсем не запускается. штук 10 разных кварцев менял, ни в какую. убираю емкости, запускается без проблем и работает. навешиваю, даже по 1 пикофараду, все. глухо как в танке. кто с таким встречался ? или просто наплевать и оставить без конденсаторов ? извиняюсь за тупой вопрос... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4785] Автор : RC3ZQ Дата : 22.09.2018 15:28 представляю, как меня сейчас тапками бить будут... Могут и забить даже до смерти.:ржач: Было такое в часах, емкость пластин велика у кварца. Видимо у Вас они с одной партии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4786] Автор : inter02 Дата : 22.09.2018 15:47 5 кварцев, может из одной партии. в магазине купил. а остальные "надергал" из древних материнок. это в малумуте у меня вылезло. сам афигеваю. может стмка специфическая попалась... :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4787] Автор : RC3ZQ Дата : 22.09.2018 15:51 может стмка специфическая попалась.. Я не в курсе но может там программно подстегиваются внутри контроллера кондеры. То гуру подскажут если что. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4788] Автор : RK3AQW Дата : 22.09.2018 20:22 inter02, кривые кварцы с низкой добротностью,встречал такие ,если покупать в Чинке, из плат удаленные, все работают,либо плату мыть надо тщательно,залипух от непромыва много бывает -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4789] Автор : inter02 Дата : 25.09.2018 00:52 сходил к друзьям , так сказать "телефонщикам" , помыл плату в ультразвуковой ванне. однозначно, кварц на часах с "обвесными конденсаторами" не запускается. думаю, что проц такой попался. короче, пока на конденсаторы наплевал. работает так и ладно. вылезло другое,, после мытья ультразвуком, плата /в смысле динамик /начала попукивать похрипывать и посвистывать.:crazy: и какого то милого, начала очень медленно загружаться. завтра вечером перепрошью. похоже, что прошивка "сползла" или кварц на 8 мгц, от ультразвука приказал долго жить. больше думаю на прошивку. попробую напишу. :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4790] Автор : Radiotester Дата : 25.09.2018 00:57 inter02, В чем вы своего "кабеля" помыли что он попукивать начал? Паяли паялом или феном на пасте? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4791] Автор : inter02 Дата : 25.09.2018 01:08 паял феном, паста, от AIM , проверенная, много чего с ней паял, без проблем. мыл смесьью "галоша + изопропиловый спирт" , они этим все телефоны моют перед и после ремонта. жалоб не было. блин, сейчас бы перепрошил, свисток програматор на работе забыл. :evil: поэтому только завтра вечером, смогу попробовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4792] Автор : RW6MQ Дата : 25.09.2018 05:28 какого то милого, начала очень медленно загружаться. завтра вечером перепрошью. похоже, что прошивка "сползла" или кварц на 8 мгц, от ультразвука приказал долго жить. больше думаю на прошивку. попробую напишу. :crazy: Хех...перед мойкой надо все резонаторы выпаивать, если реле тоже купали - увы этому всему кирдык:-( , кварцы меняйте на новые, реле скоро могут начать отказывать, так как их герметичность нарушена, а внутри остатки моющей жижи. УЗ хорошая вещь, но не всё в ней можно купать! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4793] Автор : Radiotester Дата : 25.09.2018 11:33 Хотите сказать что пластик колоша разъела в релюшках? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4794] Автор : inter02 Дата : 25.09.2018 14:22 Хех...перед мойкой надо все резонаторы выпаивать, если реле тоже купали - увы этому всему кирдык , кварцы меняйте на новые ну телефонщики ничего не выпаивают. :smile: кидают платы "как есть" в ванну, и ничего не случается. с релюхами , согласен. если они не герметичные, но в моем варианте релюшек нет, и не предвидется. кварц таки ночью поменял:crazy:, который на 8 мгц. не удержался. ничего не моменялось. значит таки прошивка сползла. и нашел причину "похркивания" кондерчик потек. что на 6 ноге в унч., теперь плата приятно пошипывает. даже что то и принимает, вот , только на экране медленный медленный "слайдофильм" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4795] Автор : UA0BHC Дата : 25.09.2018 15:02 Наверное имеется ввиду, что на ультразвуке параметры кварцев как минимум уйдут от тряски, а может и вообще их стрясёт. А реле получит сопротивление между контактами в виде промывочной жидкости. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4796] Автор : RW3ACQ Дата : 25.09.2018 15:31 RW6MQ, Эдуард, приветствую! Расскажите, пожалуйста, поподробнее, что и как припаивать к приподнятым электролитам кодека на передачу 8U1. Фрагмент схемы и платы с объяснениями промелькнул здесь (https://youtu.be/EXD705J8OW8?t=6m33s), но до конца не понял технически, как сделать. Также Вам введена цепь в 8U2, интересны подробности, как запаяли. Спасибо Вам большое за Ваши видео по Маламуту! Было бы здорово обощить все доработки и в ПДФ сюда. При наличии у Вас времени, конечно же. У меня версия 1.31. Благодарю заранее за ответ! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4797] Автор : inter02 Дата : 25.09.2018 20:50 прошил проц. "тормоза" исчезли. все таки прошивка слетела. но зато зверь начал рычать. :crazy:озлобленно. вот как это выглядит на экране https://b.radikal.ru/b37/1809/50/8bfa0d9f7d53.jpg (https://radikal.ru) и что то мне подсказывает, что проблемы начинаются от часового кварца. будем искать(с):smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4798] Автор : RK3AQW Дата : 25.09.2018 22:40 inter02, это у вас похоже кодек не рабочий,по поводу часов я вам даже сказать ничего не могу ,от этого кварца таких шкварок не будет,он ни на что не влияет,либо ослика в руки и увеличение побольше и все проверять на разные залипухи и непропаи,если пастой паяли и не прогрели до испарения, возможны сюрпризы,от пасты и ее состава много зависит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4799] Автор : ramer31 Дата : 26.09.2018 23:21 Подскажите, что с мим маламутом все жалуются на глухой сигнал. Микрофоны менял не помогает, в эквалайзере верхи на всю поднял, а помогло не особо, может в меню что подстроить надо или еще что? И еще может есть у кого полное описание настроек меню на русском? За ранее всем благодарен! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4800] Автор : Love777888 Дата : 27.09.2018 12:42 Подскажите, что с мим маламутом все жалуются на глухой сигнал. Микрофоны менял не помогает, в эквалайзере верхи на всю поднял, а помогло не особо, может в меню что подстроить надо или еще что? И еще может есть у кого полное описание настроек меню на русском? За ранее всем благодарен! Вот что я сделал, поменял таблетку-микрофон, снял конденсаторы параллельно микрофону ибо из за них глушь тоже может быть, в настройках поменял так: низ убрал, но не совсем, все остальное поднял, это я про эквалайзер, в ссби никогда не говорили что глухо, в АМ и ФМ пришлось проделать выше описанное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4801] Автор : rudolf0351 Дата : 27.09.2018 20:57 Вопрос по прошивке SX. Данную прошивку необходимо как-то активировать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4802] Автор : DeXter Holland Дата : 27.09.2018 21:23 Данную прошивку необходимо как-то активировать обратится к автору с просьбой активировать, предоставив id процессора (при загрузке SX пишет) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4803] Автор : RX9UAO Дата : 28.09.2018 09:58 Love777888,а как сигнал в ssb после переделок.Если потерял свою "маламутскую окраску",тогда переделка не стоит и "выед.яйца".Трансивер в первую очередь задумывался для раб. на любительских диапазонах,а не на сиби,тем более на 6666кгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4804] Автор : Love777888 Дата : 28.09.2018 13:58 Love777888,а как сигнал в ssb после переделок.Если потерял свою "маламутскую окраску",тогда переделка не стоит и "выед.яйца".Трансивер в первую очередь задумывался для раб. на любительских диапазонах,а не на сиби,тем более на 6666кгц. Приветствую, с чего вы взяли что стало хуже и потерялись краски маламутские:-P, все гуд работаем без замечаний, одна сложность на DX перекричать толпу с киловаттами. Но поправимо делаем помощника:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4805] Автор : ramer31 Дата : 28.09.2018 14:14 где эти конденсаторы стоят, чтоб убрать их? в тангенте у меня их нет, таблетка на прямую подключена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4806] Автор : RK3AQW Дата : 28.09.2018 18:52 RX9UAO, сигал в Маламуте скажем так более низкочастотный,средних и высоких мало,эквалайзер чуток выягивает,но не то,многие в эфире узнают маламут по сигналу,недавно работал с(позывной и журнал на другом компе) корреспондентом из LY Ромасом,так вот,он на своем SDR мне всю картинку разрисовал,к сигналу претензий нет ,а вот АЧХ хромает в сторону высоких и средних,будет время надо посмотреть с какого места АЧХ режется,может и микрофон и МУО,или аппаратно править на крайний случай,разберемся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4807] Автор : UT5QBC Дата : 28.09.2018 19:39 недавно работал с(позывной и журнал на другом компе) корреспондентом из LY Ромасом Мне этот товарищ LY3CU тоже сказал что вы работаете не на "Маламуте" когда я проводил с ним связь на своем сдр, сказал что "маламут" узнается в эфире по каким то 400гц, я не стал расспрашивать. У меня даже видео есть этой связи правда не сначала.. , если интересно выложу на ютуб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4808] Автор : eu7ea Дата : 28.09.2018 23:32 Спаял, прошил, включил - тишина, работает только унч. Экран светится, на энкодеры и кнопки реагирует. Сишка генерит, на смеситель все приходит, но НЕТ шумовой дорожки совсем, полностью отсутствует. В чем может быть проблема? Кодек или еще, что-то? 297265 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4809] Автор : ur7hfo Дата : 29.09.2018 01:02 будет время надо посмотреть с какого места АЧХ режется Самое время снять ачх микрофонного усилителя. Можно и тут разместить если не сложно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4810] Автор : Radiotester Дата : 29.09.2018 04:58 eu7ea, Питание на кодаки , на ПЧ хоть стабилизаторы дают? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4811] Автор : Love777888 Дата : 29.09.2018 09:04 где эти конденсаторы стоят, чтоб убрать их? в тангенте у меня их нет, таблетка на прямую подключена. В китайской тангенте у меня были, приветствую всех, а что делает диодная сборка в обратной связи ОУ 9U1A? по идее если конденсатор убрать это 9С8 срез высоких в этом узле уйдет, еще не понятно конденсатор 10нан 9С5 на входе того же ОУ вроде если должен то на 1нан по идее? Да и после дросселя великоват можно бы поставить 4н7? Можете кидать тапками я готов:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4812] Автор : eu7ea Дата : 29.09.2018 10:18 eu7ea, Питание на кодаки , на ПЧ хоть стабилизаторы дают? Питание есть. На кодеках 9-10 нога 3.36в 20 нога 5.12в, Смеситель 16 нога 3.36в, NE5532 8 нога 7.6в, ERA3 3 нога 3.2в -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4813] Автор : смолянин Дата : 29.09.2018 13:15 [QUOTE=eu7ea;1567754]Спаял, прошил, включил - тишина, работает только унч. Экран светится, на энкодеры и кнопки реагирует. Сишка генерит, на смеситель все приходит, но НЕТ шумовой дорожки совсем, полностью отсутствует. В чем может быть проблема? Кодек или еще, что-то? смотрите кодак.тоже самое и у меня.2 ушли в форточку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4814] Автор : ua3ycv Дата : 30.09.2018 09:14 парни помогите найти информацию для подключения дисплея 3.2 с гибким шлейфом Z320IT010 китайци дают такое подключение297365 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4815] Автор : UA7KJ Дата : 30.09.2018 10:05 ua3ycv вот так 297366, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4816] Автор : UA7KJ Дата : 30.09.2018 13:15 ua3ycv, На первом ошибка. Вот правильно.297389 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4817] Автор : RC3ZQ Дата : 30.09.2018 13:37 Господа! А у меня вот такой дисплей 3.2 297390 Spi реальный аппаратный интерфейс 3,2-дюймовый TFT ЖК-экран 100% абсолютно новый и качественный Тип: без сенсорной панели Размер: 3,2 дюймов SPI Serial Размер дисплея: 55,00 (W) X89.30 (H) mm Элемент драйвера: a-Si TFT active matrix Пиксель Расположение: RGB вертикальная полоса Драйвер IC: ILI9341 Подсветка: Белый светодиодный Направление просмотра: 6 часов Глубина Цвета: 65 К Разрешение (точек): 240RGB * 320 точек Данных Интерфейс:4-провод SPI интерфейс VCC Напряжение: 3,3 В-5 В Напряжение IO: 3,3 В Не трогать! Требуется по крайней мере 4 IOs от вашего MCU С табличкой PCB (включая блок питания IC, SD), совместимый с 5110 интерфейсом 297391 Есть ли какие особенности при подключении такого дисплея? Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4818] Автор : R3DI Дата : 30.09.2018 14:06 Может лучше перейти по деталям в соответствующую тему ? Прошу админов закрепить список сопутствующих тем в первом посту (по возможности убрать отображение 1го поста со всех страниц ) список сопутствующих тем Детали для Маламута (http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0)Доработки SDR TRX "Маламут" (http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4819] Автор : RC3ZQ Дата : 30.09.2018 14:16 Может лучше Евгений, однако лучше. Тут без спора. Но копатся в дебатах на тему что такое драйвер:ржач: и "счем его едят" уже нервешки подводят. Пардон! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4820] Автор : eu7ea Дата : 30.09.2018 21:52 Кодек заменил исправный, водопада как не было так и нет. Если на вход Маламута подать сигнал с генератора ВЧ 0.1в на 4 входах кодека имеем синусоидальный сигнал 2.3кгц 0.5в. Обмен между процессором и кодеком тоже есть - пачки импульсов. На выходе ничего. Где копать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4821] Автор : ub1amz Дата : 30.09.2018 22:27 Где копать? Есть реакция если пальцем осторожно потыкать в районе 16 - 19 выводов кодека? Хотя, чудится мне непропай stm32 выводов… -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4822] Автор : eu7ea Дата : 30.09.2018 23:00 Хотя, чудится мне непропай stm32 выводов… Вам правильно чудится, прошелся паяльником но ножкам проца и водопад появился. А вот кодек с utsource.net - оказался мертвым. Сплошной шум и никого не слышно.297427 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4823] Автор : inter02 Дата : 01.10.2018 00:39 всем спасибо , кто отклиннулся на мою проблемку, отделььное спасибо ua9xos за ценную подсказзку. однако , собачка своенраавная, дрессируется нехотя. столкнулся с "торммозами" , на видео ниже поймал этот момент. работает работает и затормозил, задумался. раз опять нормально, выключишь включишь тормоза ппроппадают,. и потом опять. иногда тормоза щелчком сопровождаются . а в основном просто картинка затупила. иногда вклл выкл не помоогает. как на видео. кварц 8 мгц работает и частота его не плывет. единственное, что часовой кварц без навесных конденсаторов. может ли это влиять подобным образом ? https://www.youtube.com/watch?v=90G48tW2S1o& feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4824] Автор : ua3ycv Дата : 01.10.2018 08:17 уснул пока до конца посмотрел-такого не должно быть-готовность от включения до пуска 2-3 секунды-похожее было когда не исправна эпромка фм24сл64 или не той породы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4825] Автор : rv3bj Дата : 01.10.2018 08:43 Часовой кварц абсолютно не при чем. Его работа или не работа может влиять только на ход часов. Ваша проблема в том, что процессор не работает на нужной частоте. Он так устроен, что стартует всегда на частоте внутреннего R/C генератора 16 мгц. При этом внутренний умножитель не запущен. Во время процедуры инициализации запускается кварцевый генератор 8 мгц и включается внутренний PLL, ктр. умножает тактовую частоту до необходимого значения 168 мгц. У вас этого не происходит и процессор работает медленнее в 10 раз. Поэтому экран так медленно заполняется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4826] Автор : inter02 Дата : 01.10.2018 09:06 да , понимаю я это, причину понять не могу. да заметил "тормоза " чаще всего выскакивают когда я в меню системное захожу. то что на видео это я просто их поймал. обычно после включения выключения их нет. иногда появляются даже просто в процесе приемя какой либо р/с /настроить практически не реально из за тормозов :-|/ иногда сами пропадают, иногда нет. да, это не трансивер, это чисто приемник. вот захотел себе на старости лет сдр приемник сделать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4827] Автор : rv3bj Дата : 01.10.2018 10:48 Смотрите непропай в районе кварца. При отсутствии контакта процессор переходит на работу от внутреннего генератора без умножения частоты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4828] Автор : inter02 Дата : 01.10.2018 20:33 уффф, нашел тормоза. :crazy: кондерчик возле 8 мгц кварца "мерцательным" оказался. зараза. пробивался только под напрряжением и после какого то времени. :evil:, и ведь перед пайкой измерял-проверял, нормальным ведь был. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4829] Автор : eu7ea Дата : 01.10.2018 21:39 Заметил еще один неприятный момент: после 74LVC74 сигнал на выходах отличается по уровню. На одном 3.2в на втором 3.7в (полный размах амплитуды). Пробовал менять микросхемы - без толку. Интересно это у всех так или микросхемы кривые (china)? На входах все ровно. На фото - выход. 297476 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4830] Автор : RK3AQW Дата : 01.10.2018 21:52 eu7ea, уровни должны быть вроде как одинаковыми ,это ж логика обычная,ищите что-то по выходу грузит,схемы нет под рукой,с телефона,может щупы не откалиброваны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4831] Автор : R3DI Дата : 01.10.2018 21:55 eu7ea, а пробовали одним щупом уровни посмотреть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4832] Автор : eu7ea Дата : 01.10.2018 22:34 eu7ea, а пробовали одним щупом уровни посмотреть? Да именно одним я и измерял. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4833] Автор : ramer31 Дата : 01.10.2018 23:00 Мне этот товарищ LY3CU тоже сказал что вы работаете не на "Маламуте" когда я проводил с ним связь на своем сдр, сказал что "маламут" узнается в эфире по каким то 400гц, я не стал расспрашивать. У меня даже видео есть этой связи правда не сначала.. , если интересно выложу на ютуб. Если можно выложите видео.И как мать его этих высоких частот добавить все таки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4834] Автор : eu7ea Дата : 01.10.2018 23:11 eu7ea, уровни должны быть вроде как одинаковыми ,это ж логика обычная,ищите что-то по выходу грузит,схемы нет под рукой,с телефона,может щупы не откалиброваны? Выпаял резисторы 10R13 и 10R17, те что к смесителю (проверил оба 27ом). Уровни на выходе 74LVC74 стали одинаковыми. Получается смеситель не равномерно нагружает, как такое может быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4835] Автор : ub1amz Дата : 01.10.2018 23:17 Ну, это clk_I clk_q идут только на fst3253, вот там и надо искать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4836] Автор : UA0BHC Дата : 02.10.2018 06:13 Заметил еще один неприятный момент: после 74LVC74 сигнал на выходах отличается по уровню. На одном 3.2в на втором 3.7в (полный размах амплитуды). Пробовал менять микросхемы - без толку. Интересно это у всех так или микросхемы кривые (china)? На входах все ровно. На фото - выход. 297476 Может входы осциллографа разные, или шнуры. У меня например один вход более высокочастотный оказался. Измерьте обоими входами один и тот же сигнал, если также, тогда только дальше искать. На ядиске есть файл https://docviewer.yandex.ru/view/22872385/?*=ue46rnMTnKOytiMLBCgLbBsN5%2F17InVybCI6InlhLWRpc2stcHVibGljOi8vUEszazg0ekg4dDV0Z0NjNEF3Zjd0MjVJVUhha0ljam5IUHNnZDJMSG5XND06L3YgMS4zL2lmX01hbGFtdXRlLURTQi5wZGYiLCJ0aXRsZSI6ImlmX01hbGFtdXRlLURTQi5wZGYiLCJ1aWQiOiIyMjg3MjM4NSIsInl1IjoiNzA3MTE5MTA3MTQ3NjE3NjI3NCIsIm5vaWZyYW1lIjpmYWxzZSwidHMiOjE1Mzg0NDk3NjE0NjR9 в котором подробно описана работа этого узла, возможно вы его не читали. Попробуйте отбросить выходы триггера от остальной части схемы. Если сигналы выровнились, то садит схема, если нет - триггеры бракованные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4837] Автор : eu7ea Дата : 02.10.2018 07:55 Может входы осциллографа разные, или шнуры. Попробуйте отбросить выходы триггера от остальной части схемы. Если сигналы выровнились, то садит схема, если нет - триггеры бракованные.Писал об этом уже чуть выше: измерения провожу одним щупом и одним каналом, второй подключал для наглядности. Выпаял два резистора 10R13 и 10R17, те что к смесителю - после этого уровни стали абсолютно одинаковыми. (74LVC74 - менял, правда из одной ленты) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4838] Автор : ur4qij Дата : 02.10.2018 15:24 Вопрос к автору. Евгений, диапазоны: 25850, 21650, 18960, практически не используются. Можно ли изменить их на более нужные. Если частоты записаны в ЕЕпром , может быть и не програмисту можно вписать свои значения и перепрошить ? Ну как например на PIC контроллере я, непонимая ничего в программе, мог изменить код частот во внутреннем ЕЕпром. Или там все сложнее? Или сделать бы вышеуказанные диапазоны от100кгц до 30мгц с запоминанием последней частоты:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4839] Автор : RW6MQ Дата : 02.10.2018 15:30 Или сделать бы вышеуказанные диапазоны от100кгц до 30мгц с запоминанием последней частоты:-P А какие «более нужные» просто интересно? В трансивере есть все кв диапазоны, плюс диапазон 71мгц он сплошной, можете его накрутить на нужный (допустим 3мгц АМ) он и останется в памяти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4840] Автор : ur4qij Дата : 02.10.2018 15:53 какие «более нужные» просто интересно Hi ! В Тексун пл660 у меня в ячейках памяти записано немало. И радиохулиганские и РВМ и чаcтоты QRP и т. д. Удобно иметь "под рукой" и не крутить ручку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4841] Автор : eu7ea Дата : 02.10.2018 19:19 Выпаял резисторы 10R13 и 10R17, те что к смесителю (проверил оба 27ом). Уровни на выходе 74LVC74 стали одинаковыми. Вернул резисторы, снял FST3253 из передатчика, уровни выровнялись! Неужели микросхема кривая? или может что-то как-то влиять из ее обвязки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4842] Автор : ua9xos Дата : 02.10.2018 19:30 Вернул резисторы, снял FST3253 из передатчика, уровни выровнялись! Неужели микросхема кривая? или может что-то как-то влиять из ее обвязки? Возможно микруха виновата. Попробуй мультиметром сопротивление померить по входам. А может грязь какая между выводами FST3253 на плате прилипла -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4843] Автор : eu7ea Дата : 02.10.2018 19:58 Возможно микруха виновата. Она - родимая, перепаял - все идеально стало. Бывает же такое..... В Чип и Дип брал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4844] Автор : ub1amz Дата : 02.10.2018 20:51 В Чип и Дип брал. мне там, вместо bav99, bat99 насыпали. Вот я наплясался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4845] Автор : UR3ACH Дата : 05.10.2018 21:19 Здравствуйте все участники. Посмотрел сигнал на выходе трансивера и вот что увидел... Смотрел SDRприемником на основе "свистка" с конвертером. Снимки в режиме тональника и если подуть в микрофон. Я так понимаю это не подавленная боковая или что еще? И еще, какое напряжение НЧ на выходе микросхем 5532 в режиме передачи? У меня что с кодека приходит 70мВ и уходит на смеситель 70мВ. Это при однотональном сигнале и мощности в 100%. Сбрасывал FRAM. До сброса приходило с кодека на одну микросхему 300мВ, на другую 130мв. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4846] Автор : RW6MQ Дата : 05.10.2018 22:03 Две боковые плюс гетеродин. Это происходит при разбалансе уровней подаваемых на смеситель. Ищите проблему на участке от кодека до смесителя включительно. А гетеродин так лезть может изза проблем со стороны si5351 до смесителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4847] Автор : AndreySWL Дата : 05.10.2018 23:09 Доброго вечера суток всем! Есть в Меню такой пункт : Cpect Filtr По - умолчанию он выключен, что происходит при его включении??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4848] Автор : AndreySWL Дата : 06.10.2018 12:40 По - умолчанию он выключен Понятно,что это фильтр спектра,но не заметил я разницы на экране когда он включен Добавлено через 56 минут(ы): но не заметил я разницы на экране когда он включен Всё, разобрался, разница есть конечно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4849] Автор : D0ITC Дата : 06.10.2018 17:08 AndreySWL (http://www.cqham.ru/forum/member.php?36205-AndreySWL), ​а в чём разница проявляется? А то я пока не заметил. Может есть возможность снять короткое видео. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4850] Автор : AndreySWL Дата : 10.10.2018 12:28 Вечером постараюсь сделать видео. Тут другой вопрос появился : удалось ли кому-либо подружить трансивер с программой CW Type?? Не включается TX ,хоть тресни. Программы JTDX и UR5EQF работают превосходно. Может кто знает, какие настройки надо применить?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4851] Автор : AndreySWL Дата : 15.10.2018 12:24 Так и не получилось её запустить, а вот MixW заработала сразу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4852] Автор : D0ITC Дата : 15.10.2018 14:37 Видео так и не получилось? А то я так разницу и не вижу, интересно было бы посмотреть как у вас. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4853] Автор : RK3AQW Дата : 15.10.2018 17:55 как обещал,вот такая АЧХ получается при подаче на мкф вх,резкий завал после 2кГц,выход взят с 9С6,после кодаков не мерял -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4854] Автор : R3DI Дата : 15.10.2018 19:04 вот такая АЧХ интересно, а почему такая "ступенчатая" АЧХ.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4855] Автор : RK3AQW Дата : 15.10.2018 19:32 Привет Главный конструктор! Будем выяснять,в моделировщике погоняю посмотрим,по идее до 10кГц должно быть ровно,а тут две ступеньки вылезли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4856] Автор : AndreySWL Дата : 15.10.2018 20:32 Видео так и не получилось? А то я так разницу и не вижу, интересно было бы посмотреть как у вас. Получилось.Снял сегодня. И когда снимал, в процессе включал-выключал фильтр, пришел к выводу что никакой разницы нет. Прошлый раз мне видимо показалось,что отображение спектра меняется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4857] Автор : R3DI Дата : 15.10.2018 20:40 AndreySWL, это вкл/вкл наложения оконной функции анализатора спектра. Попробуйте посмотреть ее работу на телеграфных участках диапазона (со станциями, просто с шумом видно не будет) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4858] Автор : AndreySWL Дата : 15.10.2018 20:41 оконной функции А что это за функция? Пжлст, можно подробнее ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4859] Автор : R3DI Дата : 15.10.2018 20:47 https://ru.m.wikipedia.org/wiki/Оконное_преобразование_Фурье См.типы оконных функций -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4860] Автор : AndreySWL Дата : 15.10.2018 20:51 Ок,спс -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4861] Автор : D0ITC Дата : 15.10.2018 22:08 Да действительно, в телеграфном участке и растянутой панораме(зум 8) включение/выключение Cpect Filtr​ прекрасно видно разницу. Евгений, спасибо за разъяснение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4862] Автор : aiwa Дата : 16.10.2018 15:18 Процессор прошился. После включения появляется298622 После нажатия кнопки меню происходит загрузка. Но водопада нет и не на одну кнопку не реагирует. Где копать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4863] Автор : UU5JPP Дата : 16.10.2018 15:23 Покажите фото, что на экране кроме той что скинули. А часовой кварц впаян? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4864] Автор : aiwa Дата : 16.10.2018 15:52 Показать не могу - после проверки кварца вылетел процессор -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4865] Автор : UA0BHC Дата : 16.10.2018 16:38 Надо было наверно сбросить FRAM. (удерживая кнопки key3, key4 по схеме, включить трансивер, следовать указаниям для сброса на экране). Но теперь проц вылетел, расходимся. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4866] Автор : ua3ycv Дата : 16.10.2018 18:34 проц вылетел, расходимся.есть такое-сам в субботу уложил проц-но перед этим были "танцы с бубном"-прошил без проблемм-но даже после этого небыло запуска изображения" проверил дисплей-рабочий-потов в который раз промыл плату изоспиртом-о чудо появилась тестовая надпись-"нажимать кнопки"-жал я их целый час -и о чудо-запустил рабочую картинку-вроде как "ожил" но не на долго....решил на включённой плате "слегонца погреть проц феном"-и он не выдержал "пытки":-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4867] Автор : RK3AQW Дата : 16.10.2018 19:21 решил на включённой плате "слегонца погреть проц феном:crazy:кто ж так делает,только хорошая оптика,а уж потом фен,хотя сколько не издевался,процессор так и не удалось спалить,первое что ставлю это проц и обвязку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4868] Автор : R3DI Дата : 16.10.2018 19:44 Дмитрий, приветствую! Да и к хорошей оптике добавил бы - ПРИ ВЫКЛЮЧЕННОМ ИСТОЧНИКЕ ПИТАНИЯ ТРАНСИВЕРА! :facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4869] Автор : R1ZK Дата : 16.10.2018 19:47 Может кто подскажет, где копать... После включения питания кроме подсветки дисплея ничего. Стартует только после кратковременного замыкания NRST на землю на разъёме SWD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4870] Автор : R3DI Дата : 16.10.2018 19:52 R1ZK, цепь ресета и boot1 верно распаяны? Вкл кнопкой на БП или по 12 вольтам? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4871] Автор : inter02 Дата : 16.10.2018 19:59 кстати да, заметил, что если "маламута" стартовать "с блока питания" он не всегда включается. а если подавать на него напряжение с включенного блока питания, стартует без проблем. емкостью в цепи reset "игрался" ничего не дало. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4872] Автор : RK3AQW Дата : 16.10.2018 20:07 он не всегда включаетсятакого не должно быть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4873] Автор : inter02 Дата : 16.10.2018 20:10 но это факт. видимо какие либо переходные процессы в бп влияют. если бп включен, т.е. "готовые 12 вольт" на плату подаю,то проблем никаких нет. проявляется как "белый экран" т.е. работает не только подсветка. какой то обмен идет, между жки и процессором (вижу "осликом"), но ничего на экране нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4874] Автор : R1ZK Дата : 16.10.2018 20:11 Распаяно верно. Блок питания GOPHERT CPS-1610. Включение кнопкой на БП. После прошивки не запускался, сначала грешил на проц. Заметил запуск при прошивке с подключенным дисплеем, вот и решил попробовать сбросить вручную. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4875] Автор : RA6ANR Дата : 16.10.2018 20:16 R1ZK, а что в качестве стабилизатора 5в стоит?линейник или dc-dc? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4876] Автор : inter02 Дата : 16.10.2018 20:18 линейник 78серии. сам бп импульсный, от старого совдеповского монитора. в принципе, на данные "пять минут" не сильно напрягает, ибо причину установил. для процесса отладки запуска пока и так сойдет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4877] Автор : RK3AQW Дата : 16.10.2018 20:24 R1ZK, Скорее всего наука о контактах,а прием есть или только проц запускаете?Была примерно ваша ситуация,плохой контакт разъёма на LCD,работала только подсветка и не забываем после перепрошвки чистить память inter02, возможно пульсации большие на ХХ БП при запуске и чего-то маламуту не нравиться,попробуйте емкость побольше поставить на стаб 7805,пока зарядится,переходный процесс пойдет подольше -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4878] Автор : RA6ANR Дата : 16.10.2018 20:24 Долго бился с подобной проблемой.когда ставил по 5в dc-dc mini360-дисплей через раз запускался,менял и проц и дисплей....помогала только замена импульсника на линейный стабилизатор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4879] Автор : R1ZK Дата : 16.10.2018 20:24 В качестве 5В - L7805CV. После старта всё работает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4880] Автор : inter02 Дата : 16.10.2018 20:31 пульсации большие на ХХ БП при запуске я тоже так думаю. какие то переходные процессы в бп именно в момент старта. емкость добавлю. это не проблема. пока с шумом борьба идет. "повизгивает " собачка. :smile: и шипит. и похоже унч бракованный попался. ибо шипит как змеюка даже с убавленной громкостью. . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4881] Автор : ub1amz Дата : 16.10.2018 20:33 Стартует только после кратковременного замыкания NRST на землю на разъёме SWD. Видимо надо проверить цепочку сброса с 11C3. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4882] Автор : RK3AQW Дата : 16.10.2018 20:35 inter02, дык любой унч воткнуть на два провода перед родным или с компа зуковой генератор и пробовать,а может кодаки глючные попались,прием то есть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4883] Автор : R1ZK Дата : 16.10.2018 20:39 Видимо надо проверить цепочку сброса с 11C3. Это было проверено первым. Пробовал менять номиналы, не помогло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4884] Автор : inter02 Дата : 16.10.2018 20:42 прием то есть? прием есть. "в шумах" и чувствительность пока ниже плинтуса. ну , это я только к отладке приступил приемной части. поэтому говорю "как оно есть" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4885] Автор : RK3AQW Дата : 16.10.2018 20:51 inter02, Юрий,по сути этот трансивер сделан по принципу обычного прямого преобразования с делением синтеза на 2,грубо говоря как АКМ-74,если сишка и SN-ка работает,для простоты настройки,Тр10 тр2 можно не ставить(перемычку)далее смотрим LVC74 если на выходе порядок то далее смеситель -FST3253 вот и все чутьё, транформаторы тут написано сто раз как делать хоть на кольцах, хоть на биноклях,антенну можно напрямую без Пешек вкл -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4886] Автор : inter02 Дата : 16.10.2018 21:00 без пешек и пробую. а вот лвс74 мне не нравится. какой то хаотичный шум после неё. возможно и до неё, но мой 1с94 до неё "не видит" "погружаюсь в дебри" ... :crazy: , ну почему в мою молодость, когда глаза все видели. ничего этого не было, а сейчас в бинакулярах ни фига не вижу.:-( блин. да я понимаю , что это акм. мелкое всё больно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4887] Автор : R3DI Дата : 16.10.2018 21:09 Юрий, режим тона в мониторе чистый на выходе УНЧ или такой же? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4888] Автор : RK3AQW Дата : 16.10.2018 21:10 1с94 его достаточно до 10-15мГц вполне работоспособен,смотрите на диапазонах 1,8-3,5 на 6r4 6r6 на входе смесителя должен быть меандр одного уровня,если он есть то на 3253 ищите залипуху)!и все заработает,далее только трансформаторы,мотайте на кольцах д7-10 мм,5-8 витков,кол-во витков подбирается в зависимости от проницаемости,т.е витков много, можно не попасть на 28 мгц мало на 1,8-3,5 но это редко при мю от 100 до 1000 примерно 7-8 витков слегка скрученной в три провода косички -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4889] Автор : R1ZK Дата : 16.10.2018 21:17 если подавать на него напряжение с включенного блока питания, стартует без проблем Во дела! Так и есть! :crazy: Непорядок... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4890] Автор : aiwa Дата : 16.10.2018 22:39 процессор перепаял, пропаял кварц и все запустилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4891] Автор : inter02 Дата : 16.10.2018 22:59 Во дела! Так и есть! Непорядок... я же говорил.... какие то не отслеживаемые процессы в бп. главвное причина найдена, а как устранить потом подумаю. тем более блок питания явно другой будет. этот у меня как лабораторный, что то по быстрому подключить проверить посмотреть. однако кое чего я добился. причиной хаотичного шума был "потекший" кондерчик. 0.1 мкф 10с41 на авторской схеме. ниже даю пару видео, если не трудно послушайте звук, пожалуйста, как по мне, что то не так, а может так и должно быть, я просто придираюсь, к собачке. :smile: сразу, дома у меня с приемом полная ОПА. в соседней ветке, скриншот с ртл сдр, выкладывал, поэтому только вешалки на комнатную антенну. вот такую дегеновскую https://b.radikal.ru/b26/1810/ed/9a8f50a42aeft.jpg (https://b.radikal.ru/b26/1810/ed/9a8f50a42aef.jpg) с "закороченным" антенным входом https://www.youtube.com/watch?v=xuxeyVBNOv8& feature=youtu.be прием на 40 метрах вещалка https://www.youtube.com/watch?v=rdXe-BuMmJ4&feature=youtu .be прием на средних волнах, вещалка https://www.youtube.com/watch?v=HnLHqOfqx_M& feature=youtu.be жду мнений, заранее спасибо. ---------- деген1103 в этих же условиях и на этой же антенне, принимает только "рычание" с еле слышимым звуком. :( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4892] Автор : dadigor Дата : 16.10.2018 23:03 кстати да, заметил, что если "маламута" стартовать "с блока питания" он не всегда включается. а если подавать на него напряжение с включенного блока питания, стартует без проблем. емкостью в цепи reset "игрался" ничего не дало. Было и у меня такое, подключался к старинному источнику ИПС-1, в котором были заменены все электролиты, в том числе и накопительный после мостика - был поставлен большой емкости. Поковырялся, оказалось что проблема в медленном нарастании выходного напряжения при включении сети на источнике. Если тыкать бананы в уже включенный источник, запуск маламутика нормальный. Дело в источнике, вариантов решения много, например сделать в нем задержку включения выхода, или уменьшить накопительную емкость, или еще что-то в том же направлении, но самое простое - поставить на маламут выключатель и включать приемник уже после включения источника (если уж так необходимо питать приемник от этого источника) )) По поводу видео, очень похоже на помехи от компа или зарядок, особенно когда питаешь маламут от сетевого источника питания, а прием ведешь на комнатную антенну. Попробуйте выключить все зарядки и комп. У меня такой эффект был при включении приемника цифрового телевидения на даче. Ттам источник питания такую помеху вешал, что на СВ почти ничего не было слышно, на КВ также как у Вас. Немного помогает перейти на питание Маламута от батареи, но не кардинально. Я подключил батарейку и вышел на улицу, отошел от дома и все помехи такого рода затихли. После следил за всем, что включено в розетку и а также выключал светодиодные лампы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4893] Автор : R1ZK Дата : 16.10.2018 23:10 проблема в медленном нарастании выходного напряжения при включении сети на источнике Это хорошо, что люди уже сталкивались с похожей проблемой... Перекопал-бы весь трансивер в поисках неисправности. Вопрос к автору: возможно ли в программе предусмотреть подобный случай? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4894] Автор : ub1amz Дата : 16.10.2018 23:56 возможно ли в программе предусмотреть подобный случай? Интересно, как это предусмотреть в программе, если она даже не может стартануть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4895] Автор : DerBear Дата : 16.10.2018 23:59 Контроллер питания может надо на rst делать как раньше на пик и авр ставили? Проц стартует только после того как питание установится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4896] Автор : UT0UM Дата : 17.10.2018 00:02 как это предусмотреть в программе как в монке 298652 его просто подачей напряжения на разъем не включишь надо обязательно нажать кнопку включения имхо, это правильно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4897] Автор : ub1amz Дата : 17.10.2018 00:24 как в монке Может тупо поставить тумблер? :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4898] Автор : R3DI Дата : 17.10.2018 08:28 Процессор запускается и у него есть свой настраиваемый контроллер ресета (можно поиграть если это поможет). При плавном наростании питания контроллер дисплея встаёт в одно место и как это отследить пока на предполагаю (потому как и смоделировать такую ситуацию не удается) . Пока самое простое это Выключатель, да и к тому же эта самая главная деталь всегда должна быть в устройстве 8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4899] Автор : Radiotester Дата : 17.10.2018 08:41 inter02, Добрый день. Можно сделать задержку на включение и тогда будет чуть процесс замедлен но "холостых выстрелов" не будет. Например собрать на таймере ne555 реле времени. Время выяснить экспериментально, но возможность подстройки сделать. Тут можно "под шумок" и защиту от перенапряжения самую "детскую прикрутить". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4900] Автор : UR4QBP Дата : 17.10.2018 08:50 Все время "курю" тему и не соглашусь с подобным "бредом" о якобы плавной подаче питания и проблем с запуском контроллера. Как сообщил Евгений в контроллерах STM есть контроллер ресета, это же не Z80 или какая-то там атмега8 :smile:. Сделал более 50-ти подобных трансиверов и ни разу не сталкивался с проблемами описанными выше. При качественной пайке хорошим припоем с использованием соответствующего флюса, а не канифоли или всяких там F1, F2 и т.д. с последующей промывкой/сушкой все работает сразу и без "танцев с бубном". Сам использую со своим "Маламутом" импульсный АТ-шный переделанный на 13.8В БП и включатель питания на трансивере никогда не трогаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4901] Автор : R1ZK Дата : 17.10.2018 09:11 Очень много предложений, но имея в арсенале STM32 городить огород с выключателями и прочей лабудой как-то не по фен-шую! Как отметил автор - процессор стартует. Убедиться можно сняв конденсаторы к кварца 8.000 Мгц, или сняв сам кварц. Старт есть, есть загрузка заставки, но очень медленно. Проц стартует и без LCD, и трансивер работает. Может ест смысл всё-же допилить код? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4902] Автор : inter02 Дата : 17.10.2018 09:11 контроллер дисплея R3DI, именно так. "тормозит" дисплей. обмен с проца к экранчику я четко осликом вижу. вечером попробую, питание дисплея подергать. скажу точно. а сейчас увы, на работе.:crazy: второй вопрос, думаю всем будет интересен. это валкодер. рассматривал исходники V2.5 (программист из меня как из г... пуля. максимум могу светодиодом помигать. :crazy:) , если правильно понял, то частота счета привязана к моде приема. скажем на cw коэффициент пересчета 0.01, на am 1.0 , на ssb 0.1. я правильно это понимаю ? а не было попыток реализовать "интеллектуальный" валкодер ? скажем, кручу ручку медленно, меняются сотые частоты, кручу быстрее десятые, кручу быстро сотни ... или это реализовано ? , просто я не не сумел включить ? спасибо. Radiotester, да реализаций масса может быть. даже банальный тумблер:super:, как говорится дешево и сердито. в данном, конкретном случае, я чисто приемник собираю. планирую питание от батарей. т.е. это будет некий контролер заряда с кнопочкой вкл, и полевичек в качестве включателя. оная схема на пике собрана. когда то на просторах инета нашел. с прошивкой. работает как часы. неоднократно собирал. если интересно, вечером на своем домашнем кАмпутере найду, покажу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4903] Автор : R1ZK Дата : 17.10.2018 09:13 или какая-то там атмега8 Что самое интересное, ни разу не наблюдал проблем ни с мегами ни с пиками со своим БП! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4904] Автор : inter02 Дата : 17.10.2018 09:17 тормозит контролер дисплея. это не стмка. вечером точно скажу. с пиками и атмегами, ардуинами всякими , тоже проблем не было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4905] Автор : R1ZK Дата : 17.10.2018 09:32 тормозит контролер дисплея Юрий, если я правильно понимаю, то любой контроллер дисплея имеет процедуру инициализации. Что то не так в коде самой программы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4906] Автор : inter02 Дата : 17.10.2018 09:46 возможно. но я не программист, а поэтому пытаюсь решить аппаратно возникший трабл. возможно разное время инициализации контроллера дисплея и стмки. т.е. стм уже в "режиме" а дисплей нет. и просто не воспринимает начальные команды инициализации от стм. ну это имхо конечно. я понимаю это так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4907] Автор : R3DI Дата : 17.10.2018 09:50 а не было попыток реализовать "интеллектуальны й" валкодер ? не было необходимости для валкодера в 400 имп и ручкой 40мм, да и есть возможность уст-ть шаг для разных мод. ни разу не наблюдал проблем ни с мегами ни с пиками со своим БП! то любой контроллер дисплея имеет процедуру инициализации. Что то не так в коде самой программы.. довольно быстро тема на второй круг пошла - см. #4899 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1573818&viewfull=1#post1573818) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4908] Автор : inter02 Дата : 17.10.2018 09:53 ага, понял по валкодеру. спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4909] Автор : R1ZK Дата : 17.10.2018 10:05 возможно разное время инициализации контроллера дисплея и стмки. т.е. стм уже в "режиме" а дисплей нет Я тоже не считаю себя программистом, но всё это должно учитываться в процедуре начальной инициализации стм-ки и периферии. Полагаю, что я не первый и не последний, кто наступил на грабли в виде источника питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4910] Автор : D0ITC Дата : 17.10.2018 10:43 Мой Маламут "запускается" нормально от любого БП, но кнопку включения питания всё же сделал, от своего БП питаю ещё один трансивер и нужна возможность выбора включенного аппарата. Схему взял такую как во вложении, только реле заменил P-канальным мосфетом. Всё работает стабильно и чётко. 298665 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4911] Автор : dadigor Дата : 17.10.2018 11:10 Все время "курю" тему и не соглашусь с подобным "бредом" о якобы плавной подаче питания и проблем с запуском контроллера. Как сообщил Евгений в контроллерах STM есть контроллер ресета, это же не Z80 или какая-то там атмега8 :smile:. Сделал более 50-ти подобных трансиверов и ни разу не сталкивался с проблемами описанными выше. Зачем так грубо, "бред". При чем тут СТМка. При медленном плавном включении питания не запускается штатно контроллер дисплея, а не стмка, ресет дисплея проходит до начала нормальной работы контроллера дисплея. То, что у Вас нет такого "нехорошего" блока питания не значит, что у других он не может быть и не может быть такой ситуации никогда. [ b]inter02[/b] Если Вам не трудно и хочется разобраться в ситуации, попробуйте вот эту прошивку, не обращайте внимание на то, что это измененная прошивка версии 2.0 и как с ней работает Маламут, главное проверьте, запускается ли дисплей в тех условиях, в которых не запускался на штатной прошивке. Дисплей должен заработать через пару секунд после включения. Посмотрим что у Вас получится, чтобы можно было отделить мух от котлет. Вставлять такую бяку в штатную прошивку не есть правильно, так как лишняя задержка включения дисплея на пару или даже на одну секунду, никому не нужна, но убедиться, что в Вашей ситуации дело в этом, а не в чем-то другом, надо. Конечно возможно, что дело в чем-то еще, но давайте попробуем, а там будем думать дальше. 298670 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4912] Автор : inter02 Дата : 17.10.2018 11:10 Схему взял такую тоже вариант. и очень неплохой ! спасибо . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4913] Автор : R3DI Дата : 17.10.2018 11:17 Кстати тоже пробовал ввести задержку на пару секунд и это помогало...но 2 сек смотреть на белый экран не понравилось не мне не тому, кому делал )))). Повторяю - Проблема в запуске контроллера дисплея, а посмотреть что с ним происходит не могу - у меня не получается смоделировать такую ситуацию ни на одно из моих БП и дисплеев. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4914] Автор : inter02 Дата : 17.10.2018 11:18 dadigor, спасибо, вечером попробую. о результатах естественно напишу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4915] Автор : R1ZK Дата : 17.10.2018 11:25 задержка включения дисплея на пару или даже на одну секунду, никому не нужна Ну от чего-же... Пусть даже так, главное, чтобы работало. На борту достойный проц, а нам предлагают приблуды в виде доп выключателей, таймеров и т.д. Выглядит анахронизмом. Проверю прошивку чуть позже. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4916] Автор : R3DI Дата : 17.10.2018 12:00 Проц достойный и ft8 и DRM обработать может м даже mpeg ... а тут какая-то балалайка- аллёкалка.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4917] Автор : Genadi Zawidowski Дата : 17.10.2018 12:11 А что, дисплей игнорирует RESET? сформировать "1", дернуть в "0" и отпустить обратно... ps: по "правильному" бы дисплей питать от 2.8 вольта и сигналы подавать через согласование уровня - например как я делал через SN74AHC1G125DBV - добавятся всего штук шесть sot23-5 298677 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4918] Автор : dadigor Дата : 17.10.2018 12:41 А что, дисплей игнорирует RESET? сформировать "1", дернуть в "0" и отпустить обратно... Да все там есть, и ресет по пину, и командный ресет. Дело в том, что контроллер дисплея (его встроенный генератор) по питанию заводится чуть позже, чем стмка его начинает инициализировать. Ресет на пин подается в течение 10 мсек, сразу после этого идет командный ресет, я сделал сейчас ресет по пину 2000 мсек, попробуем, потом можно поиграться, скажем сделать 500 мсек - это будет не очень заметно. Отработаем решение, тогда можно будет и Евгения попросить поправить, если это действительно нужно. У себя я летом поигрался, да и поправил источник )) так что надежды на Inter02, проверять может только он. Возможно, что будет достаточно просто вставить паузу 100-200 мсек между ресетом по пину и командным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4919] Автор : rv9yw Дата : 17.10.2018 12:43 ...рассматривал исходники V2.5 (программист из меня как из г... пуля. максимум могу светодиодом помигать. :crazy:) А есть что-то кроме v2.0 в общем доступе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4920] Автор : UR4QBP Дата : 17.10.2018 12:43 dadigor, если у сотен повторивших данную конструкцию нет таких проблем, а у пары на всю ветку есть - значит проблема именно у них а не в контроллере или дисплее. Тут чудес не бывает, или работает или не работает. Зачастую это просто неаккуратность при монтаже. И если "он" медленно работает, то проблема в том что контроллер не смог запуститься на внешнем кварце и запустился на встроенном генераторе. Об этом многократно в теме обсуждалось, но читать лень! Проще написать: а у меня вот не работает! Как-то так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4921] Автор : UA0BHC Дата : 17.10.2018 13:01 Проще написать: а у меня вот не работает! Проще написать, что у меня вот работает. У меня тоже такой БП, который вызывает белый экран. А при установившемся - всё включается ОК. Монтаж аккуратный, плата вымыта спиртом потом. 2 секунды задержки погоды не делают. Если есть такая возможность, я б прошил такую разновидность прошивки 4.9. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4922] Автор : inter02 Дата : 17.10.2018 13:11 кроме v2.0 извиняюсь 2.0 версия. ошибся при написании. проверять может да проверю. это не вопрос. прошивку уже себе на флешку записал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4923] Автор : UR4QBP Дата : 17.10.2018 13:18 Монтаж аккуратный, плата вымыта спиртом потом. Фото в студию! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4924] Автор : inter02 Дата : 17.10.2018 13:22 :smile: вечером фото и своего приемника могу выложить. только пешки и ерка еще не впаяны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4925] Автор : UR4QBP Дата : 17.10.2018 13:42 Вот так должен стартовать истинный Маламут https://www.youtube.com/watch?v=ALhuQx3PN7o& lc=z22zhvpjrtuhhl354 04t1aokgb5rw50f53obv 4ir0sfcrk0h00410 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4926] Автор : D0ITC Дата : 17.10.2018 13:50 R1ZK (http://www.cqham.ru/forum/member.php?884-R1ZK) , я просто написал для чего мне этот "анахронизм" нужен и как я его решил. Лично Вам ничего не предлагал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4927] Автор : R1ZK Дата : 17.10.2018 14:01 Я не о Вас конкретно написал! Это вытекает из сообщений форума. Так что не принимайте близко к сердцу! Вот так должен стартовать истинный Маламут Абсолютно согласен. Обнаружен ещё один глюк работы трансивера... при переключении VFO A/ VFO B. Сделал видео. Ввиду большого объёма запись сбросил на Google-диск (https://drive.google.com/open?id=1QsPpW_zoIRoaOKuln2cmHpaBTRNbsf1a). Там-же и фото собранной платы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4928] Автор : Radiotester Дата : 17.10.2018 14:02 Я что то подозреваю что не в Маламута то и дело, а дело вы дисплее. На плате дисплея есть линейные стабилизаторы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4929] Автор : inter02 Дата : 17.10.2018 14:04 у меня точно есть. махонький на3.3 вольта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4930] Автор : R3DI Дата : 17.10.2018 14:11 UR4QBP, Александр приветствую! BOD активирован ? если да то какой уровень? Я не о Вас конкретно написал! Это вытекает из сообщений форума. Получается всем кто что либо предложил для решения Вашей проблемы....отлично! ---- У моего ATX время нарастания по 12В - 15мс - стартует всегда -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4931] Автор : R1ZK Дата : 17.10.2018 14:13 а дело в дисплее Сам дисплей. Ещё один (2.8") тянется с Али... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4932] Автор : Radiotester Дата : 17.10.2018 14:13 inter02, Если вход стабилизатора связан с первым выводом на которые приходит с "материнки" +cpu а это 3,3в то можно сделать определенный вывод. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4933] Автор : inter02 Дата : 17.10.2018 14:16 опять же вечером проверю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4934] Автор : Radiotester Дата : 17.10.2018 14:17 inter02, Если вход стабилизатора связан с первым выводом на которые приходит с "материнки" +cpu а это 3,3в то можно сделать определенный вывод. Хотя в даташите написано что Vcc 3.3...5в R1ZK, Вижу на плате u1, знать бы чё на выходе u1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4935] Автор : R1ZK Дата : 17.10.2018 14:24 Вижу на плате u1, знать бы чё на выходе u1. Смогу проверить только вечером. Судя по маркировке u1 это LM6206N3. Видимо это и является причиной. Кто может подсказать о видео из сообщения #4928 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1573929&viewfull=1#post1573929)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4936] Автор : UR4QBP Дата : 17.10.2018 14:32 BOD активирован ? Не могу знать, прошивка Ваша, 4.7. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4937] Автор : dadigor Дата : 17.10.2018 15:36 UR4QBP, Никто и не спорит, ну почитайте же мои сообщения внимательно! Проблема именно у этих людей, потому что именно у них блоки питания имеют пологий фронт выходного наряжения при включении. И именно им я бы посоветовал включать В ЭТОМ СЛУЧАЕ Маламут с помощью дополнительного выключателя. Проблема уже описана подробно, процессор в этом случае работает и это легко проверить. Я проверял это летом, когда такая проблема возникла у меня на даче. Наиболее просто проверить, подключив Маламут к батарейке или другому источнику питания, если проблема устраняется, то дело в источние, если нет, дело в том, сто описали Вы. В моем случае не работал правильно КОНТОЛЛЕР ДИСПЛЕЯ ИЗ-ЗА СЛИШКОМ ПЛАВНОГО НАРАСТАНИЯ НАПРЯЖЕНИЯ ИСТОЧНИКА. Уфф, устал кричать... Но Вы обращаетесь ко мне, а как Вам еще разъяснить ситуацию, я не знаю. Вы эту ситуцию даже не допускаете, а я как разработчик её отчетливо понимаю. Давайте подождем результатов эксперимента, я же не знаю точно, что там у него на самом деле. Поэтому и написал, давайте отделим мух от котлет, посмотрите выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4938] Автор : UR4QBP Дата : 17.10.2018 20:39 Обнаружен ещё один глюк работы трансивера... при переключении VFO A/ VFO B. Сделал видео. Ввиду большого объёма запись сбросил на Google-диск. Скорее всего у Вас включен режим автобалансировки фазы и амgлитуды IQ и программа при переходе с диапазона на диапазон пытается подстроиться. Что заметно при переходе с 80-ки на 20-ку. Видно две боковые полосы, но через какое-то время программа подстраивает значения и далее работает нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4939] Автор : R1ZK Дата : 17.10.2018 20:47 включен режим автобалансировки фазы Да, с этим разобрался, Вы правы. знать бы чё на выходе u1. Это действительно стаб 3.3В. На выходе 3.14В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4940] Автор : UR4QBP Дата : 17.10.2018 20:58 Это действительно стаб 3.3В. На выходе 3.14В. И для того чтобы он работал не мешало бы его запитать хотя бы от 5-ти вольт, а не 3.3В. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4941] Автор : inter02 Дата : 17.10.2018 21:12 тогда почему на всяких ардуинках этот же дисплей гарантированно работает от 3 вольт ? проверял неоднократно. тут что то именно с нарастанием напряжения. и зависит именно от бп. от батарейки стартует 100500%, от включенного бп стартует 100500% а вот включением с бп, фигушки. только что проверил. 10 вкл бп, 4 старт нормальный. 6 раз белый экран. а сам маламут работает. специально на станции настроенным оставил. звук появляется все как положено. вот мой дисплей https://c.radikal.ru/c23/1810/62/5029a88afa61t.jpg (https://c.radikal.ru/c23/1810/62/5029a88afa61.jpg) входящие 3.25 вольт выходящие 2.9 вольт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4942] Автор : R1ZK Дата : 17.10.2018 21:14 Там есть место под перемычку. Ставил перемычку, запитывал от 5В, не помогло. Какое нарастание? Александр продемонстрировал на видео нарастание вручную. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4943] Автор : R4ADF Дата : 17.10.2018 21:31 Привет всем любителям "этого дела". "Это дело" - заказал у Евгения, версии 1.4, почитав форумы, полистав видео, возник вопрос: монтаж деталей из списка ASDR_BOMv1.4.pdf - полностью? Или есть в списке взаимоисключающие компоненты? Я только-только занялся подбором комплекта. Сильно - не ругайте ^_^ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4944] Автор : Сармат Дата : 17.10.2018 21:51 ......Я только-только занялся подбором комплекта. Сильно - не ругайте ^_^ первый раз сложно бывает....в одном месте много не возьмешь...в китае не все возьмешь, но без китая тоже не обойтись...вернее там нельзя все брать....по нашим магазинам выходит иногда очень дорого, но меньше головной боли. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4945] Автор : inter02 Дата : 17.10.2018 21:58 я в шоке. :crazy: перепрошил версию от dadigor , приемник устойчиво включался. задержка около 1 сек. перепрошил назад версию4.9 , все работает как часики. 20 раз подряд стартовал его от бп, ни одного белого экрана. :crazy: что это тогда было ? курю и думаю. :crazy: dadigor Вам огромное спасибо. :beer: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4946] Автор : UR4QBP Дата : 17.10.2018 21:59 inter02, а какая у Вас длина проводников соединяющих дисплей с платой трансивера? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4947] Автор : R3DI Дата : 17.10.2018 22:00 R4ADF, определитесь с мс синтезатора и УНЧ, соотв. и обвязку ненужную вычеркните. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4948] Автор : inter02 Дата : 17.10.2018 22:07 длина проводников на данный момент, пока приемник на стапеле, так сказать. для удобства примерно18 см. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4949] Автор : UR4QBP Дата : 17.10.2018 22:12 Сообщение от UR4QBP длина проводников на данный момент, пока приемник на стапеле, так сказать. для удобства примерно18 см. Ну так вот скорее всего причина именно в длине проводников так как SPI работает на высокой частоте(точно не помню сколько, но мегагерц 20-25 точно, надо у автора спросить). Не хочу снова разбирать свой трансивер для эксперимента, но давненько пробовал такие же "ардуиновские" провода и точно помню что на длине 30 или 20 см точно не работал нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4950] Автор : inter02 Дата : 17.10.2018 22:25 вообще то может быть. сегодня уже ничего дергать не буду, а завтра вечером, специально попробую на длинных проводах и на коротких. есть у меня шлейф на 50 см. о результатах естественно напишу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4951] Автор : inter02 Дата : 17.10.2018 23:31 не удержался. :crazy: заменил шлейф. длина 62 см работает как часики. однозначно провода не виноваты. :crazy: https://b.radikal.ru/b18/1810/64/562a33d16220t.jpg (https://b.radikal.ru/b18/1810/64/562a33d16220.jpg) сижу курю дальше. размышляю, а что это было... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4952] Автор : dadigor Дата : 18.10.2018 00:42 dadigor Вам огромное спасибо. :beer: Взаимно, приятно было пообщаться! )) :пиво::пиво: А что это было, так пока и осталось невыясненным... Кстати, судя по тому, что я видел, Ваш блок питания вряд ли имеет медленный старт. Можно еще сделать прошивку, на которой можно будет смотреть, какую частоту дает кварцевый генератор процессора и вообще запустился он или нет, но ножки МСО1 и МСО2 к сожалению заняты сигналами управления. Т.е. такую прошивку можно будет запускать только как проверочную на неполностью запаянной плате. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4953] Автор : UT5QBC Дата : 18.10.2018 07:42 Можно еще сделать прошивку, на которой можно будет смотреть, какую частоту дает кварцевый генератор процессора и вообще запустился он или нет, Совершенно верно, и если нет запуска на кварце переходим на внутренний генератор, поверьте все будет работать и на HSI, на экране показать соответственно показать статус, решается вопрос 4мя дополнительными строками. Пример с моего инита HSE.... Притом можно контролировать работу HSE (RCC_ClockSecuritySystemCmd(ENABLE);) и в случае отказа кварца перейти на внутренний генератор и продолжать работу на трансивере. RCC_HSEConfig(RCC_HSE_ON); HSEStartUpStatus = RCC_WaitForHSEStartUp(); if(HSEStartUpStatus == SUCCESS) { RCC_PLLConfig(RCC_PLLSource_HSE, 16, 336, 2, 7); // PLL_M, PLL_N, PLL_P, PLL_Q } else { RCC_DeInit(); RCC_HSICmd(ENABLE); RCC_PLLConfig(RCC_PLLSource_HSI, 16, 336, 2, 7); // PLL_M, PLL_N, PLL_P, PLL_Q HSE_State = ERROR; } -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4954] Автор : rl3kj Дата : 18.10.2018 10:42 А где такой bom лежит? Я что то не нашел. Тоже взял у автора плату 1.4. Буду собирать. Но история долгая... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4955] Автор : R3DI Дата : 18.10.2018 10:50 rl3kj, первый пост - " Изменения и дополнения на 29.05.18, читаем здесь."(с телефона тяжело активные ссылки давать) также все есть на Ядиске -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4956] Автор : rl3kj Дата : 18.10.2018 12:15 rl3kj, первый пост - " Изменения и дополнения на 29.05.18, читаем здесь."(с телефона тяжело активные ссылки давать) также все есть на Ядиске Спасибо! Извините. Уже нашел. Тоже с телефона�� -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4957] Автор : dadigor Дата : 18.10.2018 13:12 Совершенно верно, и если нет запуска на кварце переходим на внутренний генератор, поверьте все будет работать и на HSI, на экране показать соответственно показать статус, решается вопрос 4мя дополнительными строками. Пример с моего инита HSE.... Притом можно контролировать работу HSE (RCC_ClockSecuritySystemCmd(ENABLE);) и в случае отказа кварца перейти на внутренний генератор и продолжить работу на трансивере. Согласен. Если делать подобную тестовую прошивку, можно сделать вариант, когда дисплей почему-то еще не запустился (и не запаяны цепи МСО), то выводить мастерклок от HSE на пин МСО, проверяя сигналы осциллографом или частотомером, если генератор не запустился, там ничего не будет, а если запустился, можно проверить частоту, ну а если дисплей работает, то на экран будет выведено сообщение. Конечно такая прошивка нужна далеко не всем, обычно если плата хорошая, припаяны детали качественно и сами детали рабочие и правильных номиналов, т.е. у большинства, все начинает работать сразу. Но кому-то она пригодится. Работать от внутреннего генератора будет, надо только посмотреть, как тактируются выборки сигнала, не поплывет ли обработка, я честно говоря туда еще не добрался, просто не знаю этого. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4958] Автор : UT5QBC Дата : 18.10.2018 18:00 Работать от внутреннего генератора будет, надо только посмотреть, как тактируются выборки сигнала, не поплывет ли обработка, я честно говоря туда еще не добрался, просто не знаю этого. Все будет работать. Зачем в тестовую прошивку? Если автор соизволит добавит и в существующею, там работы на 5минут, в прерывании ClockSecurity попробовать пару раз еще поднять HSE (отследить по счетчику ошибок hse), если неудача переходить тогда уже работать на HSI, соответственно где то отобразить предупреждение, что бы иметь понятие что с основным генератором траблы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4959] Автор : R3DI Дата : 18.10.2018 18:06 о выводить мастерклок от HSE на пин МСО, проверяя сигналы осциллографом Если автор соизволит добавит и в существующею оно это зачем нужно ? осциллографом и напрямую можно генерацию посмотреть 1,13Vp-p, ну а если так кварц припаяли что генерации нет - то что уж тогда о других эл.схемы говорить.... тут и HSI не поможет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4960] Автор : UT5QBC Дата : 18.10.2018 19:41 оно это зачем нужно ? Зачем нужен watchdog, зависнет камень передернем питание. Дело не в генерации... а в том что бы девайс стал не "убиваемый", ваш трансивер сдох в поле (ну предположим такую фантастическую ситуацию "умер кварц") а мой будет работать... В общем это как правило "хорошего тона". А использовать или не использовать имеющиеся фитчи контроллера это дело сугубо вкусов и предпочтений программиста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4961] Автор : UU5JPP Дата : 18.10.2018 20:29 Вообще не понимаю, зачем писать кусок кода что бы проверить один кварц :facepalm: Так можно на проверках дойти до каждого элемента, это ведь не измерительный прибор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4962] Автор : UT5QBC Дата : 18.10.2018 20:39 Вообще не понимаю, зачем писать кусок кода что бы проверить один кварц Люди вы вообще читаете то что пишут? Я лично не понимаю зачем вы это написали, если вы не понимаете то лучше промолчать, чем показать .... Мои посты были для автора темы, а дальше все ему решать не в этой конструкции так в другой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4963] Автор : UU5JPP Дата : 18.10.2018 20:42 Люди вы вообще читаете то что пишут? Я лично не понимаю зачем вы это написали, если вы не понимаете то лучше промолчать, чем показать .... Я то понял, нужно сделать "самодиагностику" перед запуском "Маламута" )) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4964] Автор : inter02 Дата : 19.10.2018 00:15 ага на каждую детальку. :ржач: сегодня целый вечер тиранил маламута. работает. даже плавно напряжение питания поднимал. плата стартует примерно от 6 вольт. что это было с "белым экраном" так и не понял. :crazy: завтра буду пешки напаивать. пока вроде все работает. :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4965] Автор : ua3ycv Дата : 19.10.2018 08:16 Я то понял, нужно сделать "самодиагностику " перед запуском "Маламута" ))это наша "хотелка" и не более-хотя честно очень даже не плохо.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4966] Автор : EU1SW Дата : 19.10.2018 08:19 Уже не первый случай, стоит что либо опубликовать, и появляются люди, которые точно знают как все должно работать, но почему-то до этого ничего нам не показывали, наверное у них не было времени... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4967] Автор : ua3ycv Дата : 19.10.2018 08:35 EU1SW,Серёжа-осень? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4968] Автор : RA1CAC Дата : 19.10.2018 10:51 ...почему-то до этого ничего нам не показывали, наверное у них не было времени...От Ваших подколов быстрее не будет. Имейте терпение. Или сами сделайте, как считаете нужным. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4969] Автор : R3DI Дата : 19.10.2018 11:16 Причем тут Сергей? То что он написал - сплошь да рядом...вон из последних тема от rolin про тюнер, да много других. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4970] Автор : Love777888 Дата : 19.10.2018 18:01 Всем привет! Такой вопрос начал достраивать ДПФ и столкнулся с проблемой, выше 5 Мгц, с моими китайскими индукторами ничего не выходит, завал до 10дБ это я про лестничные фильтры, какие индуктивности кто применял? Помогите советом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4971] Автор : Aleks8585 Дата : 19.10.2018 19:47 Всем доброго времени суток.Что делать проц прошил.потребление норм.Дисплей горит белым и не дышит контакты пропаивал версия 1.4.Дисплей менял.думал в нем причина -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4972] Автор : eu7ea Дата : 19.10.2018 20:10 Дисплей горит белым и не дышит У меня такое было когда по глупости запаял 10R11 и 10R12. 5вольт попало на шину питания CPU и соответственно пошло на дисплей. Из-за чрезмерной яркости - все было белое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4973] Автор : R3DI Дата : 19.10.2018 20:20 Aleks8585, для начала проверить монтаж и напряжения на стабилизаторах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4974] Автор : Aleks8585 Дата : 19.10.2018 20:43 На дисплей 5 вольт на проц 3 вольта Добавлено через 7 минут(ы): попробую убрать стоят 2 нулевых Добавлено через 5 минут(ы): спасибо дисплей запустился:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4975] Автор : R3DI Дата : 19.10.2018 20:46 Aleks8585, а что за мс в качестве синтезатора установили? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4976] Автор : RD3Q Дата : 19.10.2018 20:49 R3DI, привет Женя! SIшка у него установлена! Только спешит и паяет все не разобравшись!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4977] Автор : Aleks8585 Дата : 19.10.2018 20:53 да.на сишке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4978] Автор : R3DI Дата : 19.10.2018 21:01 RD3Q, Приветствую! да.на сишке тады они вообще не нужны -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4979] Автор : Aleks8585 Дата : 19.10.2018 21:01 первый мой трансивер.я новечек:rotate: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4980] Автор : R3DI Дата : 19.10.2018 21:10 ДПФ и столкнулся с проблемой, выше 5 Мгц, с моими китайскими индукторами ничего не выходит, завал до 10дБ это я про лестничные фильтры, какие индуктивности кто применял? мне китайцы набор с одной катушки нарезали :crazy:, после чего взял в чипдипе то что было -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4981] Автор : Сармат Дата : 19.10.2018 23:14 мне китайцы набор с одной катушки нарезали :crazy:, после чего взял в чипдипе то что было Первая и последняя попытка с китайцами насчет индуктивностей у меня такая же....куча пакетов с необходимыми номиналами...на самом деле срезали с трех катушек...после этого мотаю на амидоне Т25... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4982] Автор : EU1SW Дата : 20.10.2018 06:38 спешит и паяет все не разобравшись Так можно допаяться до белого дыма ) а после выхода из деталек белого дыма обычно уже ничего не работает ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4983] Автор : Love777888 Дата : 20.10.2018 07:27 Приветствую! Соглашусь в первый раз приперли мне нарезку с двух лент, во второй раз (ебей) то что надо но с разбросами( с одной ленты все десять штук нужно промерять). Вдохновясь идеей лестничных фильтров, два фильтра сделал на ура, а вот уже от 4-8мгц не могу вытянуть завалы( уже переменник прицеплял поочередно вместо конд). Пришёл к выводу, что затухания именно в индуктивности. Кстати мотал на сердечниках от контуров, не особо, почитав что такое добротность понял, что все эти попытки фигня полная. Я бы рад всунуть туда кольца т25, но места нет (авторская 1.31). По смд подскажите какие брать, вроде с буквой h, у мураты. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4984] Автор : ur4qij Дата : 22.10.2018 22:48 Столкнулся с нестабильными показаниями КСВ-метра. Обследование показало что подвозбуждается MCP6001, которая стоит по цепи SWR. Даташит на эту микру предлагает поставить дополнительный резистор по выходу микросхемы. 299015Figure 4.3. 100 ом помогло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4985] Автор : ur5yfv Дата : 23.10.2018 08:16 100 ом помогло. Это в перевых версиях плат их не было. В последних платах они есть. 299030 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4986] Автор : UA9olb Дима Дата : 23.10.2018 20:03 всунуть туда кольца т25, но места нет (авторская 1.31). А мне надоело ленты перемерять ) не фига хорошего не получается, решил так) результат обалденный через фильтр лучше слышно чем через обход)))Картинки на nwt-7 класс. Все остальные сделаю на Т-30-6 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4987] Автор : UU5JPP Дата : 23.10.2018 20:44 А мне надоело ленты перемерять ) не фига хорошего не получается, решил так) результат обалденный через фильтр лучше слышно чем через обход)))Картинки на nwt-7 класс. Все остальные сделаю на Т-30-6 Ого это сильно :super: я по другому пошел299060 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4988] Автор : ra6ljm Дата : 23.10.2018 20:56 Подскажите, где посмотреть назначение кнопок тангенты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4989] Автор : R3DI Дата : 23.10.2018 21:03 ra6ljm, первый пост там ссылка на Ядиск, в папке v1.3 картинка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4990] Автор : UA9olb Дима Дата : 23.10.2018 21:03 кнопок тангенты? например тут http://ur4qbp.ucoz.ua/_fr/2/Malaut_miniFAQ_.pdf -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4991] Автор : ua9xos Дата : 23.10.2018 21:24 Ого это сильно :super: я по другому пошел Отдельную платку сделал пдф под кольца т25 и переключение на релюшках. Еще не успел все запаять. 299063 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4992] Автор : RC3ZQ Дата : 23.10.2018 21:52 ua9xos, Это хорошо, но колечки располагайте перпендикулярно друг к другу, так же и относительно соседних фильтров. После зборки и настройки ДПФ обратите внимание что за полосой прозрачности каждого фильтра творится. Сильно ли "сифонит" минуя фильтров. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4993] Автор : inter02 Дата : 24.10.2018 13:51 пешки запаял. ерку тоже запаял. все вроде работает. но есть еще вопросы. потребляемый ток. у меня чисто приемник, 250-300 ма. в зависимости от громкости и обстановки на экране. не много ли ? намотал трансформаторы на смесители на колечках от сетевой платы. по звуку ориентировался, больше понравилось 5 витков. но, при этом заметил небольшой провал чувствительности примерно от 3 мгц до 8 мгц. что я не так мог сделать ? может другие колечки применить ?а может кто имеет, данные по колечкам из сетевой платы, подскажите пожалуйста. тогда и посчитать можно будет. и последнее, сишка у меня тоже работает на трансформатор. есть смысл его убрать, или оставить как есть ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4994] Автор : RK3AQW Дата : 24.10.2018 18:57 250-300 ма если кренка стоит то так и будет провал чувствительности примерно от 3 мгц до 8 мгцдомотайте 2-3 витка,нехватает проницаемости кольца,соответсвенно индуктивность мала сишка у меня тоже работает на трансформатор возможно чутья нет на низах из-за тр-ра,добавте витков,начните с тр-ра сишки,посмотрите как на 160 и ниже,не срывается ли генерация после тр-ра -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4995] Автор : Сармат Дата : 24.10.2018 19:13 В ютубе засветился новый вариант Маламута. По моему китайцам, которые спешно запаслись пешками для сборщиков Маламута, придется использовать их в своих целях. В этом варианте вместо пешек везде стоят релюшки IM06. ДПФ полноценно на амидоне. Кажется кусок этой платы (дпф) этого варианта на форуме вчера засветился. Может автор этого варианта поделиться своими трудами. Вариант заслуживает по моему внимания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4996] Автор : ua9xos Дата : 24.10.2018 19:21 В ютубе засветился новый вариант Маламута. По моему китайцам, которые спешно запаслись пешками для сборщиков Маламута, придется использовать их в своих целях. В этом варианте вместо пешек везде стоят релюшки IM06. ДПФ полноценно на амидоне. Кажется кусок этой платы (дпф) этого варианта на форуме вчера засветился. Может автор этого варианта поделиться своими трудами. Вариант заслуживает по моему внимания. Если имеете виду вчерашнюю платку под ДПФ на амидоне с релюшками. Нет я не выкладывал ее в ютуб. Платы только получил с китая. Релюшки стоят nrp-03k-c-12d-h -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4997] Автор : Сармат Дата : 24.10.2018 19:27 Если имеете виду вчерашнюю платку под ДПФ на амидоне с релюшками. Нет я не выкладывал ее в ютуб. Платы только получил с китая. Релюшки стоят nrp-03k-c-12d-h Нет. Тот другой вариант, вчера UU5JPP выкладывал кусок платы, где ДПФ на амидоне, кажется это с того варианта. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4998] Автор : ua9ylu Дата : 24.10.2018 19:28 https://youtu.be/x2LCrjOigIs интересно так только у меня? антенна отключена. или как с этим бороться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 4999] Автор : Сармат Дата : 24.10.2018 19:31 https://youtu.be/5TCl-IbIPj4 вот это видео -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5000] Автор : UA9olb Дима Дата : 24.10.2018 19:39 как с этим бороться? Виктор это у Всех так .Был уже об этом разговор.Бороться бесполезно механическим способом(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5001] Автор : RK3AQW Дата : 24.10.2018 20:17 ua9ylu, похоже на кривой кодак,после сишки попробуйте тр-р поставте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5002] Автор : Love777888 Дата : 24.10.2018 21:00 UA9olb Дима, здравствуйте! Заметил у себя давно, но после замены кварца на сишке стало ещё больше, причина замены в том что кварц от нагрева стаба 5 вольт "плыл". Жаль не запомнил букву в конце поискал бы такой же. А вообще это называется спуры, если что поправьте. Неприятная штука -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5003] Автор : RK3AQW Дата : 24.10.2018 21:43 Love777888, https://www.youtube.com/watch?v=1LsE-FbB0AA вот выложил по поводу спуров -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5004] Автор : UU5JPP Дата : 24.10.2018 21:46 https://youtu.be/5TCl-IbIPj4 вот это видео Да нет тут ни какого секрета. Сделал под свой корпус точней Китайский, и меня за мучили эти PE-шки, у Китайцев это лотерея может партия придти вроде рабочие но большое затухание, или часть не рабочих, а у наших продавцом цена на них не адекватная даже скажу ОЧЕНЬ не адекватная. А на реле хоть надежнее, да пришлось по жертвовать габаритами и уже это не Маламут, а Маламутище :smile: ну и компоненты на 0805. Еще до конца не собрал, и не хотел показывать. Ладно эта ветка не для подробного описания. 299151299152299153299155 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5005] Автор : Сармат Дата : 24.10.2018 21:51 как я понял плата УМ отдельно и крепиться к радиатору, которая служит задней стенкой. То что на элементах 0805, это уже большой плюс, не считая отход от пешек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5006] Автор : UU5JPP Дата : 24.10.2018 21:54 как я понял плата УМ отдельно и крепиться к радиатору, которая служит задней стенкой. Да верно, но еще не готов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5007] Автор : Сармат Дата : 24.10.2018 22:00 Отличный вариант. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5008] Автор : inter02 Дата : 24.10.2018 23:10 мой приемник. еще трансформаторы не переделывал. 299159 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5009] Автор : Love777888 Дата : 24.10.2018 23:12 RK3AQW, здравствуйте! Так что это? Как избавиться от этой гадости? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5010] Автор : UA9olb Дима Дата : 24.10.2018 23:17 Как избавиться от этой гадости? Так у него тоже есть без антенны пробегают журчалки всякие. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5011] Автор : R1ZK Дата : 24.10.2018 23:26 Вот так должен стартовать истинный Маламут Тоже получилось. Видео. (https://youtu.be/zDFvQQf1bkY) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5012] Автор : RK3AQW Дата : 24.10.2018 23:37 UA9olb Дима, ну журчалки журчалкам рознь,еще не видел ни одного синтезатора без них,то что вы показали,так это просто ужас(попробуйте заменит кодак,у меня такая же картина была,он вроде и работал ,но не так как надо,слишком шумный), начал с того что укоротил шлейф от дисплея(немного,но шумы синтеза ушли,нашел плоский феррит для шлейфа попробую его поставить)далее все переделки, как рекомендовал Эдуард,хотя с доп.заземлением сишки у меня фокус не прошел,далее все же вернулся к транформатору на выходе ситезатора, хрошие результаты были при использовании вч бинокля,но низы не работали,хотя вся затея была для того чтоб щелчки валкодера подавить,так вот поставил тр-р и спуры стали намного меньше и большой плюс -надо корпус делать из металла,плата на столе и в железяке закрытой большая разница. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5013] Автор : RC3ZQ Дата : 25.10.2018 01:13 А на реле хоть надежнее, да пришлось по жертвовать габаритами и уже это не Маламут, а Маламутище По стоимости на реле сильно теряем? Веть эти im06 релюшки не из дешовых? Добавлено через 6 минут(ы): Виктор это у Всех так .Был уже об этом разговор.Бороться бесполезно механическим способом(. А лучших результатов не будет если там AD9951 в синтезаторе будет стоять? Не пробовали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5014] Автор : inter02 Дата : 25.10.2018 07:49 Как избавиться от этой гадости? увы. при сишке в качестве синтезатора никак. у меня тоже такое есть. просто смирился. если будет настроение сегодня вечером, поиграюсь трансформатором возле сишки. может немного уменьшится это явление. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5015] Автор : UU5JPP Дата : 25.10.2018 08:23 По стоимости на реле сильно теряем? Веть эти im06 релюшки не из дешовых? Ну это смотря где и у кого покупать, я последние покупал по 30р за штуку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5016] Автор : ur4qij Дата : 27.10.2018 23:05 А кто подскажет как работает манипулятор MH-48 с маламутом версии 4.7 ? Я имею ввиду какие кнопки что включают? Не отсылайте на miniFAQ v1.6, там этого нет. Сделал макет манипулятора, но половина кнопок не работает, подэкранные кнопки двигаются но первая не включается с манипулятора, 2,3,4, включаются. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5017] Автор : RD3Q Дата : 28.10.2018 08:08 ur4qij, здесь https://yadi.sk/d/QuyGdEwM3H4HcB/v%201.3/MH-48-Mic.png все расписано. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5018] Автор : UA0BHC Дата : 28.10.2018 19:31 А кто подскажет как работает манипулятор MH-48 с маламутом версии 4.7 ? Я имею ввиду какие кнопки что включают? Не отсылайте на miniFAQ v1.6, там этого нет. Сделал макет манипулятора, но половина кнопок не работает, подэкранные кнопки двигаются но первая не включается с манипулятора, 2,3,4, включаются. Вот, расписывал когда-то: UP-DOWN (вверху) - переключение между пунктами в меню кнопки, функция которых не изменяется P1-P2 - установка ширины полосы фильтра; P3-P4 - переключение видов модуляции; 1 - преамп вкл-выкл; 2 - управление аттеньюатором; 3 - ANF -вкл-выкл, automatic notch filter, влияет на приём; 4 - DNR (нойес редакшен?) вкл-выкл после того как включишь, а потом выключишь уровень шума без сигнала на слух остаётся немного повышенным, лечится кратковременным переходом на передачу; 5 - NB (нойес бланкер) вкл-выкл; 6 - SLPE вкл-выкл наклон фильтра ФОС, в меню SDR-SSBFilSlope задаётся его уровень; 7 - управление уровнем мощности; 8 - при нажатии включается, и остаётся включённой передача, повторное нажатие её отключает, но как-то не устойчиво. Если передача не выключается, можно пробовать нажимать кнопку PTT на тангенте. Верный способ зажечь светодиод, хотя встречаются режимы приёма, на которых он зажигается тоже, поэтому загадка светодиода до сих пор не раскрыта; 9 - вкл-выкл транспарант MONI, на приём не влияет (самопрослушивание при передаче, в SSB -нет вопросов, сигнал нормальный, в АМ похуже, но разобрать можно, в FM - такое ощущение, что как будто фанера прёт, разбираемость - с трудом, в FM2 - сразу идёт возбуд в динамике) Если у вас не так, поделитесь ощущениями от этого режима; * - переключение между ячейками памяти А и В; 0 - A=B; # - SPLIT вкл-выкл функциональные клавиши, зависят от режима меню, выбранного UP-DOWN дублируют клавиши A, B, С, D на передней панели Переключатель сбоку - включает подсветку клавиш. Функцию второго аналогичного переключателя не раскрыл (говорят, что LOCK, но на моей тангенте не работает). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5019] Автор : ur4qij Дата : 28.10.2018 19:46 Спасибо за подробные ответы. Но , к сожалению у меня работают только UP-DOWN, P1-P2, P3-P4 и A B C D. Остальное молчит. Поковыряюсь ещё , если нет то и оставлю как есть... Цыфра, однако.:ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5020] Автор : UA0BHC Дата : 28.10.2018 19:55 Если у вас нет тангенты, а макет делали по схеме - там надо было резистор 240 Ом запаять, об этом есть в факе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5021] Автор : sargi Дата : 28.10.2018 21:53 Привет, У меня этот дисплей, но я не уверен, как его подключить ... Могу ли я получить совет ? https://www.aliexpress.com/item/1pcs-3-2-inch-18P-SPI-TFT-LCD-Screen-without-Touch-panel-ILI9341-Drive-IC-240/32819384322.html?spm=a2g0s.9042311.0.0.1bcb4c4d37LKbJ Я боюсь что-то сломать ... 11j4 pin 9 .... 11j4 pin 8 .... 11j4 pin 7 .... 11j4 pin 6 .... 11j4 pin 5 .... 11j4 pin 4 .... 11j4 pin 3 .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5022] Автор : Сармат Дата : 28.10.2018 22:24 Привет, У меня этот дисплей, но я не уверен, как его подключить ... Могу ли я получить совет ? 299413или по другому, как вам нравится 299415 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5023] Автор : Алекс88 Дата : 29.10.2018 18:30 Друзья использовал ли кто либо из вс в синтезаторе DDS 9952? Если да то как она по "спурам" в сравнении с СИшкой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5024] Автор : sargi Дата : 31.10.2018 20:43 для ЖК-дисплея я хотел бы использовать mosfet irlml5103 11q1, .. какое сопротивление следует использовать ? 11r18 или 11r19 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5025] Автор : R3DI Дата : 31.10.2018 21:05 sargi, в случае использования управления яркости подсветки дисплея лучше установить резистор 11R19, в меню дисплея установить режим ШИМ 105кГц/PB9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5026] Автор : R4ADF Дата : 31.10.2018 21:09 Del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5027] Автор : UA9olb Дима Дата : 31.10.2018 21:17 ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5028] Автор : ghjafy Дата : 31.10.2018 23:34 Добрый вечер,а как или чем регулировать яркость дисплея -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5029] Автор : RC3ZQ Дата : 01.11.2018 00:16 ghjafy, Если речь идет о подсветке то резистором в цепи светодиода LCD Добавлено через 7 минут(ы): об этом есть в факе. Ссылочку пожалуйста на FAQ. На яндекс диске Евгения я не нашел. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5030] Автор : ghjafy Дата : 01.11.2018 00:40 нет когда в случае использования управления яркости подсветки дисплея лучше установить резистор 11R19, в меню дисплея установить режим ШИМ 105кГц/PB9, а как яркость регулировать или правельнее чем.Что делать чтобы она менялась -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5031] Автор : sargi Дата : 01.11.2018 01:32 R3DI, если я использую PWM, это может вызвать звуковой шум ? или я использую сопротивление 11r13 (200 R), и я не помещаю 11r18 и 11r19 ? с pam8406, значение которого следует использовать на 12r2 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5032] Автор : UA0BHC Дата : 01.11.2018 05:12 Ссылочку пожалуйста на FAQ. На яндекс диске Евгения я не нашел. Сообщение #3721 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%D0%9C%D0%B0%D0%BB%D0%B0%D0%BC%D1%83%D1%82-quot&p=1529040&viewfull=1#post1529040) версия 1.6 уже есть оказывается. Ищется поиском по теме, ключевые слова miniFAQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5033] Автор : Love777888 Дата : 01.11.2018 11:31 Приветствую! Такой вопрос, недавно сделал себе нвт-7, ну и сразу же промерял дпф, скажем так г есть г, после чего переделал все, решил померять на вторичке 6tr1, сигнал на гнездо антенны и вход прибора на вторичку трансформатора, так вот начиная нч и до 20мгц я вижу на верхнем и нижнем плече ачх дпф, а вот с 20мгц я на верхнем выводе трансформатора вижу ачх 20-32мгц, а на нижнем какая-то хрень и рядом не стоявшая с ачх. Что это? Трансформатор на бинокле 43-2402, 6 первичка, 6+6 вторичка. И ещё как то не обращал внимания, получается фнч в режиме байпасс работает на последнем поддиапазоне срез 35мгц? Тогда понятно почему нет радио в диапазоне УКВ. Так ли это? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5034] Автор : R3DI Дата : 01.11.2018 11:43 Love777888, да, при байпас включается последний ФНЧ, другого варианта нет. А последний вопрос к чему? ( Если про УКВ , то такого диапазона вообще нет, это плавающий диапазон без ограничений, у меня Останкино в окне и работает все без антенн ))), во и инитил его на 71мГц ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5035] Автор : UA9olb Дима Дата : 01.11.2018 11:49 Трансформатор на бинокле 43-2402, 6 первичка, 6+6 вторичка. И ещё как то не обращал внимания, получается фнч в режиме байпасс работает на последнем поддиапазоне срез 35мгц? Тогда понятно почему нет радио в диапазоне УКВ. Так ли это? У меня лучше всего на таком бинокле получилось 3 первичка 3+3 вторичка. Причем мотал не тремя скрученными проводами.Всяко по разному пробовал и по количеству и по намотке.По ФНЧ обхода нет так что как есть)))Конечно он режет УКВ и если нет вещания поблизости фиг что услышишь) я даже с генератора не слышу оно мне просто не надо.По первому вопросу так не мерил не знаю) NWT-7 подключал на первичку транса и настраивал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5036] Автор : ua3ycv Дата : 01.11.2018 17:03 я даже с генератора не слышу оно мне просто не надостранно-я 50 мгц аматеров прекрасно слышу в прочем и укв вещание из центра 30 км на диполь 40-ки очень не плохо:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5037] Автор : RD3Q Дата : 01.11.2018 17:28 ua3ycv, похоже Ваши полосовики не работают совсем! Где же у них срез по частоте? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5038] Автор : Radiotester Дата : 01.11.2018 17:56 UA9olb Дима, Опишите чуть подробнее как вы на бинокле намотали. А то я так понимаю к вас 4ри провода . А куда четвертый то? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5039] Автор : Love777888 Дата : 01.11.2018 19:25 У меня лучше всего на таком бинокле получилось 3 первичка 3+3 вторичка. Причем мотал не тремя скрученными проводами.Всяко по разному пробовал и по количеству и по намотке.По ФНЧ обхода нет так что как есть)))Конечно он режет УКВ и если нет вещания поблизости фиг что услышишь) я даже с генератора не слышу оно мне просто не надо.По первому вопросу так не мерил не знаю) NWT-7 подключал на первичку транса и настраивал. Дима давайте в студию метод намотки, как мотали? Нужно попробовать. Как чуйка у вас с вашей намоткой? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5040] Автор : AlexJ Дата : 01.11.2018 19:40 метод намотки, как мотали Мотаем как обычно скруткой в три провода, бинокль ставим вертикально, все выводы с одной стороны, вот и вся метода. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5041] Автор : UA9olb Дима Дата : 01.11.2018 19:51 Как чуйка Чутьё сейчас хорошее) У меня в полосовиках терялось около 10 дб .Переделал полосовики намотав все индуктивности на кольцах амидон. По трансформатору наверно не метод а именно количество витков важно) было намотано 7 витков померил чутьё на всех диапазонах намотал 5 зуметно улучшилось особенно на верхах,намотал 3 ещё лучше.Ну и остановился на 3 витках .Это для бинокля 43-2402. Мотал так взял провод согнул пополам это средний вывод транса,концы воткнул в биноколь и если смотреть сверху один конец мотал по часовой стрелки второй против и так 3 витка по часовой и 3 витка против)первичку намотал отдельно 3 витка выводы с другой стороны. В общем так получилось самое лучшее из всего). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5042] Автор : UA0BHC Дата : 02.11.2018 06:32 2UA9olb Дима О, а я не так мотал, надо будет перемотать. 2UR7HBP Неплохо бы метод намотки BN43-2402 добавить в ФАК. Подредактировал только орфографию: "По трансформатору 4TR2: наверно не метод, а именно количество витков важно) было намотано 7 витков, померил чутьё на всех диапазонах; намотал 5 - заметно улучшилось, особенно на верхах; намотал 3 - ещё лучше. Ну и остановился на 3 витках. Это для бинокля BN43-2402. Мотал так: взял провод, согнул пополам - это средний вывод транса. Концы воткнул в биноколь, и если смотреть сверху - один конец мотал по часовой стрелке, второй против. Так 3 витка по часовой, и 3 витка против) Первичку намотал отдельно 3 витка выводы с другой стороны. В общем так получилось самое лучшее из всего)." -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5043] Автор : Radiotester Дата : 02.11.2018 08:55 UA9olb Дима, Дмитрий, диаметр провода для намотки трансформатора какой использовали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5044] Автор : ua3ycv Дата : 02.11.2018 09:07 ua3ycv, похоже Ваши полосовики не работают совсем! Где же у них срез по частоте?наверное-да- не работают-насколько помню в режиме УКВ сигнал анетены через обход на смеситель-где там полосовики -не знаю-но наверное какие то должны быть:idea: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5045] Автор : UA9olb Дима Дата : 02.11.2018 09:22 диаметр провода ПЭЛ 0.18 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5046] Автор : РУСИЧ Дата : 03.11.2018 21:34 Не стал опубликовать в теме доработки трансивера "Маламут", думаю автор не обидеться, ну для версий плат 1.1-1.2 и т. д. Доработка драйвера PA у меня сделано с малой кровью))):oops: Не судите строго, если будет критика, учту. В место дросселя ставиться 9 Вольт стабилизатор! И все))))Всем удачи 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5047] Автор : Vladomir Дата : 04.11.2018 20:22 Тема превысила 500 стр. Искать будет сложно. Подскажите, есть ли вариант этого трансивера с дисплеем увеличенного размера в раза два, примерно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5048] Автор : UA0BHC Дата : 04.11.2018 20:28 В два раза относительно чего? Подходят дисплеи 2,4` ; 2,8` ; 3,2` - это из тех, что находили на али -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5049] Автор : UA9olb Дима Дата : 04.11.2018 20:29 с дисплеем увеличенного размера в раза два, Нет .Самый большой дисплей 3.2" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5050] Автор : Vladomir Дата : 04.11.2018 20:54 UA9olb Дима, Спасибо за инфо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5051] Автор : Love777888 Дата : 04.11.2018 23:01 Всем привет. Провел лабу по перемотке смесителя приемной части трансивера, было шесть витков в бинокле поначалу. Пробовал 3, 4, 5, 6, витков. И сняв АЧХ нвт-7, пять витков оказались отличными как на вч так и на нч. Так и по закорючке на экране при подаче 0.6мкв с генератора ощущалась разница. Причем с тремя витками было плохо как и на вч так и на нч. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5052] Автор : Eugene163 Дата : 05.11.2018 07:49 А какой аппарат лучше , Маламут или M0NKA? Имею ввиду приёмники. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5053] Автор : R4ADF Дата : 05.11.2018 09:19 А какой аппарат лучше , Маламут или M0NKA? Имею ввиду приёмники. Оффтоп: Сейчас набегут любители Маламута и M0NKA и будут спорить )) От себя: Я выбирал из расчета, где больше инфы по трансиверу, где сообщество сможет больше подсказать и дать советов. Т.К, я начинающий в этом деле, заказал плату у R3DI и потихоньку собираю компоненты, попутно штудируя форум и задавая вопросы тем, кто уже собрал или собирает данный трансивер, не берусь сказать, что это правильный выбор, но мне интересно покопаться и разобраться, да и компоненты некоторые есть на работе под рукой... По моему скромному мнению, подбор деталей - это, конечно, львиная доля в сборке данного трансивера, т.к. хочется учесть все изменения и доработки людей, и ставить сразу что-то с хорошими показателями... PS: Можно ведь собрать что-то одно, для начала, а потом собрать другое и проверить самому ^_^ PPS: M0NKA постоянно делает другие исполнения трансивера, я поглядываю за его работой, интересно. Если Вы имеете ввиду версию 0.6 - то он гораздо меньше МАЛАМУТА, МОНКУ можно заказать прям комплектом, возможно даже договориться о оригинальном корпусе для него или поискать в китае, китайцы копируют и производят 0.6 версию, но вроде не шибко хорошо у них это получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5054] Автор : RK3AQW Дата : 05.11.2018 13:56 Eugene163, практически одинаковы,ухом разницы не заметите,а если приборами смотреть-так все аппараты разные,даже от одной "мамки" выпущенные),все зависит от исполнителя(паятеля) Love777888, вы тр-ры прогоните на АЧХ-метре и все вопросы отпадут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5055] Автор : Love777888 Дата : 05.11.2018 14:25 Love777888, вы тр-ры прогоните на АЧХ-метре и все вопросы отпадут. Так так и сделал, сперва чего то мучался с генератором, затем взял ачх метр и все стало ясно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5056] Автор : Алекс88 Дата : 05.11.2018 18:53 Евгений добрый день! Может я где то пропустил-не ругайте сильно... Не могли бы Вы пояснить по поводу элементов со звездочками-а именно 4R15 4R17 12R2 12C2 6C22 4C19 6R25 10R4. Хотя бы в каких пределах подбираются их номиналы? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5057] Автор : R3DI Дата : 05.11.2018 19:20 Алекс88, это все индивидуально... например про 4R17 - его вообще можно поставить 0 (перемычка) (4R18 тоже 0) и не паять всю обвязку и транзистор - и тогда ТХ будед +8V, или наоборот 4R17 не запаивать вообще но распаять всю обвязку по 4Q6 - и тогда ТХ - упр.0 (открытый коллектор). по поводу остальных, пределы такие чтобы были нужные режимы и параметры, я не занимался выравниванием АЧХ в 0.5 децибелок (4R16 4C19) мне это не интересно было, да и если бы сделал то это были бы номиналы конкретно под мой транзистор и и именно под мою "ленивую" намотку трансформатора)))). ... опять же , например 10R4 - если мс 9951 то там выв 28 AGND, а для 9952 COMP_OUT который заземлять ну совсем не стотит.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5058] Автор : sargi Дата : 06.11.2018 01:18 Я начал строительство ... возможно, мне нужна помощь: 1) Я собрал только stm32f407 + fm24cl64 2) 2), запрограммированный через st link v2, это то, что появляется после нажатия кнопки A299912 3) fm24cl64 alixpress 4) работает только кнопка A ... невозможно очистить фрейм на + c + d 5) если отключить, когда я включу белый ЖК-дисплей ... фото снова появится только после подключения st link v2 .. 6) кварц 8 mhz осциллирует только тогда, когда подключается программатор st link v2 7) sda и scl не двигаются не могли бы вы дать мне совет ..? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5059] Автор : UA0BHC Дата : 06.11.2018 02:00 Такое бывает на некоторых блоках питания в начале сборки, не происходит первичный сброс. Надо сначала включить блок питания, а только затем, после появления и установления напряжения на нём, через отдельный выключатель, подать питание на плату трансивера. После полной сборки этот эффект проявляться у меня перестал. Недавно проверил - включается и так, и так. Поэтому вы сброс не можете сделать, с+d надо удерживать при подаче питания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5060] Автор : Сармат Дата : 06.11.2018 10:30 Я начал строительство ... .... 3) fm24cl64 alixpress..... не могли бы вы дать мне совет ..? Посмотрите внимательно что написано на этой флешке...мне китайцы два раза отправили FM24C64....они между ними особой разницы не видели...подумаешь одна буква -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5061] Автор : R3DI Дата : 06.11.2018 10:36 sargi, часовой кварц установлен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5062] Автор : sargi Дата : 06.11.2018 15:01 1) рамка и отмечены fm24 CL 64... Я не знаю, если это подделка. !! 2) кварцы свариваются на нижней стороне.... кварц 8 МГц генерирует колебания только в том случае, если я подключу ПК и программист st link v2 .... Сегодня вечером я также проверю кварц 32768 кГц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5063] Автор : ut29641 Дата : 06.11.2018 15:46 проверю кварц 32768 кГц Не все кварцы работают,перепаял 5 штук пока не заработало.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5064] Автор : ur4qij Дата : 06.11.2018 18:47 Евгений, где то раз в месяц при включении питания сбиваются установленные частоты, включается самопроизвольно RIT, и расстройки случайные стоят. Включение трансивера тумблером, при включенном заранее БП. Да, и обзорный канал (красненьким подсвечен) устанавливается в 0000. И давай я крутить валкодером все заново:cry: Что может быть?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5065] Автор : R3DI Дата : 06.11.2018 19:18 Что может быть?? Без понятия.... на своем такого не наблюдал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5066] Автор : ur4qij Дата : 06.11.2018 19:20 А те данные которые у меня сбиваются хранятся в памяти проца или во внешней? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5067] Автор : RD3Q Дата : 06.11.2018 19:21 А может стоит батарейку поменять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5068] Автор : ur4qij Дата : 06.11.2018 19:23 Дата , время не сбиваются, но я проверю. Не , батарея - норм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5069] Автор : ua9xos Дата : 06.11.2018 19:37 где то раз в месяц при включении питания сбиваются установленные частоты Тоже не что похоже бывает. Но от помехи. Например в этот момент что нибудь в розетку включаю. может самопроизвольно частота перескочить или бэнды переключится. Сам трансивер питаю от аккумулятора -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5070] Автор : R3DI Дата : 06.11.2018 20:13 ua9xos, а сбиваются как , что в показаниях? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5071] Автор : ua9xos Дата : 06.11.2018 20:45 Например частота 7120кГц, может перескочить в верх на килогерц 200 или бэнд переключить с 7100 на 10100. Проявляется только в момент включения чего либо в розетку. Еще вопрос при приеме USB LSB нормально при переключении A/B в АМ режиме на вещалку идет возбуждение секунд так 5 потом нормально. Наблюдается только в АМ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5072] Автор : R3DI Дата : 06.11.2018 20:51 Установленны ли блокирующие конденсаторы на кнопки, валкодеры, энкодеры? Про АМ, тип декодера фазовый? (SAM установлен? Тогда возможно при не точной настройки в полусу ФОС попадают несущие 2 и более станций и будет такой эффект. При настройки в АМ и детекторе SAM, трансивер переходит в векторный детектор для плавности и чтобы не было свистов, при простое валкодеры переходит обратно в SAM(если выбран)...это в SX прошивке ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5073] Автор : ua9xos Дата : 06.11.2018 20:58 Установленны ли блокирующие конденсаторы на кнопки, валкодеры, энкодеры? Про АМ, тип декодера фазовый? (SAM установлен?) Кондеры стоят там и там. На счет АМ в настройках не чего не ковырял, думал проблема в чрезмерном усилении потом ару срабатывает, потому и подвозбуд пропадает. Первый Маламут собирал такого не было с АМ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5074] Автор : ur4qij Дата : 06.11.2018 21:15 Евгений, так проясните, куда пишутся данные по частотам и расстройкам (RIT). Я почему спрашиваю, (не собираюсь критиковать вашу программу), может внешнее ОЗУ у меня барахлит? Если в него пишутся те данные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5075] Автор : R3DI Дата : 06.11.2018 22:02 ur4qij, в ФРАМ все настройки и установки хранятся. Не понятно другое, даже без ФРАМ трх с дефолт ными настройками стартовал бы, во 0и откуда...црц не должно такое пропустить и загрузить структуры по дефолт у. Добавлено через 26 минут(ы): Кондеры стоят там и там. Интересно, у меня трх на кухне, с чайниками плитами и мокроволновками и тд и 70 метров RG58 по подъезду многоэтажки на крышу ... но такой эффект наблюдал только когда пробовал на 160м на 10ти метровый провод за окном на передачу алекнуть :crazy:... но там и мониторы "тухли" рядом... "наалекался" на замену драйверов (тогда еще не от 8В были) и больше такого не пробовал )))). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5076] Автор : akor Дата : 06.11.2018 22:10 У меня частота прыгает от соприкосновения любым металлическим предметом на шину земли, например пинцетом. Особенно сильно это сказывается в момент подключения ЮСБ разъёма к компу. При подключении антенны "верёвки", появляется сильный гул и искажение сигнала, спасает только развязка в виде трансформатора на бинокле 1:1. Похоже проблема с землёй, пока не разбирался с этой проблемой, некогда. П.С. : плата версии 1.31. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5077] Автор : R3DI Дата : 06.11.2018 22:13 Особенно сильно это сказывается в момент подключения ЮСБ разъёма к компу а разницу потенциалов не замеряли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5078] Автор : akor Дата : 06.11.2018 22:14 Я чайник в электронике, просто тщательно, не торопясь повторил сей аппарат) Как это померить?) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5079] Автор : EU1SW Дата : 06.11.2018 22:18 Свяжите все земли шиной -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5080] Автор : R3DI Дата : 06.11.2018 22:19 При подключении антенны "верёвки", появляется сильный гул и искажение сигнала, спасает только развязка в виде трансформатора на бинокле 1:1. у меня такое на работе QTH проявляется в мокрую погоду, замерил разницу - до 70В 50Гц прет меж землей и веревкой, резистор 1к по входу все убрал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5081] Автор : akor Дата : 06.11.2018 22:21 Резистор на землю? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5082] Автор : R3DI Дата : 06.11.2018 22:22 Как это померить?) что -разницу потенциал? Мультиметр на измерение переменного напряжения вольт на 250 и больше - и шупы- один на землю трансивера другой на землю(железку) ЮСБ компа. .... Сколько показывает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5083] Автор : akor Дата : 06.11.2018 22:31 Ничего не показывает, по нулям. Сейчас заметил что частота прыгает только с подключённой антенной на прямую, без транса. Поправка, и с трансом тоже самое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5084] Автор : R3DI Дата : 06.11.2018 22:39 akor, а между антенной и землёй трансивера? Только щупы за изоляторы держите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5085] Автор : akor Дата : 06.11.2018 22:47 Тоже по нулям. Вот так выглядет это дело: https://yadi.sk/i/iam6uUsMkKaOuQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5086] Автор : RW6MQ Дата : 06.11.2018 23:19 Отключите шлейфы от валкодера и энкодера и повторите. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5087] Автор : RA6ANR Дата : 06.11.2018 23:20 akor, а разницу смотрели не при подключенном шнурке случайно?? Ещё 5в usb, прям под гнездом,на землю 0.1мкф поставьте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5088] Автор : akor Дата : 06.11.2018 23:24 RW6MQ, Да, Вы правы, отбросил энкодер-этот эффект ушёл! А как это исправить, менять энкодер? RA6ANR, Конечно в разрыв мерил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5089] Автор : RW6MQ Дата : 06.11.2018 23:30 Поставить 4н7 - 10н на сам энкодер , прямо на выводы. Проверить шлейф и земленой провод в нем. Земля что на гнезде под энкодер должна идти только на энеодер и все! Тоже самое и про земли для валкодера и кнопок-вместе на передней панеле их не соединять. Если провода длинные - пропустить их через феритовое пкольцо (неск витков). успехов! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5090] Автор : akor Дата : 06.11.2018 23:34 RW6MQ, Спасибо большое! Осталась проблема при подключенной антенне "верёвка" на прямую, и подключенном ЮСБ к компу, присутствует гул. При подключенной антенне через транс-всё нормально. Для наглядности: https://yadi.sk/i/1nrn4lUmQGm_Xw Нужно наверное всё-таки пропаять всю землю шиной как советовали выше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5091] Автор : sargi Дата : 06.11.2018 23:35 Я заменил кварц с 32,768 кГц, ... теперь кнопки, а также работа кодера299977 спасибо всем за советы -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5092] Автор : R3DI Дата : 06.11.2018 23:50 akor, а между "веревкой" и землей компа "не кусается" ? (Какой потенциал) И еще , это так по всем диапазонам? У меня например на работе с веревкой из окна 40ка еще нормально, 80 только с гальванической развязкой слышна, ну а ниже ничего не помогает , вся импульсная ерунда со всей округи лезет ( и там не 50Гц, там такая "красота" от светодиодников и частотников шпарит....) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5093] Автор : akor Дата : 06.11.2018 23:54 Нет, всё в порядке, не кусается. Может быть это как-то связанно с тем, что конец полотна "верёвки" весит на дереве? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5094] Автор : R3DI Дата : 07.11.2018 00:00 "верёвки" весит на дереве? да запросто.. уже писал тут на форуме, несколько лет все хорошо было, потом раз! и в мокрую погоду фон 50Гц... мерю осцилом 70Vrms (провод все на том же месте) , причем стоит просто рукой его взять и тишина ни гула ни показаний на осциле, - просто повесил 1к прям на антенный разьем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5095] Автор : akor Дата : 07.11.2018 00:05 R3DI, Понял, спасибо! Завтра буду фиксить что мне посоветовали, отпишусь о результатах. Всем большое спасибо за дельные советы! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5096] Автор : UA0BHC Дата : 07.11.2018 01:24 при подключенной антенне "верёвка" на прямую, и подключенном ЮСБ к компу, присутствует гул У меня тоже при подключенном одном центральном штырке 50 Гц, землю зацепляю и становится всё хорошо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5097] Автор : EU1SW Дата : 07.11.2018 01:29 Под богом ходите (с) а так и маламут можно весь в мусорное ведро отправить. От веревки можно и пару сантиметров дугу увидеть на батарею отопления при метели, или пыльной погоде ( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5098] Автор : UA0BHC Дата : 07.11.2018 02:53 Не верёвка, а инвертед. Кстати, можно просто коснуться центрального вывода антенны Маламута, и 50 Гц слышно. Если включить режим байпас в ДПФ, оно могло пропасть. RW6MQ в одном из видео рекомендовал ставить резистор 1-2 кОм на землю, теперь понятно почему, но эффект интересный, это же не УНЧ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5099] Автор : RA6ANR Дата : 07.11.2018 06:37 UA0BHC, там даже 1Мом на антенном гнезде решает проблему.почему то PE-шки начинают чудить,когда входы-выходы в воздухе -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5100] Автор : R3DI Дата : 07.11.2018 13:25 Пардон, не помню с кем за режим трансвертера говорили (почту очистил) - ввел раздельную регулировку ПЧ кГц/Гц, и в этом режиме активируется ДПФ 10м диапазона. Прошивка SX. На ядиске сделал папку "Прошивки" и переместил их туда ( 4.9 / SX и текстовый файл с дополнениями (те что помнил) ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5101] Автор : ua9ylu Дата : 07.11.2018 13:55 Евгений со мной общались по этому поводу, скачал прошивку, вечером попробую. По крайней мере можно будет в трансвертерном режиме и на передачу пользоваться, жаль конечно что выше диапазонов не предвидится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5102] Автор : R3DI Дата : 07.11.2018 14:22 выше диапазонов не предвидится. напомните пожалуйста выше 1260 это какие ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5103] Автор : UA9olb Дима Дата : 07.11.2018 14:32 выше 1260 это какие ? Евгений сделайте пожалуйста ещё один диапазон по примеру 1296 диапазон 5760 очень актуально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5104] Автор : ua9ylu Дата : 07.11.2018 14:33 5760 в 28 и 10368 в 28, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5105] Автор : R3DI Дата : 07.11.2018 14:35 сделайте пожалуйста ещё один диапазон по примеру 1296 диапазон 5760 очень актуально. это приведет к сбросу всех настроек кто решит обновится..... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5106] Автор : UA9olb Дима Дата : 07.11.2018 14:48 кто решит обновится..... Я без проблем) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5107] Автор : ua9ylu Дата : 07.11.2018 15:42 Я без проблем) уже обновил, разобрался с логикой нововведения. На примере 1260, сделал шаг валкода 1000, догнал частоту до 1296, вернулся в трансвертер и вернул пч 28000. Все практично, можно сделать поправку на неточную подставку трансвертера, огромное спасибо Евгений -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5108] Автор : DerBear Дата : 07.11.2018 16:09 Евгений, а ПЧ только 28МГц? Полоса то у нас на приём/передачу широкая. Может быть, с учётом имеющихся кварцев можно сделать широкие ПЧ? Скажем, 14 или 40МГц. Для ДЦВ/СВЧ, ИМХО удобнее делать высокие частоты ПЧ, что бы зеркало было подальше. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5109] Автор : tvv1958 Дата : 07.11.2018 16:22 Хочу привлечь внимание общественности к очень неприятной ситуации в "Маламуте", а именно: при работе на диапазоне 21 МГц. используется ДПФ 20-33 Мгц. С ним вместе включается ФНЧ передачи с частотой среза 32 и более МГц. (у кого как), что не есть хорошо. Плохое подавление высших гармоник. Предлагаю уговорить Евгения внести изменение в прошивку, дабы при работе на 21 МГц использовался ДПФ 14-22 МГц., тогда и ФНЧ передатчика включится необходимый с частотой среза 23-25 МГц. И будет все по феншую!!! Спасибо! P.S. У меня прошивкв 4.9 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5110] Автор : ua9ylu Дата : 07.11.2018 16:26 Евгений наверно сам ответит, покрутил меню немного , думаю можно, по крайней мере 40 мгц попробовал , выставляется, другое дело что за 30 все обрежут дпф+фнч. А так скажем можно и 30 и 32 сделать или 32521:-P, то есть можно скорректировать какую то погрешность кварца -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5111] Автор : R3DI Дата : 07.11.2018 16:36 тогда и ФНЧ передатчика включится необходимый с частотой среза 23-25 МГц. у меня нет такого ФНЧ физически, у меня 14 следующий 28 - о какой прошивке может идти речь ? Скажем, 14 тогда при этом и фильтра нужно корректировать,а пока просто 10ка активируется.... пока не мгу этим заняться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5112] Автор : tvv1958 Дата : 07.11.2018 16:42 Классический расклад ФНЧ передатчика (транзисторного): 1.8 МГц. 3.5 МГц. 7.0 МГц. 10, 14 МГц. 18, 21 МГц. 24, 28 Мгц. и это правильно. А в Маламуте получается, что: ... 10, 14 МГц. 18 МГц. и 21, 24, 28 МГц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5113] Автор : R3DI Дата : 07.11.2018 16:48 А в Маламуте получается ... как в FT817-ом. расчетная на 10ку(ФНЧ) под -40дБ при (42мГц )... ну пусть даже -30..-25 в реале получится... но и РА то тоже по ИМД -10 вгонять не нужно.... совсем не понимаю причем тут прошивка и расклад если у меня схема друга, с индивидуальными проектами трансиверов это не ко мне -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5114] Автор : tvv1958 Дата : 07.11.2018 17:09 При использовании ФНЧ 5 порядка с частотой среза 31-32 МГц подавление второй гармоники 21 МГц. всего 10-12 дБ., а о второй гармоники от 18 МГц. и говорить не приходится, лезет вся в эфир. Есть повод для волнения. Надеюсь я прав. Раскладку ФНЧ по диапазонам надо править. Спасибо. Поделитесь исходником версии 4.9, я напрягу местного кулибина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5115] Автор : R3DI Дата : 07.11.2018 17:58 подавление второй гармоники 21 МГц. всего 10-12 дБ., это тут? 299997 Добавлено через 5 минут(ы): надо править. Мне кажется что Вы немного не понимаете смысла этой темы - у меня нет задачи снабдить радиолюбителей трансиверами , я сделал для себя радио которое мне нужно было на тот момент и поделился чем мог, и довольно многие этим воспользовались. Если не нравиться - делайте свое радио или какое-либо другое, в своем уже менять ничего не буду. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5116] Автор : tvv1958 Дата : 07.11.2018 18:03 Речь не об этом, а об управлении фнч внешнего УМ. Мой маламут вообще без ФНЧ (Очень линейные 5 ватт). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5117] Автор : UA9olb Дима Дата : 07.11.2018 21:03 Мой маламут вообще без ФНЧ Так и коммутируйте как Вам надо в своём уме. Что то не вижу проблемы) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5118] Автор : Дэн Дата : 08.11.2018 04:23 Речь не об этом, а об управлении фнч внешнего УМ Возьмите управление от приёмных ДПФ. Там 8 сигналов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5119] Автор : akor Дата : 08.11.2018 11:19 В общем развязал я земли дисплея, энкодера, кнопок, поставил блокировочные конденсатор. Не помогло, частота так-же прыгает при прикосновении с разъёмом ЮСБ компа. Думаю что проблема с заземлением сети моего дома ибо с ноутом, работующим от аккумов, такой проблемы нет. Как только я подключаю зарядник к ноуту, частота опять прыгает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5120] Автор : DerBear Дата : 08.11.2018 11:22 Хочу привлечь внимание общественности к очень неприятной ситуации в "Маламуте", а именно: при работе на диапазоне 21 МГц. используется ДПФ 20-33 Мгц. С ним вместе включается ФНЧ передачи с частотой среза 32 и более МГц. (у кого как), что не есть хорошо. Плохое подавление высших гармоник. Предлагаю уговорить Евгения внести изменение в прошивку, дабы при работе на 21 МГц использовался ДПФ 14-22 МГц., тогда и ФНЧ передатчика включится необходимый с частотой среза 23-25 МГц. И будет все по феншую!!! Спасибо! P.S. У меня прошивкв 4.9 Можно попробовать сделать объединение сигналов управления с диапазона 14МГц и 21МГц "логическим И" на железке, и отвязаться от 10-ого ДПФ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5121] Автор : Romagrs Дата : 08.11.2018 13:08 Всем доброго дня! Собрал маламут но настройкой пока ещё не занимался, некогда всё никак. Ранее слушал через байпас, тут пришли дроссели на дпф, впаял, ачх пока ещё не смотрел, насторожило одно - при работе через байпас нет фона, при работе через дпф фон есть! У кого такое было, что может быть, и как с этим бороться? видео прлагаю - https://www.youtube.com/watch?v=gcm366GEUoI -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5122] Автор : UA9olb Дима Дата : 08.11.2018 13:33 при работе через дпф фон есть! У Вас скорее всего и там и там фон есть,в обходе он просто маскируется возросшим шумом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5123] Автор : Romagrs Дата : 08.11.2018 13:53 Нет, фон только через дпф, там даже полоска жирной становится, словно наводка, так же если убрать антенну то норма всё и фона нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5124] Автор : ua9ylu Дата : 08.11.2018 13:54 на антенный вход резистор 1...2 ком попробуйте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5125] Автор : R3DI Дата : 08.11.2018 13:59 что может быть На видео и в описании ну совсем не понятно - собрал-фонит - что за условия , какой источник питания, какая антенна , фидер , где расположена , какая ЭМ обстановка ... и тд? ( а то может 1метр в антенном гнезде в комнате где зарядки для телефонов и светодиодный светильник) ... Есть с чем сравнить (другое радио) ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5126] Автор : Romagrs Дата : 08.11.2018 14:07 Антенна верёвка 20 метров, пока временно с балкона кинул, на изоляторах, заземление от арматуры балкона, пробовал померить мультимером по отношению к земле, ничего нет, на детекторный приёмник, фона переменки нет, блок питания импульсный 2 ампера после стоит фильтр и далее маламут, через байпас фона нет вообще, самое интересное даже слабые станции на 40-ке отлично и чистенько слишно, сейчас другое видео подтяну. https://www.youtube.com/watch?v=1y2JAfy0S-E&t=20s работа через байпас -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5127] Автор : R3DI Дата : 08.11.2018 14:14 Romagrs, а AGC почему отключено ?- перегруз явный идет А по фону - так трудно сказать , можт ПЕшки-НеПЕшки, может и еще что, для начала попробуйте то что посоветовали -1к на разъем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5128] Автор : ua9ylu Дата : 08.11.2018 14:17 кстати у вас выключен бланкер, и помеха скорее не фон а именно импульсная помеха, попробуйте включить подавитель -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5129] Автор : Romagrs Дата : 08.11.2018 14:36 можт ПЕшки-НеПЕшки, вот тут может быть, антенну цепляю до ПЕшки прямо на дпф минуя ФНЧ то всё норма! Сегодня буду пробовать выпаивать все ПЕшки что на дпф и пробовать по одной цеплять, просто руки никак не доходили. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5130] Автор : UA0BHC Дата : 08.11.2018 15:12 Romagrs, вы попробуйте пару страниц назад отмотать, и почитать что написано. Нужно на антенный разъём повесить резистор 1-10 кОм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5131] Автор : Romagrs Дата : 08.11.2018 15:28 Аппарат понравился, с точки зрения пайки очень лёгок к повторению, микросхему усилителя низкой частоты PAM, сдул с модуля, валкодер валялся среди мусора (на валу двигателя оптической системы) подошёл как родной, енкодер с автомагнитол валялось тьма, на выбор, потому проблем так же не было, кодеки приобрёл по ссылке детали для маламут, пришли 4 от разных продавцов, все 4 рабочие ( по крайней мере на приём ) так как потом нашёл какую то внешнюю звуковую карту (какой то диджей отдал так как драйвера на винду 10 не было) и сдул два кодека оттуда, по звучанию разницы не заметил, процессор заказал на али, продавались парой, дисплей был 2.8 дюйма, его и применил. остальное в основном с компьютерных ноутбучных плат, за исключением колец ФНЧ и дросселей дпф. В целом схемой доволен, осталось добить недостаток с фоном и собрать выход на передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5132] Автор : ub1amz Дата : 08.11.2018 19:05 Romagrs, вы резистор запаяли, который вам советовали раз 10? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5133] Автор : Алекс88 Дата : 09.11.2018 00:14 Евгений здравствуйте! подскажите пожалуйста : можно ли для AD9954 использовать клок генератор с частотой 100 мегагерц и если да то какой множитель устанавливать в меню? Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5134] Автор : Romagrs Дата : 09.11.2018 08:11 Сопротивление ставил, результата нет, оно вообщем то и логично, вчера времени выпаять ПЕшки не было, когда время появляется тогда и эксперементирую. Подозрение всё же на одну из PE. Автору за за данную модель огромное спасибо! Кстати прошивку sx кто нибудь пробовал заливать? Я вчера залил, потом перезалил обратно 4.9 так как при sx на экране заставка маламут и снизу экрана строчка адресные состояния памяти или что то в этом роде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5135] Автор : RD3Q Дата : 09.11.2018 08:16 Romagrs, так эта прошивка для этого и предназначена! Читать форум надо по больше!!!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5136] Автор : Love777888 Дата : 09.11.2018 12:19 Всем добрый! Такой вопрос, как то у одного радиолюбителя видел трансивер на red pitaya что ли, но не в этом дело. У него питание выходного каскада +24вольта, сказал что подобрал блок питания который не фонит от какого-то ноутбука. Также и по пяти вольтам стоит блочек какой то. Может есть у кого практика по такому питанию трансивера. Было бы неплохо иметь одну сетевую вилку. А в полях вывод под 12 вольт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5137] Автор : RD3Q Дата : 09.11.2018 15:08 Love777888, есть преобразователь 12-24 вольта у китайцев или сам сделай, подавай на выходной каскад! Зачем "лепить горбатого"??? :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5138] Автор : UA0BHC Дата : 09.11.2018 15:29 Сопротивление ставил, результата нет, Попробуй антенну нормальную подключить, если верёвку, то с балуном. 50 Ом чтобы волновое сопротивление было. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5139] Автор : Love777888 Дата : 09.11.2018 17:01 RD3Q, Игорь и Вам добрый, есть у меня линейные блоки питания, но они большие! А вот там компактность вилка в сеть, а по габаритам у коллеги как мой корпус трансивера. DC-DC UP есть у меня. Но тогда точно 10ампер нужен блок питания на 13.8. А так габариты меньше и все внутри. Но это тема битая как мир, простых решений бесшумных БП нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5140] Автор : UT3QI Дата : 09.11.2018 17:43 Всем здравствуйте! Хочу поделиться результатами проделанной лабораторной работы над ДПФ этого замечательного трансивера :-P. Результат применения колец К7х4х2 30ВЧ и 50ВЧ меня по крайней мере порадовал! Что получилось и точки подключения прибора OSA103 mini видно на фото. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5141] Автор : UA9olb Дима Дата : 09.11.2018 17:57 работы над ДПФ Класс круто получилось.Кольца как сборище тараканов:crazy: У меня примерно также получилось чуть хуже) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5142] Автор : RK4CI Дата : 09.11.2018 18:42 Что получилось и точки подключения прибора OSA103 mini видно на фото.А вы попробуйте посмотреть на динамику получившихся фильтров. Просто подайте на них двух тональный сигнал с той же Осы, и посмотрите что получилось на выходе. Ведь даже 10 мм колечки, для ДПФ, считаются маленькими, а тут семи. Может и в самом деле, за счёт малой нагруженной добротности всё будет не так уж и плохо. Вот тогда, в самом деле будет чем хвастаться.. А так, малые потери, при довольно широкой полосе, не такое уж большое достижение. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5143] Автор : UT3QI Дата : 09.11.2018 19:34 RK4CI, Добрый вечер! Мне изначально не понравилось большое затухание в фильтрах, захотел что-то изменить имеющимися средствами, меня результат устраивает. Не знаю, правильно ли всё сделал (Оса у меня не давно), но вот, что получилось, вход Осы подключен через аттенюатор на 15дБ (других нету). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5144] Автор : EU1SW Дата : 09.11.2018 19:43 А аттенюатор с какой целью? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5145] Автор : UT3QI Дата : 09.11.2018 19:55 EU1SW, Добрый вечер! Подать на вход фильтра больший уровень (не нужно?). Вот без аттенюатора (при большем уровне перегружается вход Осы). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5146] Автор : RK9CB Дата : 09.11.2018 20:06 Во время работы Маламута на прием оборвался диполь и повис на биметалле проводного вещания, ведущего в дом. Трансивер зачирикал местной радиостанцией, пока я сообразил в чем дело, прошло с полминуты, но, к сожалению, и этого хватило. Сейчас прием есть, но заметно слабее, особенно на 80-ке, на которой стоял во время обрыва антенны. Может кто поможет советом по поиску неисправности? Внешних повреждений на корпусах микросхем и транзисторов не выявил... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5147] Автор : EU1SW Дата : 09.11.2018 20:12 EU1SW, Добрый вечер! Добрый вечер! мне казалось оса должна быть способна саму себя воспринимать без перегрузки но, это офтоп, продолжать не будем -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5148] Автор : UT3QI Дата : 09.11.2018 20:19 :offtop: EU1SW,может я что-то не правильно делаю :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5149] Автор : R3DI Дата : 09.11.2018 20:52 RK9CB, проверяйте для начала ПЕшки, хотя бы просто прозвонить их. Да и просто прохождение сигнала от гнезда до смесителей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5150] Автор : RK4CI Дата : 09.11.2018 21:57 вот, что получилось, вход Осы подключен через аттенюатор на 15дБДа собственно пока ничего не получилось. А аттенюатор, можно подключить и после фильтров, если не хотите перегружать вход. Но до нуля графика, там ещё ох как далеко. Подайте на вход фильтров с пол вольта, а на выходе, аттенюатор, что бы исключить перегруз. Вот без аттенюатора (при большем уровне перегружается вход Осы) А вы уверены, что перегружается именно вход Осы, а не сами фильтра? И какие то нестыковки в показаниях прибора. внизу, в окне генератора, 366 мВ. На самой панораме, около 7 мВ. Что то не помню, реальна ли подобная разница. Я помнится проверял выход ГСС, с помощью Осы. Несовпадения в десяток процентов. И то неизвестно, что врёт... В общем то, ДПФ это блок, присутствующий практически в любом трансивере. Поэтому, и результаты применения подобных колечек в этом блоке, будут интересны очень многим. Поэтому и интересуют реальные цифры измерений... Мне изначально не понравилось большое затухание в фильтрах, захотел что-то изменить имеющимися средствами, меня результат устраивает. Так у фильтров существует не только параметр затухания, есть ещё и вопросы динамики. И если у вас при 7 мВ начинается перегруз именно фильтров, то их работа при подключении полноценной антенны, вам может совсем не понравится. Ведь на входе, будут присутствовать десятки вещательных станций, с уровнями измеряемыми десятками мВ. И забивать полезный сигнал будет не какая то одна станция, а мощность всего, что попало в полосу пропускания фильтра, а на первые колечки, будет "давить" чуть ли не весь КВ диапазон... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5151] Автор : Дэн Дата : 10.11.2018 04:40 Можно попробовать,для сравнения,один из ДПФ намотать на колечках,напиленных из авторучек или фломастеров. В COIL32 для расчёта витков ввести проницаемость 1.5. Если понравится,но места мало-в 2 этажа разместить ДПФы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5152] Автор : Radiotester Дата : 10.11.2018 11:58 UT3QI, Доброго дня, а сквозняком АЧХ каждого фильтра от 1мгц до 100мгц смотрели? Как там есть пролазы какие либо через соседние. Больно они уж еслеплены рядом с друг дружкой. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5153] Автор : UT3QI Дата : 10.11.2018 13:41 Всем здравствуйте! Ответил в этой теме (http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1580614&viewfull=1#post1580614), чтобы не захламлять эту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5154] Автор : SVd2004 Дата : 10.11.2018 14:00 Класс круто получилось.Кольца как сборище тараканов Неплохо бы ещё обращать внимание на это -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5155] Автор : Love777888 Дата : 10.11.2018 16:58 Приветствую! Вот доделываю свой трансивер, много ощущений делюсь с вами https://youtu.be/rDR66tD2Ymg -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5156] Автор : ua9ylu Дата : 11.11.2018 15:51 Добрый вечер.Вроде такой вопрос тут не поднимали. Начал давить на передачу зеркалку , использую для этого анализатор(оса 103),все задавилось но на вч бендах обнаружился видимо сигнал гетеродина, находится между основным и зеркальным каналом. Он ниже основного сигнала примерно на 30 дб, это нормально или опять борьба? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5157] Автор : R3DI Дата : 11.11.2018 16:51 ua9ylu, попробуете смещение на ФСТ трансмиттера подобрать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5158] Автор : RD3Q Дата : 11.11.2018 21:48 Всем доброго вечера! Провели с товарищем лаб.работу по измерению чувствительности с трансформатором смесителя на колечке 6х3х2.5 600 НН и бинокле амидон BN 43-2402 результат в таблице, показания по S-метру трансивера. Результат на лицо! :cry: кольцо 3 витка 7 витков 3,710 +18 +8 +10 7,100 +18 +10 +12 10,125 +10 +2 +5 14,228 +8 +2 +4 18,118 +5 8 балл 9 балл 21,280 +13 +5 +8 24,962 +7 9 балл +2 28,500 +2 8 балл 8 балл -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5159] Автор : R3DI Дата : 11.11.2018 22:06 Игорь, вечер добрый! Что то большие цифры 25..50 мкВ, может Sметр не калиброван или ещё где теряется? У меня без УВЧ порядка 1мкВ получалось, да и не только у меня, в теме неоднократно эта цифра озвучивалась -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5160] Автор : EU1SW Дата : 11.11.2018 22:12 Результат на лицо! Что это за лицо??? и что за результат? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5161] Автор : RD3Q Дата : 11.11.2018 22:18 EU1SW, лицо - это дисплей трансивера и показания С-метра, результат - на кольце чувствительность выше! Женя на вход трансивера подавался сигнал с MFJ-259 через делитель, нет у друга генератора, вот по этому и такой большой сигнал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5162] Автор : R3DI Дата : 11.11.2018 22:30 Игорь, шум эфира с моей антенны в диапазоне до 30м порядка 6..7 баллов сейчас, такой трансивер и не заметил бы подключения моей антенны.... На лицо - либо что-то не настроенно или не поверенно, нужно разбираться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5163] Автор : RD3Q Дата : 11.11.2018 22:34 Так точно, не известно какой уровень сигнала идет с MFJ, но уровень сигнала по частоте на разных сердечниках получился разный! Из этого получается с биноклем чувствительность упала! Хотя может надо было мотать первичку 6 витков, а вторичку 2х3 витка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5164] Автор : EU1SW Дата : 11.11.2018 22:38 нужно разбираться. с экспериментаторами любителями ( Это не "измерения чувствительности", и цифры не есть значения чувствительности ) Опыт показывает что от некорректных "измерений" больше вреда чем пользы, флуда на пару страниц, и еще нужно разбираться "что там намеряли " -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5165] Автор : RD3Q Дата : 11.11.2018 22:52 EU1SW, а Ваши сообщения больше смахивают на то , чтоб засветиться на форумах! Практической пользы НОЛЬ! Одно пустословие! Ни кто и не собирался измерять чувствительность, были показаны результаты применения трансформатора на кольце и бинокле! Как еще Вам объяснить я не знаю! Так что не флудите коллега! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5166] Автор : R3DI Дата : 11.11.2018 23:57 Игорь, тут с Вами не соглашусь, мы с Сергеем общаемся довольно много, и волей не волей и за сообщениями на форуме следим, так вот ну никак не могу сказать что от Сергея вообще есть "нулевые" сообщения. И тут я с ним согласен - Вы написали о результатах измерения чувствительности и фигурируют цифры за 8..9.. баллов - подобное сообщение действительно может развести флуда на многие страницы... И никто даже разбираться не будут (и не увидят) что и как меряли, просто увидят "... чувствительность...9 баллов.." - и понесется... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5167] Автор : RK4CI Дата : 12.11.2018 00:41 Из этого получается с биноклем чувствительность упала!Из этого получается, что вы пытаетесь сравнивать вкус кошечек, совершенно не умея их готовить. Потерять 10 дБ, на одном трансформаторе, это как же постараться надо. Да и разброс по частотам, с +10, и до 8 баллов. Что вообще вы измеряли, и как. Что за трансформаторы понамотали. На какие сопротивления. Трансформаторики на биноклях, работают то совсем не плохо. Просто надо понимать, что именно, и для чего ты мотаешь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5168] Автор : RD3Q Дата : 12.11.2018 06:54 R3DI, Женя , я пытаюсь объяснить, не было задачи измерения чувствительности, было сравнение работы трансформаторов на кольце и бинокле! Всё! EU1SW, Сергей я прошу прощения, перепутал позывные. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5169] Автор : Romagrs Дата : 12.11.2018 08:22 Всем доброго дня! Вообщем разобрался с фоном, было следующее: 1) Подкорачивала одна PEшка (на 40-вке в сторону фнч) 2) был с утечкой транзюк, который стоял в ключе на 14 мегагерц фнч Заменил и всё стало на свои места, работает как нужно, осталось передающую часть запаять (всё что после дпф) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5170] Автор : Love777888 Дата : 12.11.2018 13:17 Здравствуйте, мотал на бинокле, мерял нвт-7 ачх на выходе вторичных обмоток, бинокль не плох, колец нет для сравнения. Скажу так три витка завал на 30мгц около 2.5дБ, пять витков оптимально, получил около 1.2дБ на 30мгц и почти в ноль «низ» и «середину» в ачх. Сейчас верю только приборам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5171] Автор : RD3Q Дата : 12.11.2018 13:27 Love777888, правильно, приборам надо верить! Особенно поверенным в метрологической лаборатории! Ваш НВТ чем калибровали если не секрет? И куда подключали вход и выход НВТ при проверке трансформатора? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5172] Автор : UA9olb Дима Дата : 12.11.2018 14:23 три витка завал на 30мгц около 2.5дБ, пять витков оптимально, получил около 1.2дБ на 30мгц и почти в ноль «низ» и «середину» в ачх. Приветствую всех! А можно картинку АЧХ. Хотя бы последнюю при 5 витках. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5173] Автор : Love777888 Дата : 12.11.2018 15:50 Приветствую. Ачх метр, мой так же калиброван как и многие другие у радиолюбителей в соседней профильной ветке можете посмотреть. Дает при отл щупах шум -90дб. При перемычке с мат коррекцией работает и показует ровную линию. Скажем так те метрологи сказали что прибор рабочий можно юзать. Что и было сделано. Подключал выход прибора к первичке, со вторички ср. точка и вехн или нижняя обмотки снимал ачх. Как ни старался фото не смог загрузить на сайт. Вот ссылка https://ru.files.fm/u/9uyuqqkw -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5174] Автор : RD3Q Дата : 12.11.2018 19:05 Love777888, Олег я спросил при подаче на НВТ сигнала 0,5 мкВ или 1 мкВ с генератора ВЧ какие показания были? По трансформатору - Вы прогнали его на сопротивлении 50 Ом, как сопротивление НВТ, а какое сопротивление в трансивере на месте его установки? Правильней наверно,было бы на установленный в трансивер трансформатор подать с НВТ и снимать с другой стороны транса! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5175] Автор : Love777888 Дата : 12.11.2018 22:51 Игорь здравствуйте. То что вы написали верно. Но увы выс. омной головы к нвт у меня нет. Но есть генератор позволяющий подавать те самые величины которые вы написали. И как я описывал сперва мучался с г4-102, прогоняя каждый бенд и ловя пиксели на экране от «риски» генератора, при 0.5, 0.6 мкв. Затем все что делалось на генераторе, я не поленился и промотал витки на нвт. И все сошлось с тремя витками на дисплее я риски не наблюдал. Сделал пять и решил остановиться т.к «замотался» на тот момент витки мотать. Сейчас скоро придут NLV-32 серии индуктивности для недостающих дпф по схеме лестничных фильтров. Тогда намотаю в смесителе 6-6+6 ради эксперимента. Отпишусь что да как. Ещё не понял вопроса подачи 0.5 мкв на нвт с генератора? Поясните. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5176] Автор : RD3Q Дата : 13.11.2018 06:35 Love777888, Олег привет! Наверно в л/с надо переходить т.к. вопросы по НВТ здесь не уместны. ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5177] Автор : inter02 Дата : 13.11.2018 09:41 имхо, конечно, но если вопросы по нвт , связаны с всякими измерениями в маламуте, то тут они весьма уместны. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5178] Автор : rudolf0351 Дата : 15.11.2018 12:42 Не подскажете чем можно заменить PE4259, кто-то подсказывал, но не могу найти сообщение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5179] Автор : RK3AQW Дата : 15.11.2018 13:21 rudolf0351, SN74LVC1G3157DCKR СОТ-363 ,но придется с коммутацией повозиться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5180] Автор : ut29641 Дата : 15.11.2018 13:54 заменить PE4259 PE4283.У меня такие стоят.Брал тут https://ru.aliexpress.com/item/10PCS-LOT-283-PE4283-52-PE4283-SOT363/32733757130.html?spm=2114.13010708.0.0.3a9933edXZLuft -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5181] Автор : autosat Дата : 15.11.2018 14:44 PE4283-52 (https://www.chipdip.ru/product1/8000036073) есть в "Чип и Дип", но они сняты с производства и имеют почти в два раза большие потери чем PE4259 (http://got.by/2w5gf8). PE4283-52 (https://www.chipdip.ru/product1/8000036073): 300488 PE4259: (http://got.by/2w5gf8) 300489 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5182] Автор : Сармат Дата : 15.11.2018 16:52 PE4283-52 (https://www.chipdip.ru/product1/8000036073) есть в "Чип и Дип", но они сняты с производства и имеют почти в два раза большие потери чем PE4259 (http://got.by/2w5gf8). В Чипе 200 шт оптом,и на заказ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5183] Автор : ghjafy Дата : 16.11.2018 11:46 Добрый день,если не трудно подскажите чертеж боковых отверстий,где разъемы тангенты,наушников и т.д -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5184] Автор : RK3AQW Дата : 16.11.2018 11:55 ghjafy, в начале полистайте,где-то выкладывали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5185] Автор : akor Дата : 16.11.2018 11:59 Да, где-то ближе к середине этого топика есть размеры. Я себе сохранил чертёжик. 300546 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5186] Автор : ghjafy Дата : 16.11.2018 13:04 спасибо,что надо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5187] Автор : R3DI Дата : 16.11.2018 13:49 akor, уточните для каких аудиоразъемов у Вас размер 9,5мм У меня /ST-029/ (DTJ-0366D)/ PJ-317, этот размер - 4,5мм , И тот размер что у Вас 8мм , у меня 9мм ( это не точно..но до 8 подточить можно,а наоборот нет )) ) Даю размеры из файла. 300549 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5188] Автор : akor Дата : 16.11.2018 14:13 R3DI, Во первых это не мой чертёж, а с той странице этого топика, о которой говорилось выше. Я сохранил его себе что-бы потом не искать.) Во вторых я ещё не начинал пилить корпус ибо всё ещё ни как не могу запустить ВЧ бэнды, собирать пока нет смысла. У меня аудио-разъёмы именно такие как у Вас на чертеже. Покупал на али, назывались они "аудио разъем PCB 5Pin 3F07". Размеры совпадают с размерами из Вашего чертежа. Так-что, я пока не в теме, извините) 300550 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5189] Автор : R3DI Дата : 16.11.2018 14:22 Во первых это не мой чертёж Та я ж и не утверждаю что Ваш ))) (наоборот - спасибо что нашли!) , но он Вам видимо подошел, потому и попросил указать тип того что поставили. Размеры совпадают с размерами из Вашего чертежа. У них посадочные совпадают, а вот высота разные. Будьте внимательнее - уже были случаи.. вот 300551 и вот 300552 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5190] Автор : akor Дата : 16.11.2018 14:30 Да, посадочные совпадают, высота в моих 6 мм. Не понимаю в чём проблема, для меня важно знать точные осевые расстояния и расстояния относительно корпуса, а остальные размеры уже индивидуальные, можно померить по месту. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5191] Автор : R3DI Дата : 16.11.2018 14:45 Проблемы нет, просто для тех кто будет сверлить корпус чтобы уточнили - какие именно разъемы у них в наличии - потому как например PJ-317 и PJ-307 имеют одинаковые посадочные места на плате но разную высоту, испортить корпус можно просто... вот пример - с разными высотами http://www.cqham.ru/forum/attachment.php?attachmentid=300546&d=1506800456&thumb=1 (http://www.cqham.ru/forum/attachment.php?attachmentid=300546&d=1542358771) и вот 300554 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5192] Автор : akor Дата : 16.11.2018 14:55 R3DI, Согласен. Я пока не подходил к этому делу в плотную, поэтому в такие нюансы не вникал). Единственное что я сделал, это распечатал монтажку в оригинальном размере и положил в корпус для разметки разъёмов) В общем предстоит нелёгкая для меня задача-сделать аккуратно, без колхоза. Слесарка не мой конёк.:-( 300555 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5193] Автор : Сергей718 Дата : 16.11.2018 15:18 Здравствуйте. Подскажите где проблема очень медленно обновляется информация на дисплее. Плата промыта! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5194] Автор : rv3bj Дата : 16.11.2018 15:24 Ищите проблему в кварце на 8 мгц. У вас процессор работает от внутреннего RC генератора, ктр. имеет частоту 1 мгц. В этих процессорах начальный запуск всегда происходит от внутреннего генератора и при исправности цепей внешнего кварца происходит переключение на работу от кварца. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5195] Автор : Сергей718 Дата : 16.11.2018 16:12 Спасибо все получилось проблема была в сопротивлении вместо 220 Ом было 54 кОм!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5196] Автор : ua9ylu Дата : 18.11.2018 15:10 Возможно ли как то отключить "вокс" в телеграфе? Есть необходимость управлять переходом маламута на передачу от внешнего источника, скажем от педали, в телефоне так понимаю это возможно при замыкании РРТ Т на землю, как бы такое ж изголиться в телеграфе? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5197] Автор : ur4qij Дата : 19.11.2018 11:19 R3DI, Евгений, а опишите пожалуйста настройки DNR, там 4 пункта, что за что отвечает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5198] Автор : RX4HX Дата : 20.11.2018 20:42 День добрый! Пишу по просьбе своего старого знакомого, сейчас пенсионера: человек всю жизнь проработал на UW3DI-1. Работает исключительно CW. Сейчас хочет возобновить свою работу в радио. Понятно у пенсионера денег мало. Вот хочет купить что-то максимально современное. По цене выбор пал на Маламут - сейчас их практически как заводского изготовления можно приобрести. Вопросы: 1. Как он при работе в CW? Есть ли хотя бы приблизительные данные по динамическому диапазону. 2. CAT - есть? Я думаю есть, но на всякий случай спрошу))) 3. В дальнейшем хочет освоить цифру - как он в цифре? Прошу прощения - но более подходящей темы для этого не нашел. Ответы можно в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5199] Автор : AndreySWL Дата : 22.11.2018 07:47 По поводу CAT есть конечно, подключается к компьютеру одним USB шнуром, никаких интерфейсов не надо. В цифре работает великолепно, практически каждый день работаю в цифре, провел почти 1000 QSO цифровыми модами, нареканий нет. По первому пункту ничего не могу сказать, не работаю в CW -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5200] Автор : Дэн Дата : 22.11.2018 09:17 Ну тлг и ДД уж получше, чем в UW3DI будут.:smile: Фильтры любой прямоугольности и полосы задаются. ДД обычный для таких схем 90-100дб. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5201] Автор : ua9ylu Дата : 22.11.2018 14:27 В работе в трансвертерном режиме еще одна ошибка, я правда обращал на нее внимания .В режиме 23 см нужно изменить "стартовую"частоту с 1260 на 1296, на которой все собственно и работают , скорректировать 36 мегагерц имеющими средствами не возможно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5202] Автор : Eugene163 Дата : 22.11.2018 16:46 А вот такие двухдиапазонные 144/430 мГц трансвертеры реализует А.Шатун из Харькова (отец всех трансиверов SW) 300904300905300906 Интересно как будет работать такой с "Маламутом"?:facepalm: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5203] Автор : ua9ylu Дата : 22.11.2018 16:55 Будет работать как положено.У меня цель немного иная ,это свч трансвертеры. Использую трансвертер той же фирмы только одно диапазонный на 432. Плата размещается в самом маламуте а уже 5760 стоит в фокусе антенны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5204] Автор : Ub6lod Дата : 22.11.2018 17:39 Вечер дрбрый! А подскажите, можно в личку, где/у кого можно заказать готовый трансивер с большим экраном? заранее спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5205] Автор : Eugene163 Дата : 22.11.2018 18:07 А подскажите, можно в личку, где/у кого можно заказать готовый трансивер с большим экраном? Да вот же "Маламуты"... http://www.qrz.ru/classifieds/detail/transiver-sdr-malamut_306675 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5206] Автор : Ub6lod Дата : 22.11.2018 18:11 Да вот же "Маламуты"... http://www.qrz.ru/classifieds/detail/transiver-sdr-malamut_306675 Спасибо, да я их объявление видел, правда они с маленькими экранами. Нужен с большим... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5207] Автор : Eugene163 Дата : 22.11.2018 18:17 Спасибо, да я их объявление видел, правда они с маленькими экранами. Нужен с большим... Большего не найдёте... 2,8 вполне достойно смотрится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5208] Автор : Ub6lod Дата : 22.11.2018 18:27 Большего не найдёте... 2,8 вполне достойно смотрится. Не раз появлялись на вторичке с экранчиками 3,5 или 3,6. 2.8 для моих глаз очень мелко даже в очках(( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5209] Автор : UA0BHC Дата : 22.11.2018 18:30 3,2 вроде был самый большой, что удавалось найти. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5210] Автор : Сармат Дата : 22.11.2018 19:45 3.2 самый большой для Маламута. Больше уже другая тема. Добавлено через 33 минут(ы): Типа Тюльпана. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5211] Автор : ra6lpo Дата : 22.11.2018 20:29 Насколько с большим? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5212] Автор : Сармат Дата : 22.11.2018 22:18 В Тюльпане можно 5.0 и 7.0 дисплеи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5213] Автор : mp26a Дата : 23.11.2018 10:52 Добрый день! Подскажите, а где можно взять схемы печатной платы под корпус G767 , чтобы можно было самому изготовить? Может есть у кого-нибудь gerber файл? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5214] Автор : RD3Q Дата : 23.11.2018 11:24 mp26a, все в 1 сообщении этой ветки! Остальное - ручками!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5215] Автор : ur4qij Дата : 23.11.2018 13:27 R3DI, Евгений, а опишите пожалуйста настройки DNR, там 4 пункта, что за что отвечает? Что то никто не отвечает на мои вопросы... Задам ка я ещё один. Неприятно хлопает динамик при переходе с передачи на прием, думал использовать ножку mute , но не знаю в каких случаях там появляется команда с проца, не подскажете?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5216] Автор : R3DI Дата : 23.11.2018 13:46 опишите пожалуйста настройки DNR да и сам особо не знаю что они означают, первые 2е порядок и длину, другие коээ. адаптивного фильтра, можете посмотреть в исходниках 2.0 - вывел чтобы "покрутить-поиграть"... в каких случаях там появляется команда с проца в меню SDR`Setup - Mute control on/off - для УНЧ TDAxxx не вариант - она очень "хлопает", для PAMxxx нормально -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5217] Автор : RC3ZQ Дата : 23.11.2018 14:11 но не знаю в каких случаях там появляется команда с проца С меню когда мутю включают. Плата у Вас авторская? Купите на Алике модулек на PAM микрухе 300965 и перевидите его в класс AB и юзайте в случаи если у вас плата старше версии 1.4 где нет возможности установить PAMку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5218] Автор : R3DI Дата : 23.11.2018 14:27 в случаи если у вас плата старше версии 1.4 где нет возможности установить PAMку. может НЕ старше... версии 1.3-1.31 -1.4 есть падстек для РАМ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5219] Автор : ur4qij Дата : 23.11.2018 14:36 очень "хлопает" Жаль что хлопает, спасибо за ответы. буду думать как дорабатывать:roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5220] Автор : RX9UAO Дата : 24.11.2018 09:10 Никогда не обращал внимания,что динамик "хлопает".Подергал передачу-да есть такое.Только по уровню в три раза тише чем реле пр/пер,то есть вообще не напрягает. Плата v 1.1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5221] Автор : ur4qij Дата : 24.11.2018 11:06 Никогда не обращал внимания Да всё относительно. Качество звука приемника великолепное, а так как я слушаю и АМ, то применяю внешний динамик 3ГД38. Низа воспроизводятся хорошо, вот и слышно хлопки, но это не проблема, просто хочется комфорта :ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5222] Автор : UA0BHC Дата : 24.11.2018 11:18 просто хочется комфорта поставь PAM -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5223] Автор : aiwa Дата : 24.11.2018 12:18 При включении эквалайзера на передачу, вместо сигнала идет шум при любых установках эквалайзера. Где копать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5224] Автор : sargi Дата : 24.11.2018 16:12 если вы используете pam8406, какие значения для 12r2 12c12 ? в tx 4q4 4r15 ? 4c19 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5225] Автор : UA0BHC Дата : 24.11.2018 16:36 если вы используете pam8406, какие значения для 12r2 12c12 ? в tx 4q4 4r15 ? 4c19 ? 12R2 - не ставится, вместо 12R1 конденсатор 1 мкф неполярный (керамика). 12C12 - на схеме 1.31 нет такого элемента. 4q4 4r15 ? 4c19 ? - в чём вопрос? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5226] Автор : sargi Дата : 24.11.2018 16:51 схема 1.4 если я не поставлю 12r2, как будет поступать сигнал на потенциометре громкости ? каковы значения 4r15 и 4c19 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5227] Автор : UR5VOM Дата : 24.11.2018 16:52 всем доброго времени суток, дайте пожалуйста ссылку где написано про настройку OmniRig не получается нормально настроить трансивер для работы с UR5EQF спасибо PS работает нормально минут 5, потом перестает переходить на передачу трансивер, или звук пропадет, пока ноут не перезапустишь, потом опять минут 5-10 и опять глюки... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5228] Автор : UA0BHC Дата : 24.11.2018 17:39 схема 1.4 если я не поставлю 12r2, как будет поступать сигнал на потенциометре громкости ? каковы значения 4r15 и 4c19 ? Понял. 12R2 - 0 Om. 12R3 - не нужен. Вместо 12R1 конденсатор 1 мкф неполярный (керамика). 12C12 предположу что тоже не нужен. По "каковы значения 4r15 и 4c19 ?" Может ответят, кто собрал уже усилитель? В схеме 1.31 4R8, 4R15 по 510 Ом, 4R16 - 10 Ом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5229] Автор : Сармат Дата : 24.11.2018 19:04 схема 1.4 если я не поставлю 12r2, как будет поступать сигнал на потенциометре громкости ? каковы значения 4r15 и 4c19 ? Вы лучше приведите кусок схемы, где у вас проблемы. А то схем одинаковых несколько и позиционные обозначения элементов различаются. А заново рыться и искать по разным версиям эти обозначения только ради спортивного интереса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5230] Автор : R3DI Дата : 24.11.2018 22:18 значения 4r15 и 4c19 ? do not install -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5231] Автор : sr-71 Дата : 24.11.2018 23:10 ДПФ из файла с первой страницы. Сканирование (с графиком КСВ) в программе от Игорь 2. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5232] Автор : autosat Дата : 24.11.2018 23:12 Что за программа? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5233] Автор : sr-71 Дата : 24.11.2018 23:14 Маткад. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5234] Автор : autosat Дата : 24.11.2018 23:19 А, видимо прессета от Игоря. Поделитесь в личку... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5235] Автор : sr-71 Дата : 25.11.2018 00:44 ДПФ из файла с первой страницы. То же самое, но при собств. добротности Q = 20. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5236] Автор : fedorenko28 Дата : 25.11.2018 08:25 всем доброго времени суток, дайте пожалуйста ссылку где написано про настройку OmniRig не получается нормально настроить трансивер для работы с UR5EQF спасибо PS работает нормально минут 5, потом перестает переходить на передачу трансивер, или звук пропадет, пока ноут не перезапустишь, потом опять минут 5-10 и опять глюки... Вариант платы авторский? Если какое то время работает, значит настроено верно. У себя на трехплатном варианте имею подобную проблему, очень редко правда. Так же отстает звук в цифровых модах при включении, со временем нормализуется.. Думается нужно на разъем вывести хорошими проводами сигналы usb. У вас возможно слишком длинный провод, или плохого качества. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5237] Автор : Eugene163 Дата : 25.11.2018 08:49 А в "Маламуте" девиация частоты на FM регулируется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5238] Автор : R3DI Дата : 25.11.2018 19:19 девиация частоты на FM регулируется? menu - tx setup - fm dev... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5239] Автор : rm3aa Дата : 27.11.2018 01:34 Всем доброго времени суток! До этого находил ответы на интересующие меня вопросы на форуме, а вот столкнувшись с данной проблемой, нимогу найти ответа. Ситуация следующая, маламут одноплатный v2 , столкнулся с проблемой остатка несущей. Подавление в моем экземпляре получается не более 40db, что на мой взгляд очень мало, тк в примитивном трансивере прямого преобразования Полякова , добивался подавления 70db. Подскажите уважаемые форумчане куда смотреть и в каком направлении двигатся! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5240] Автор : RK3AQW Дата : 27.11.2018 11:55 rm3aa, несущая 40 дБ подавление?Мало??? :ржач: http://qrx.narod.ru/arhn/b_u.htm -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5241] Автор : ua9ylu Дата : 27.11.2018 12:49 У меня на вч еще меньше, но узнал про то заимев осу 103. И что делать тоже увы не знаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5242] Автор : rm3aa Дата : 27.11.2018 13:00 Ну да маловато будет.. По той ссылке что Вы дали , конечно норм получается тк там указано что это допустимо для связной аппаратуры а тем более у на все таки qrp аппарат и c УМ не рассчитан по параметрам. Но все же , хотелосьбы вылизать его по максимуму тк вдруг появится другой владелец у него и будут придьявы по этому поводу да и для себя интересно разобратся -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5243] Автор : RK3AQW Дата : 27.11.2018 13:36 ua9ylu, Лучше не сделаете,все зависит от быстродействия lvc74,увы,но на ВЧ с неё больше не выжать,90-180(точных) градусов фазовращения не получить,Сергей 4z5.. позывной на вскидку не помню, тут на сайте есть его конструкции,поищите,много познавательного найдете по технике ПП,плюсом про Пилигрим (пилигрим СМД)почитайте,а наш СДР по сути ПП с рюшечками)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5244] Автор : ua9ylu Дата : 27.11.2018 13:56 Спасибо за совет, я уже сам много почитал про эту проблему и у других похожих трансиверов подобных нашему маламуту. Убедился что не одинок :-P и принял как должное. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5245] Автор : RK3AQW Дата : 27.11.2018 14:23 Timing Requirements: SN74LVC74A Clock frequency VCC = 1.8 V or 2.5V 100-150 MHz MAX(исправил ошибся) SN74AC74 Clock frequency 95 MHz MAX вот эту можно поставить, получше будет 74VHC74 HIGHSPEED: f MAX =170MHz (TYP.) at VCC =5V -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5246] Автор : R3DI Дата : 27.11.2018 14:55 Внесу пару копеек ))). Все же погрешность разность фаз SN74LVC74A больше скажется на зеркальном канале, а за подавление несущей, а точнее наверное сказать, за ее возникновение в нашем случае отвечает балансировка по постоянному току в цепях смесителя. FSTшка (пролаз..брак...), цепь смещения по постоянному току (ФСТшки) а так же и неидеальность(небольшая утечка, и такое попадалось) разделительных конденсаторов приводит к появлению постоянного напряжения которое переключается со скоростью Fгет - результат- несущая. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5247] Автор : RK3AQW Дата : 27.11.2018 15:17 R3DI, Блин, блин, блин :crazy2:смотрю в книгу вижу фигу:oops:, Жень правильно на подавление зеркального канала ,а не несущей,отдыхать надо больше:oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5248] Автор : rm3aa Дата : 27.11.2018 16:49 Вот спасибо Евгений за ваши пару копеек! Мне их не хватало. Немного успокоился), но будем работать над улучшением -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5249] Автор : ua9ylu Дата : 27.11.2018 17:46 https://youtu.be/E2a8CSGfPfQ Наконец дошли руки подружить маламута с трансвертером. Один на 432 стоит внутри трансивера, второй на мачте на высоте метра 2, принимает маяк на один облучатель, маяк на расстоянии 10 км. Частота маяка 575990.627. Маламут пока не может отображать такую частоту, но возможность корректировать в широких пределах имеется. По суте на дисплее должно отображаться частота трансвертера 432, но я подкорректировал 436 и получается если не обращать на сотни и десятки мегагерц то получится как раз прямой отсчет мегагерц ну и ниже соответственно , с этим уже можно работать достаточно комфортно. Есть конечно еще нюансы по работе ,но думаю до точу их. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5250] Автор : rv9yw Дата : 27.11.2018 18:12 ua9ylu, Как всегда, 5+... :up: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5251] Автор : R3DI Дата : 27.11.2018 19:24 5G и 10G добавить то можно... но вот заминка... в Long это не "лезит"... убрать единицы Гц ? ... тогда и 10G в знакоместо на дисплее войдет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5252] Автор : gusenkovs Дата : 27.11.2018 20:38 А long long или uint64_t не получаеться использовать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5253] Автор : R3DI Дата : 27.11.2018 20:45 если все заново переписывать то да, но тут не тот случай. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5254] Автор : ua9ylu Дата : 27.11.2018 20:53 5G и 10G добавить то можно... но вот заминка... в Long это не "лезит"... убрать единицы Гц ? ... тогда и 10G в знакоместо на дисплее войдет... Евгений думаю это нормальный компромисс , десятки герц достаточная точность на этих частотах. Весь вечер боролся с помехами от дисплея, точнее шлейфа, которые наводились на трансвертер при закрывании металической крышки трансивера. Победил. Временно изобразил из ал фольги экран вокруг всего трансвертера. Завтра продумаю как это сделать на постоянной основе. Да я уже писал что и 23 см нужно сделать начальной частотой 1296, ну это вдруг Евгений соберется с силами и поможет уквистам :-P :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5255] Автор : R3DI Дата : 27.11.2018 21:04 сделать начальной частотой 1296 это в планах поправить на следующей неделе. Если не затруднит, напишите "начальные" (0-ые) частоты для 5G и 10G, пожалуйста. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5256] Автор : ua9ylu Дата : 27.11.2018 21:14 5760 и 10368 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5257] Автор : ua3ycv Дата : 28.11.2018 08:58 вот оно!а в начале ветки в меня тапками кидали:ржач:вот проблема -не могу второго маламута запустить-Ребята кто может проконсультировать по телефону-бросте номер в личку-что бы форум не грузить:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5258] Автор : fedorenko28 Дата : 28.11.2018 11:17 Наконец дошли руки подружить маламута с трансвертером. Сначала тоже хотел два отдельных трансвертера использовать, но не стал заморачиваться. Взял такой, работает неплохо 301270 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5259] Автор : ua9ylu Дата : 28.11.2018 14:19 У нас видимо цели разные, на нч диапазоны до 23 см у меня есть отдельный трансивер, маламут готовлю для СВЧ дел ну а трансвертер на 70 см это пч для них. Вторая цель приделать и 1296 толи к этому ж маламуту, толи к какому другому сдр для работы на луне ,доплер приличный и часто бывает основная проблема это найти корреспондента а не сработать .:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5260] Автор : ua3ycv Дата : 28.11.2018 17:43 Ребята кто может проконсультироватьочень жаль-не кто не ответил:-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5261] Автор : AndreySWL Дата : 28.11.2018 21:53 Использую Маламут в связке с UR5EQF - всё работает как часы.Может быть у вас проблема в ноуте или в Винде? Какой профиль в Омнириг у вас? Нужно выбрать FT-817 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5262] Автор : ur7hfo Дата : 28.11.2018 22:21 Кто подскажет куда можно копать дальше, при больших уровнях (начиная примерно с 5 мв и выше) по входу приемника, наблюдаю следующую картину: (Трансформатор смесителя перематывался, пробовалось и на кольце и на маленьком бинокле, менялись DS90LV028A, SN74LVC74AD, 74CBTLV3253, сишка не менялась, плата - клон от UR4QBP) p/s Чутье намерял самое лучшее это на 160 и 80, около 1 мкв. https://www.youtube.com/watch?v=xOsog54bako&feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5263] Автор : Eugene163 Дата : 29.11.2018 11:42 Кто подскажет, можно ли использовать планшет или ноутбук, как чисто внешний дисплей для "Маламута"? И как подключить? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5264] Автор : Wireless Дата : 29.11.2018 16:52 Нормальный вопрос, который не был понят. Имелось ввиду вывод панорамы по средствам USB соединения в HDSDR, например. При этом сохранить возможность работы на передачу с тангенты. Функция панорамной приставки, как делают для обычных трансиверов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5265] Автор : EU1SW Дата : 29.11.2018 16:55 Ну так если Вы все поняли, то чего ж Вы дискутируете, а не расписываете подробненько как тыкать проводочки, конфигурить софты, задавшему вопрос? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5266] Автор : Wireless Дата : 29.11.2018 17:09 Потому что расписывать нечего. Функции вывода панорамы на большой экран с возможностью передачи у данного трансивера нет. Вопрос Eugene163 волнует не только его, а еще и тех пользователей, у кого зрение плохое. И таких я знаю лично. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5267] Автор : R3DI Дата : 29.11.2018 17:19 Непонятно, для тех пользователей, у кого плохое зрение - зачем выбирать этот трансивер и потом на это сетовать ? Есть много других трансиверов с большим экраном. А по поводу функции - мне пока такой программы не известно - чтоб включил ее, настроил КАТ и вперед по IQ... и об этом уже раз 10 в теме дискутировали - но пока всего то 527 страниц... и еще раз не лишним будет. .... хотя IQ на прием для панорамы, а передачу с МИКа трансивера с его внутренним dsp это сделать можно - но ничего хорошего это не сулит, только вопросов и диалогов в последствии... - лучше для этого будут более специализированные аппараты, а не тот что делался для того чтоб "в палатку брать". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5268] Автор : Wireless Дата : 29.11.2018 17:37 Да всё верно, никто особо не сетует. Просто интерес проявляют. Вопрос этот актуален исключительно по признакам плохого зрения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5269] Автор : Wireless Дата : 29.11.2018 18:24 Сейчас пользователи любого аналогового или трансивера без панорамы стараются внедрить таковую в свои аппараты. Функция дублирование панорамы и показы частоты в PowerSDR было бы хорошим решением. Оно есть, только с микрофона нельзя работать. Удобства эргономики железного аппарата и огромная панорама - это хоть и потолок для Маламута, но может быть достигнут. Но первой необходимости в этом нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5270] Автор : Integral Дата : 29.11.2018 20:44 Маламут-M2 DDC/DAC. Евгений как-то тихо его представил: https://www.youtube.com/watch?v=NxBgOXwRlao -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5271] Автор : Love777888 Дата : 29.11.2018 22:20 Обалдеть, ждем Евгений ваши комментарии, что за разработка? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5272] Автор : R3DI Дата : 29.11.2018 23:56 Дисплей 2.4", один процессор, металлический корпус меньше чем Маламут ( наверное можно назвать карманным))) ), технология ddc/duc со всеми вытекающими, шумовая дорожка этого экземпляра порядка -127 dBm в полосе 0.5к (видно на видео когда отключал антенну), атт 0..30дБ, отключаемый PRE, порядка 210мА@13.9в... в общем то ничего особенного, просто /р трансивер вот в таком исполнении . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5273] Автор : Love777888 Дата : 30.11.2018 00:15 Что по стоимости обошелся? Будут ли платы? Схемы, будет ли релиз в массы, пусть даже с прошивкой под проц? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5274] Автор : UT0UM Дата : 30.11.2018 00:52 один а какой АЦП и какое потребление на прием? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5275] Автор : R3DI Дата : 30.11.2018 02:03 Love777888, не считал, все как-то постепенно приобреталось. В массы - по мере необходимости, но в каком виде не могу сказать, всё в процессе... UT0UM, варианты 12 и 14 бит, на видео 12 бит, потребление постом выше, для 14бит порядка 280мА, это линейники, насколько испортят картину импульсники - ещё не пробовал, хотя такой показатель меня очень устраивает (с линейными). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5276] Автор : UT0UM Дата : 30.11.2018 03:00 Хорошо, поставим вопрос по-другому. Какая модель АЦП? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5277] Автор : Genadi Zawidowski Дата : 30.11.2018 03:01 И тактовая частота на нем. ps: welcome to club! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5278] Автор : R3DI Дата : 30.11.2018 03:01 Ad9226/ADS6145 - 61.44/122.88 ... Хотя по потреблению это не "чистый" показатель, у меня на одной плате 4ка, на другой 3ка fpga -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5279] Автор : Eugene163 Дата : 30.11.2018 07:33 Маламут-M2 DDC/DAC. Вот Иван RA6ANR тоже его сделал...301380301381 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5280] Автор : ut29641 Дата : 30.11.2018 07:50 тоже его сделал Конфеткой поманили,слюни текут..Продолжение будет? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5281] Автор : UA0BHC Дата : 30.11.2018 07:55 Вот Иван RA6ANR тоже его сделал... Могли бы и внутренности показать... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5282] Автор : ua3ycv Дата : 30.11.2018 09:03 UA0BHC, наверное лучше в новой теме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5283] Автор : RA6ANR Дата : 30.11.2018 09:39 Eugene163, это маламут м,аналоговый вариант был))м2 брал в поля,что то снимал на телефон,позже видео покажу) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5284] Автор : Love777888 Дата : 30.11.2018 10:46 Парни покажите схемы, хоть понять к чему готовиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5285] Автор : Genadi Zawidowski Дата : 30.11.2018 11:42 к чему готовиться Если у птички отрезать крылья... Нет, если взять схему "Аиста" - заменить АЦП, или взять Маламутовскую схему и между полосовиками и процессором вместо квадратурного смесителя с кодеком поставить АЦП с ПЛИС... Полосовики скорее всего без изменений - габариты не поменялись. ps: это все прогнозы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5286] Автор : R3DI Дата : 30.11.2018 11:47 В каком плане готовиться? Тему не создавал, это пока так , стартовые запуски первые QSO. Геннадий, да все оно уже к типовому приходит, АТТ-ДПФ-ПРЕ-ФНЧ-АЦП-ПЛИС, полосовики поменялись, да габариты тоже, писал что он меньше Маламута, по поверхности 100*150 будет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5287] Автор : SVd2004 Дата : 30.11.2018 11:58 хоть понять к чему готовиться. На задней крышке будет серийный номер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5288] Автор : Love777888 Дата : 30.11.2018 12:30 На задней крышке будет серийный номер. Не ну а как? Все правильно, автор должен быть от своей разработки только в плюсе. Т.е платы брать по автору. П.С. ну это не мне решать, Евгений всему голова:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5289] Автор : R4ADF Дата : 30.11.2018 14:43 ОГОГО! Я только компоненты для "маламута" Собираю, а тут вообще компактный вариант показался! 100х150 ) Ну сейчас главное эту живность не спугнуть ))) Думаю, к тому моменту, как я всё соберу, спаяю и в корпус уложу, Евгений уже оформит мысль и сделает анонс ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5290] Автор : Сергей718 Дата : 30.11.2018 15:30 Доброго времени суток.Может у кого есть Качественное фото платы V1.4 с запаянными элементами. Просто возникла проблема с TDA7233 на микросхеме не ключа -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5291] Автор : R3DI Дата : 30.11.2018 15:33 Сергей718, как нет? может на корпусе снята фаска с одной стороны ?.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5292] Автор : Сергей718 Дата : 30.11.2018 15:43 Фаска есть только со стороны ножек. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5293] Автор : UA0BHC Дата : 30.11.2018 15:49 ну всё правильно. берёте микруху фаской к себе, крайняя слева - первая -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5294] Автор : Сергей718 Дата : 30.11.2018 15:53 Спасибо!:oops:не разглядел фаску сначала -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5295] Автор : Love777888 Дата : 30.11.2018 22:25 Евгений, а корпус в новом маламуте это резка на лазере? Или покупной? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5296] Автор : EU1SW Дата : 30.11.2018 22:38 Вопрос не мне, но покупной с Али. ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5297] Автор : ur4qij Дата : 30.11.2018 23:02 -ФНЧ-АЦП-ПЛИС Ну это на прием , а как на передачу работает. Ведь тогда ПЛИС-ЦАП нужно или как , поясните. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5298] Автор : R3DI Дата : 30.11.2018 23:03 Сам корпус это профиль с Али, передняя панель та что на видео - лазерная резка, но она одна такая и дороговато получается, основными все же будут как на фото у Ивана те что ранее тут промелькнули, они изначально и задумывались, но хотелось посмотреть как с "резкой" нержавейка будет смотреться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5299] Автор : SVd2004 Дата : 30.11.2018 23:19 Вот она... https://ru.aliexpress.com/item/Aluminum-enclosure-electrical-project-case-PCB-box-106-4-17-X55-2-16-X150-5-9/32275035399.html?spm=a2g0v.search0604.3.282.5c813609sjrS1I&ws_ab_test=searchweb0_0,searchweb201602_4_10065_10068_319_317_10696_10084_453_10924_454_10083_10618_10920_10921_10307_10922_10820_10821_10303_537_10302_536_10059_10884_10887_100031_321_322_10103,searchweb201603_2,ppcSwitch_0&algo_expid=9c9c542b-f529-4ca7-ac5e-66ce72432ae8-43&algo_pvid=9c9c542b-f529-4ca7-ac5e-66ce72432ae8 И там же сверление, фрезеровка, шелкография, лазерная гравировка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5300] Автор : EU1SW Дата : 30.11.2018 23:40 ПЛИС-ЦАП нужно Действительно так, все есть. ПЛИС, понятное дело, общий, АЦП и ЦАП для приема и передачи. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5301] Автор : Genadi Zawidowski Дата : 01.12.2018 00:12 а как на передачу работает. Ведь тогда ПЛИС-ЦАП нужно Куда уж без них… to R3DI: кстати, делюсь проверенным диплексором для частоты ЦАП AD9744 122.88 - на ЦАП трансформатор 4:1 по сопротивлению, на выходе получаем +3 дБмВт равномерно до 40 МГц. 0 дБмВт на 50 МГц - резистор на ЦАП 2 килоома. 301427 301428 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5302] Автор : EU1SW Дата : 01.12.2018 10:22 Геннадий, а накой это? Какой параметр улучшает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5303] Автор : Genadi Zawidowski Дата : 01.12.2018 10:53 дает запас по мощности, позволяет меньше усилителтных каскадов на передачу ставить. диплексор поглощает сигналы из зон найквиста с большими номерами, обеспечивая хорошие параметры по искажениям третьего порядка. в основном эта цепь делается с применением поглощающего резистора со стороны первичной обмотки трансформатора 1:1 и далее только фнч. это экономит детали и на 6 дБ сбрасывает сигнал на выходе формирователя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5304] Автор : EU1SW Дата : 01.12.2018 11:20 Странно это все, у меня обычный ФНЧ пятого порядка, выходная порядка 5 дбм, и имд3 в районе -80... -70, в зависимости от частоты. У людей аналогично работает. Не особо заморачивался в этом узле что то улучшать. Просто резистор "лишний" не ставлю, который -6 дб дает, и все продолжает хорошо работать ) Добавлено через 8 минут(ы): Сорри, увлекся, это не имеет отношения к маламуту из этой темы :roll: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5305] Автор : Genadi Zawidowski Дата : 01.12.2018 11:21 Еще у Вас DAC904 - c +5 питания - вот и получаем еще мощность. Огород из трех дополнительных индуктивностей помогает немного улучшить IMD не уменьшая мощности... Ну это уже мой перфекционизм местами. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5306] Автор : ur4qij Дата : 01.12.2018 11:38 На презентации маламута2м, водопад очень быстро движется. Хотелось бы иметь водопад и с медленной скоростью как у первого маламута. Это дает возможность видеть станции которые уже выключились (т.е. "историю эфира"), удобно при работе на поиск. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5307] Автор : EU1SW Дата : 01.12.2018 11:51 DAC904 - c +5 питания - вот и получаем еще мощность. Выход токовый, это не работает в данном случае... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5308] Автор : ra3qdp Дата : 01.12.2018 12:27 какова выходная мощность у нового Маламута и сколько он потребляет на передачу ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5309] Автор : RK3AQW Дата : 01.12.2018 13:02 ra3qdp, Запаситесь терпением -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5310] Автор : sargi Дата : 01.12.2018 13:10 Привет, Я использую pam8406, ... Я подключил динамик, но я не слышу шума, контакт 5 pam8406 af mute находится на 0, поэтому звук выключен, так как я могу активировать звук ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5311] Автор : Eugene163 Дата : 01.12.2018 13:14 какова выходная мощность у нового Маламута ...До 20Вт, усилитель изменён, в драйвере и перед ним стоят RD01... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5312] Автор : R3DI Дата : 01.12.2018 13:45 sargi, long press"M.SEL" ( to enter the menu ) SDR Setup -> MUTE Level ... 0/1 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5313] Автор : RA6ANR Дата : 01.12.2018 14:16 https://youtu.be/A8wbx5xMlLs Снимал на телефон,поэтому качество не очень.на самом деле яркости дисплея хватает с головой и вся информация читается отлично.видимо неудачно расположил камеру относительно солнца.301448 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5314] Автор : sargi Дата : 01.12.2018 15:34 R3DI отключить управление уровень немой 1, но на штыре 5 pam8406 уровень остается на 0 .... pin 98 (af mute ) stm32 не закорочен с gnd -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5315] Автор : R3DI Дата : 01.12.2018 15:59 sargi, set - SDR Setup -> MUTE Level ... 0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5316] Автор : sargi Дата : 01.12.2018 16:42 ОК теперь это работает, .. спасибо :smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5317] Автор : Radiotester Дата : 01.12.2018 17:40 Интересно в новом duc/ddc компоненты smd какого размера? Есть корпуса установленные на шарах? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5318] Автор : RA6ANR Дата : 01.12.2018 17:53 Radiotester, 0603,шаров нет)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5319] Автор : ur4qij Дата : 01.12.2018 19:19 [quote="RA6ANR;1586901"]шаров нет)) Да мы на шару завсегда готовы:ржач::ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5320] Автор : смолянин Дата : 01.12.2018 19:52 Radiotester, 0603,шаров нет)) если нет 0603 то как-бы увидеть схему,и где и скок платы стоят. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5321] Автор : RuleZZZZ Дата : 01.12.2018 19:58 смолянин, имеется ввиду, что компоненты размера 0603, а нету BGA. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5322] Автор : смолянин Дата : 01.12.2018 20:03 э нет я больше с 0603 не связываюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5323] Автор : SVd2004 Дата : 02.12.2018 08:26 Это, как бы, подготовка, к закрытию информации по новой версии? 8-) P.S. Странно, когда у автора появляется, толи опекун, толи соавтор... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5324] Автор : UR4QBP Дата : 02.12.2018 08:33 извлекающие прибыль из интеллектуальной собственности автора Благодаря "нам" которые извлекают "прибыль от собственности автора" трансивер получил необходимые схемотехнические доработки, распространение среди радиолюбителей и известность. Тем более что автор не заявлял об коммерческом использовании материалов выложенных на данном ресурсе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5325] Автор : R3DI Дата : 02.12.2018 10:15 Нет, канечна забытые мной резисторы в цепи РЦ выход кодека - вход операционников, при том что конденсатор то и поставил изначально - эта доработка вряд-ли б у меня появился без сторонней помощи, и да - не заявлен был как коммерческий. Но благодаря общению с нашими коллегами в стиле "платы я купил там, а ты мне как автор теперь рассказывай как мне его настроить... спаять.... подключить... А напиши-ка мне вот такой код... Ты должен- это ты придумал, ты автор" - сказать что дальше нет желания после такого... А мог бы и по сей день развиваться в открытом виде. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5326] Автор : UR4QBP Дата : 02.12.2018 10:44 и да - не заявлен был как коммерческий Это главный ответ на все вопросы связанные с претензиями к "нам". За отличную разработку и доступ к схеме/прошивке еще раз Вам Евгений огромное спасибо! P.S. сам имею множество коммерческих проектов на радиолюбительскую тематику. Но, я их изначально не выкладываю даже на своем сайте, не то что здесь на CQHAMе на котором ком.проекты якобы запрещены. 301492 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5327] Автор : RC3ZQ Дата : 02.12.2018 10:50 R3DI, Евгений, ddc Маламут предполагается быть по железу открытым как бы? А П.О будет коммерческим? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5328] Автор : ur4qij Дата : 02.12.2018 11:51 Но благодаря общению с нашими коллегами Ну что поделаешь, родину не выбирают:ржач:, но зла вам никто не хочет, только благодарны. А коммерческий проект на любительскую тематику в наших словянских странах обречен на провал. А на западе и свои есть. Так что , Евгений ждем с нетерпением новый кусок работы, паяльник уже заточил.:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5329] Автор : R3DI Дата : 02.12.2018 12:08 Это, как бы, подготовка, к закрытию информации по новой версии? К закрытию ? - а что где-то была информация об открытии ??? связанные с претензиями к "нам" Александр, не к "вам" за повторение, а как отметил Сергей к тем людям которые проявляют бестактность и тд. о которой писал выше ..и раньше на CQHAMе на котором ком.проекты якобы запрещены. ага - человек купит icom - можно и тему создать и по обсуждать, но не более (вряд ли авторы icom в теме будут участвовать )... - купит MII - все темы не будет, по правилам форума без минимальных ресурсов для самостоятельного изготовления тему не открыть - закроют - ну или чего не до понимаю в правилах - но правила есть правила. Евгений, ddc Маламут предполагается... предполагается что так как с Маламутом ( п.платы ) - не имеет смысла для меня. Тем кому соберу трансивер конечна предоставлю схемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5330] Автор : UN7RX Дата : 02.12.2018 15:30 Хватит чушь в технической ветке нести. Автор темы выложил свою разработку для всеобщего повторения - чего вам не хватает? Между прочим, напряженную ситуацию сами пользователи создают. Одни не просят, а требуют, другие забив на авторские права вовсю тиражируют - многие из последних обращались к автору "давайте решим вопрос так, чтобы и вам не обидно и мне интересно?". Да фиг там. Чисто открытые разработки у нас тоже на форуме есть, их авторы просто ПОДАРИЛИ радиолюбительскому сообществу свой великолепный труд. Но и в их случае вопрос об авторских правах - хотя бы в символическом, озвученном, виде, никуда не делся. У нас нет чисто коммерческих веток, это запрещено самим смыслом существования форума и мы всегда стараемся прийти с авторами к взаимопониманию, полностью открытые проекты имеют полное право на коммерциализацию. Но за пределами форума совсем другое дело, стоит об этом помнить там творят что хотят. И хватит об этом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5331] Автор : Love777888 Дата : 02.12.2018 16:11 Все верно, не порите горячки, не спорте, зачем нагнетаете обстановку? Автор всему голова, раз решил это его дело. Скажите спасибо за первого маламута. Повторяйте, техника прекрасно работает. Спасибо Евгений за трансивер! Отличная разработка! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5332] Автор : R3DI Дата : 02.12.2018 16:38 # многие из последних обращались к автору "давайте решим вопрос так, чтобы и вам не обидно и мне интересно?". Да фиг там.# Вот это интересно конечно... про фиг там. Разные были обращения, какие то удовлетворительно завершались, причем не важно на каких ПП , ну какие-то и по-другому завершались. .. например - А как же радиолюбительское признание ? - А оно мне зачем? Что мне с ним делать? В Чипдип с ним не пойти да и в другие тоже... Возьмите плату, и на свою и на другую без проблем добавлю sx, a нет - вот открытые пожалуйста. .....да фиг там... ...мда...все ясно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5333] Автор : fedorenko28 Дата : 02.12.2018 16:54 Евгений забейте. Не перед кем оправдываться вы не должны. Огромное спасибо за первый Маламут! Творческих успехов в доведении нового до законченной конструкции. :super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5334] Автор : RC3ZQ Дата : 02.12.2018 16:58 Мне кажется что на нужные вопросы ответы уже получены и "самолеты назад не летают". Тема и так очень объемная для "брата новичка", так что не стоит захламлять далее дискуссиями . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5335] Автор : vicg2004 Дата : 02.12.2018 17:16 Добрый день! Какая приблизительно будет цена готового нового Маламута? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5336] Автор : RX9UAO Дата : 02.12.2018 18:41 vicg2004,все,тема закрыта.А то народ раскалился до бела.Integral виноват.(шутка):ржач: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5337] Автор : autosat Дата : 02.12.2018 18:49 Открою тему: У кого нибудь получилось правильно настроить s-meter в "Маламуте", это вообще возможно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5338] Автор : R3DI Дата : 02.12.2018 19:15 vicg2004, прям вот втрой мой пост после видео... и на остальных страницах все ответы (уж пока что есть) . Integral - не виноват )))) и это все оффтоп вобщемто. все закрыто. Добавлено через 21 минут(ы): autosat, наверное пару раз было в теме описание (ссылки не сохранял и не вспомню где), дам один параметр за Мах отвечает(9+60), другой вниз утягивает (остатки от баллов что глаза не мозолили)...как-то так. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5339] Автор : vicg2004 Дата : 02.12.2018 19:24 Конкретно цены не увидел, чтобы понимать сколько копить. Было у меня 2 маламута, всем хороши, но убивают бегающие вслед за перестройкой гармоники, спуры. Если их не будет, я буду уже с удовольствием пользовался трансивером. А так продал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5340] Автор : R3DI Дата : 02.12.2018 19:26 Конкретно цены не увидел, этого тут не увидите даже если она будет точно известна - правила форума. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5341] Автор : vicg2004 Дата : 03.12.2018 17:57 Помогите пож. понять в каком режиме работать на NFW в CB диапазоне - FM или FM2? Почему-то в режимах FM сдвиг частоты на передачу около 10кгц. И отчего может срабатывать защита при работе на антенну с КСВ 1.2 (щелчок на пиках и срыв передачи - с эквивалентом все нормально)? Как загрубить защиту? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5342] Автор : R3DI Дата : 03.12.2018 19:27 В любом, в каком больше нравится. Про сдвиг не скажу. Уровень по КСВ в меню ТХ Setup выставляется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5343] Автор : ua9ylu Дата : 03.12.2018 20:36 Евгений проверил прошивку , спасибо, 1296 ,все работает как положено.:super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5344] Автор : EU1SW Дата : 03.12.2018 20:50 спасибо, 1296 Добрый вечер, какой трансвертер используете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5345] Автор : ua9ylu Дата : 04.12.2018 07:23 Доброе утро, на 1296 трансвертер сборка нескольких конструкции, пч 432 и 28 в маламуте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5346] Автор : RA9YTJ Дата : 05.12.2018 11:29 Как то не нашел файлы печатных плат, ткните пожалуйста где лежат. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5347] Автор : RC3ZQ Дата : 05.12.2018 11:32 RA9YTJ, Нет в открытом доступе, вопрос автору в личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5348] Автор : Love777888 Дата : 06.12.2018 18:04 Евгений здравствуйте! Скажите пожалуйста, было упоминание о блокировке через ксв передатчика. Я так понял это в версии sx(5.0)? У меня такая версия но в меню тх такого пункта нет, или были ещё прошивки под процессор более поздние? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5349] Автор : ua9ylu Дата : 06.12.2018 18:15 Могу ответить, такая функция есть, если у вас уже есть эта прошивка, обновите её, последнее обновление было пару дней назад. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5350] Автор : Love777888 Дата : 06.12.2018 20:03 Извиняюсь как ее обновить? Или в новой забиты все ID процессоров под купленные платы у Евгения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5351] Автор : UA0BHC Дата : 06.12.2018 20:07 в новой забиты все ID процессоров под купленные платы у Евгения? именно так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5352] Автор : UT0UM Дата : 07.12.2018 00:32 Где можно скачать файлы печаток? Как то не нашел файлы печатных плат, ткните пожалуйста где лежат. А чего это Вы во всех темах файлами печаток интересуетесь, а? ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5353] Автор : RA9YTJ Дата : 07.12.2018 08:08 Изучить качество разводки -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5354] Автор : ua3ycv Дата : 07.12.2018 08:45 :рупор: RA9YTJ, она там хорошая-нет!отличная! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5355] Автор : EW2MS Mikhail Дата : 07.12.2018 12:26 Всех приветствую! Скиньте фото вариантов собранных трансиверов с дисплеем 3,2 интересует с корпусами которые есть в продаже. Ну и внешний эстетичный вид. Можно на мыло ew2msсобакаmail.ru В корпус G768 такой дисплей может кто пробовал поставить? С панелью как Евгений делал для 2,8 дисплея под корпус G767. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5356] Автор : AlexJ Дата : 07.12.2018 16:10 В корпус G768 такой дисплей может кто пробовал поставить? У меня в 768 корпусе и 2,9" и 3,2", фото старое, тогда 3,2" еще не работал. Вот файлы пп фальшь панели и "морды" в FD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5357] Автор : EW2MS Mikhail Дата : 07.12.2018 16:47 Александр, AlexJ (http://www.cqham.ru/forum/member.php?5724-AlexJ) Какай валкодер использовали? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5358] Автор : AlexJ Дата : 07.12.2018 20:07 Какай валкодер использовали? В 3,2" как у всех "китаец", в 2,9" на м/с AS5040, вот здесь обсуждалось: http://www.cqham.ru/forum/showthread.php?1786-%C2%E0%EB%EA%EE%E4%E5%F0-%F1%E2%EE%E8%EC%E8-%F0%F3%EA%E0%EC%E8/page78&highlight=AS5040 он получился плоский, в ручке, работает отлично, но это просто для эксперимента, "для души". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5359] Автор : AlexJ Дата : 07.12.2018 21:25 Забыл сказать, т.к. индикатор 3,2" без платы, я под него печатал на 3Д принтере "кроватку", а ее уже крепил к фальш панели. Индикатор не болтается, нет опасности оборвать шлейф. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5360] Автор : UR3LCO Дата : 08.12.2018 10:03 Завал чуйки на 27-28мг,особенно на 27мгц.По выходной мощности всё в порядке.Поднятие чуйки с помощью RX Gain результатов не дало.Что порекомендуете. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5361] Автор : Radiotester Дата : 08.12.2018 10:23 UR3LCO, У Трансформатора на смесителе АЧХ посмотрите, дпф АЧХ. Транс склоняйте к 28мгц схорошей АЧХ, пусть на нч валит лучше, пофиг. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5362] Автор : UR3LCO Дата : 08.12.2018 11:19 Если можно фото,картинку,кусок схемы или вида куда лесть🤔и что конкретно делать. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5363] Автор : Radiotester Дата : 08.12.2018 14:58 UR3LCO, Вы сами собирали трансивер или достался готовым? Просто трансформатор в узле смесителя приемника это очевидно в схеме. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5364] Автор : UR3LCO Дата : 08.12.2018 15:39 Готовый брал. Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5365] Автор : Radiotester Дата : 08.12.2018 15:47 UR3LCO, Михаил, тут два варианта или начинать изучать схему с уклоном на входную часть (дпф, УВЧ, смеситель) при условии что хотя бы есть у Вас или есть у кого взять ГСс и ВЧ вольтметр а лучше прибор NWT или osa103, или обратится к своим зёмам которые собирают и собирали Маламута. Тут ребят очень много. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5366] Автор : UR3LCO Дата : 08.12.2018 16:02 Вариант обратиться к зёмам более надёжный,чем ковырять не изучению плату и измерять фантазируемыми приборами���� -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5367] Автор : ghjafy Дата : 08.12.2018 20:05 архив пишет поврежден сообщение 5367 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5368] Автор : ghjafy Дата : 09.12.2018 19:00 DEL -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5369] Автор : Andrei777746 Дата : 11.12.2018 14:11 Добрый день нужна плата для маламута Ver:1.31 сколько будет цена в ивановскую область город фурманов индекс 155520 с Уважением Андрей!!!!!!!!!!!!!!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5370] Автор : RK3AQW Дата : 11.12.2018 14:36 Andrei777746, автору в личку пишите -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5371] Автор : RA6ANR Дата : 11.12.2018 15:22 Andrei777746, посмотрите личку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5372] Автор : Aleks8585 Дата : 13.12.2018 14:11 Здравствуйте товарищи радиолюбители.Подскажите.Тда 7233 и рам даже бещ регулятора громкости жутко шипят при питании маломута 7 вольт,а при 12 громкое биение и гудение,одна рамка выходила из стпоя.Помогите -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5373] Автор : R3DI Дата : 13.12.2018 14:24 Для 7233 были установлены 12C5 и 12R4 ? А PAM8406 так и вовсе 5-и вольтовая. при питании маломута 7 вольт - а 5V то при этом нормальные? (Dropout voltage IO = 1 A 25°C 2 V для типовых 7805).... да и сомнения что операционники будут нормально работать, которые по схеме от 8V . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5374] Автор : Aleks8585 Дата : 13.12.2018 15:41 Кренка стоит,на рам 5 вольт идет,но какое то переусиление,жуткое шипение На тда7233 подается 12 вольт -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5375] Автор : Love777888 Дата : 13.12.2018 16:38 Отключите вход микросхемы, а лучше провод идущий с кодека на регулятор громкости (сигнальный, не тот который на вход унч идет. Общий оставьте). Если шипит копайте унч. Если нет, начинайте с кодеков, возможно брак. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5376] Автор : Aleks8585 Дата : 13.12.2018 16:44 Спасибо,буду искать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5377] Автор : aiwa Дата : 13.12.2018 21:57 Всем добрый вечер! Кто делал управление "Маламутом" через блютуз или вай-фай? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5378] Автор : Aleks8585 Дата : 14.12.2018 16:56 Еще есть вопрос товарищи.Микросхема тда и рам ставятся вместе или та или другая? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5379] Автор : eu7ea Дата : 14.12.2018 16:58 Еще есть вопрос товарищи.Микросхема тда и рам ставятся вместе или та или другая? Одна из двух. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5380] Автор : Love777888 Дата : 14.12.2018 17:11 Всем добрый вечер! Кто делал управление "Маламутом" через блютуз или вай-фай? А зачем вам блютузы с вайфаями? Для цифры имеется юсби вход, подсоединяйте и работайте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5381] Автор : ЯНЕЖ Дата : 14.12.2018 18:30 Евгений можно Ваш почтовый адрес? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5382] Автор : aiwa Дата : 14.12.2018 19:02 Чтоб на даче не протаскивать провода через стены (окна) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5383] Автор : RA6ANR Дата : 14.12.2018 19:13 aiwa, для этих целей есть другие трансиверы,умеющие работать удаленно.там и панорама широкая и телевизор любой можно поставить)))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5384] Автор : R3DI Дата : 14.12.2018 19:36 aiwa, просто интересно, как Вы себе это представляете? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5385] Автор : aiwa Дата : 14.12.2018 20:13 Пока никак -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5386] Автор : EU1SW Дата : 14.12.2018 20:48 aiwa, просто интересно, как Вы себе это представляете? Даже блюпуп не нужен ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5387] Автор : rn4haq Дата : 15.12.2018 09:25 aiwa, я использую адаптер, чтоб слушать без проводов http://s.aliexpress.com/jUJbQjyy -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5388] Автор : Eugene163 Дата : 15.12.2018 15:12 Есть ли в "Маламуте" временная задержка для работы с усилителем мощности? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5389] Автор : R3DI Дата : 15.12.2018 15:55 так нет как такового отдельного пина для УМ, разъем (на 1.4) дублирует +ТХ внутреннего УМ, потому и задержки нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5390] Автор : Eugene163 Дата : 15.12.2018 17:28 так нет как такового отдельного пина для УМ, разъем (на 1.4) дублирует +ТХ внутреннего УМ, потому и задержки нет Евгений, скажите, а "Маламут" допускает работу с УМ не оборудованным встроенной схемой задержки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5391] Автор : R3DI Дата : 15.12.2018 18:15 Мне не совсем понятно что Вы спрашиваете, что имеется ввиду под допусками? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5392] Автор : RC3ZQ Дата : 15.12.2018 18:18 Он имеет в виду наверное тот момент когда УМ Маламута может оказаться без нагрузки. Момент размыкания контактов реле УМ который будет качать Маламут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5393] Автор : Eugene163 Дата : 15.12.2018 18:38 Да, правильно, это и хотел сказать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5394] Автор : R3DI Дата : 15.12.2018 18:59 не скажу что-то определенного, внешнего УМ у меня нет, но могу сказать что кратковременное включение без нагрузки ни к чему не приводило, конечна при питании RD16 не в предельных значениях (13.8V). Возможно лучше задать вопрос в более тематическое теме или может еще кто подскажет тут. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5395] Автор : RA6ANR Дата : 15.12.2018 19:10 https://youtu.be/vc5h2BjF8ds на тему совместимости с УМ:crazy::super: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5396] Автор : UR5VOM Дата : 16.12.2018 00:16 здравствуйте, подскажите пожалуйста, или дайте ссылку где описано подавление второй боковой, ничего у меня не выходит, валит сигнал по двум боковым сразу, пользуюсь функцией IQ Imb но ничего не получается, по приему вроде подавляется, несколько герц в сторону и опять нужно подавлять, подскажите пожалуйста что делать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5397] Автор : fedorenko28 Дата : 16.12.2018 03:54 Использую с Маламутом HLA300, работает по вч воксу. До этого использовал немного переделанный УМ200 на гу33б. п1д вход, выход и добавленным вч воксом проблем пока нет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5398] Автор : RA6ANR Дата : 16.12.2018 07:46 vhamraev, там даже без дополнительной калибровки у меня получалось что то около 40-50db подавление зеркалки(не помню точно сколько,да и от диапазона зависит)....что то неисправно в вашем трансивере -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5399] Автор : ua9ylu Дата : 16.12.2018 09:20 Нужно сначала определиться что не давиться, другая боковая или зеркалка. Про зеркалку есть хорошее описание у автора на ядиске. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5400] Автор : UR5VOM Дата : 16.12.2018 09:31 я прошу прощения, я много еще не понимаю, давайте я уточню, я не могу подавить зеркальный канал, а мне в эфире все говорят что у меня не подавлена вторая боковая полоса, как это все в порядок привести? может есть какое-то описание? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5401] Автор : RA6ANR Дата : 16.12.2018 09:36 vhamraev, да это одно и тоже в нашем случае.пч то равна 0.может быть что угодно,скорее всего ошибка в монтаже при сборке -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5402] Автор : UR5VOM Дата : 16.12.2018 09:49 как же проверить? какие элементы смотреть? переключаю LSB и USB разницы можно сказать нету, всех слышу по обеих боковых -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5403] Автор : ua9ylu Дата : 16.12.2018 10:03 А вы сами делали? Или покупали? Если второе то обратитесь к продавцу, он видимо и не знал что нужно настраивать подавление зеркало еще и на передачу. На первой странице есть ссылка на ядиск где автор выложил все документы,там же есть видео как давить зеркало на прием, то же самое нужно сделать и на передачу с помощью второго приемника, хотя бы.Видео называется IQ mirror.mp4. Там же есть документ пдф malamute -DSB , там описано как и где искать неисправности по вашему вопросу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5404] Автор : rn4haq Дата : 16.12.2018 10:16 Присоединяюсь к проблеме vhamraev, аналогичная ситуация. На прием давится в ноль как на видео. А на передачу две полосы. Монтаж перепроверил. Менял fst не помогло. Не совсем понимаю, что должно быть на выходах кодека и соответственно на выходах операционников -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5405] Автор : ua9ylu Дата : 16.12.2018 10:36 302537 еще раз повторю ,прочитайте документ про DSB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5406] Автор : R6BK Дата : 16.12.2018 23:46 Добрый всем вечер. Где то была схема переделки МН-48, ткните носом. Надо посмотреть, не хочет реагировать на кнопки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5407] Автор : rn4haq Дата : 16.12.2018 23:49 R6BK, в первом сообщении автора на я диске там картинка есть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5408] Автор : R6BK Дата : 17.12.2018 00:15 на я диске там картинка есть Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5409] Автор : Eugene163 Дата : 23.12.2018 16:45 Программные фильтры ANF/DNR/NB. (это из описания). Что такое ANF фильтры? Извиняюсь за дремучесть... Несколько раздельных rx/tx режимов АРУ. Почему написано что и для передачи- rx/tx, это что-то типа ALC? Есть ли шумоподавитель в режиме FM ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5410] Автор : R3DI Дата : 23.12.2018 17:42 Автоматический нотч фильтр. ...типа компрессора на микрофон. Есть, SQL, уровень настраивается в меню ( или удержать sql для быстрого перехода в меню) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5411] Автор : varvik85 Дата : 24.12.2018 21:48 поделитесь пожалуйста спецификацией к авторской плате -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5412] Автор : RK3AQW Дата : 24.12.2018 22:18 varvik85, С яндекс диска скачайте на первой странице в шапке,если по элементам(список), то тут где-то выкладывали -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5413] Автор : R3DI Дата : 24.12.2018 22:51 Изменения и дополнения на 29.05.18, читаем здесь (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1534871&viewfull=1#post1534871). ------------------------------------------------------------------------------------------------------- Прошу модераторов прикрепить материалы из поста #3914 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1534871&viewfull=1#post1534871) в первый пост. этой строки - не видят и не читают. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5414] Автор : RA6ANR Дата : 26.12.2018 13:45 Для желающих собрать трансивер "Маламут",есть небольшой набор.плата,корпус,передняя панель под дисплей 2.8", дисплей,радиатор,задняя стенка алюминиевая,валкодер,так же сть кодеки,пешки.кого интересует-пишите в личку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5415] Автор : UR5VOM Дата : 26.12.2018 20:57 всем привет, можно ли смотреть сигналы описанные в документе про DSB осцилографом ЛО-70, если нельзя то подскажите каким можно, спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5416] Автор : rn4haq Дата : 26.12.2018 21:30 vhamraev, нет! там частоты высокие а ваш до 1Мгц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5417] Автор : UR5VOM Дата : 26.12.2018 21:37 то есть он должен до 30 МГЦ мерять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5418] Автор : rn4haq Дата : 26.12.2018 21:43 vhamraev, если проверять на всех любительских диапазонах то до 60Мгц -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5419] Автор : UR5VOM Дата : 26.12.2018 21:46 ого, понятно спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5420] Автор : Love777888 Дата : 31.12.2018 18:29 Парни, кто пользуется маламутом, кто его строит, всех поздравляю с наступающим Новым Годом! Пожелаю здоровья, хороших ДХ, успехов в построении техники! Лучшие пожелания Вам 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5421] Автор : rn4haq Дата : 31.12.2018 22:52 303798 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5422] Автор : Eugene163 Дата : 01.01.2019 09:32 Получил за неделю до Нового года "Маламут" (от Ивана RA6ANR), хороший подарок к Новому году. Товарищи напросились на смотрины нового аппарата. Слушали и сравнивали с FT-897 (принесли) и с IC-7300 (мой аппарат). 897-й сразу был отправлен под лавку, далее сравнивали только "Маламут" и IC-7300.303813303814303815 По динамике и чувствительности "Маламут" и 7300 примерно равны, дисплей, в частности панорамка удобней и информативнее у "Маламута". У 7300 хоть она чуть больше, но немного бестолково, требуется новая прошивка от авторов Айкома, заводская недоработка... Зато эргономика Айкома на высоте, "Маламуту" явно не хватает кнопок. А вот по шумам и звучанию (восприятие эфира) "Маламут" получше Айкома, хоть не намного но всё-же... АМ тоже отлично, как и CW, FM ещё не довелось проверить. Да, ещё удобство Айкома что в нём полосы на приём и передачу устанавливаются раздельно. Мнение моих товарищей и моё однозначно, "Маламут"-отличный трансивер. "Мал золотник и недорог" перефразировал изв. поговорку... Спасибо за конструкцию Евгению R3DI, за высокое качество исполнения и настройки Ивану RA6ANR! С Новым годом! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5423] Автор : смолянин Дата : 02.01.2019 21:46 ВСЕХ С НОВЫМ ГОДОМ И С НАСТУПАЮЩИМ РОЖДЕСТВОМ! подскажите пожалуйста сколько должно быть напряжение вч до фнч при вкл. тональнике чтобы на выходе фнч было 15 вт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5424] Автор : R3DI Дата : 02.01.2019 22:03 порядка 27.4 Urms, как до так и после ФНЧ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5425] Автор : Radiotester Дата : 02.01.2019 22:32 смолянин, После фнч в любом случаи ВЧ напряжение будет менее. На нагрузку 50R 27...28в это 15вт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5426] Автор : R3DI Дата : 02.01.2019 23:00 Сейчас замерил что показывает осцил... до фнч Urms = 28, Up-p = 82 после фнч Urms = 28, Up-p = 80 (десятые не пишу...) да, до фнч будет немного больше за счет гармоник, но не должна разница уж сильно отличаться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5427] Автор : Radiotester Дата : 02.01.2019 23:09 R3DI, Евгений, это в идеале как бы у Вас! Не известно какое имеет затухание фнч в полосе пропускания у Смолянина. Хорошо если есть чем АЧХ фильтров снять. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5428] Автор : ua9xos Дата : 02.01.2019 23:20 Подскажите какой ток покоя на транзисторах 4Q4 и 4Q3,4Q5 выставлять? И в каких пределах напряжение с выхода синтезатора должно быть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5429] Автор : R3DI Дата : 02.01.2019 23:25 транзисторах 4Q4 и 4Q3,4Q5 если память не изменяет то порядка 25..35 мА. (может уточнит кто) выхода синтезатора уточните какую именно точку схемы имеете ввиду? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5430] Автор : ua9xos Дата : 02.01.2019 23:44 точка соединений резисторов 10R19 10R20 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5431] Автор : R3DI Дата : 03.01.2019 01:01 только после праздников смогу посмотреть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5432] Автор : akor Дата : 03.01.2019 13:23 Всех с Новым Годом. Да простит меня модератор, но продаю маламут занедорого, работающий но недостроенный, почти со всеми комплектующими, плюс много бонусов. В личку если что. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5433] Автор : akor Дата : 03.01.2019 14:36 В нагрузгу NWT-7 отдам. Есть ещё Анализатор kuchu (http://www.cqham.ru/forum/showthread.php?31323-%C0%ED%E0%EB%E8%E7%E0%F2%EE%F0-kuchura-%F1-%E3%F0%E0%F4%E8%EA%EE%E9-%ED%E0-STM32/page212)ra, откалиброван. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5434] Автор : RU6AB Дата : 03.01.2019 18:44 Сармат, -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5435] Автор : смолянин Дата : 03.01.2019 21:52 а в фнч надо кондёры на 2кв и смд ставить или только 2кв или смд? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5436] Автор : UU5JPP Дата : 03.01.2019 22:05 а в фнч надо кондёры на 2кв и смд ставить или только 2кв или смд? На двух "маламутах" в ФНЧ стоят SMD конденсаторы 1210 первые попавшие, сомневаюсь что там 2кв, больше года полет нормальны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5437] Автор : смолянин Дата : 03.01.2019 22:13 На двух "маламутах" в ФНЧ стоят SMD конденсаторы 1210 первые попавшие, сомневаюсь что там 2кв, больше года полет нормальны. на вы не поняли.я спрашивал в том смысле что если один ставить 1 нано или 2 штуки-2нано? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5438] Автор : R3DI Дата : 03.01.2019 22:48 Или дип или смд, это дублеры -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5439] Автор : akor Дата : 03.01.2019 23:07 Ребята, маламут и НВт 7 проданы, остался анализатор. Модераторы не баньте сильно) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5440] Автор : Алексей М. Дата : 08.01.2019 22:25 Здравствуйте, всех с наступившими праздниками. Назрел такой вопрос может кто сталкивался с проблемой. По счастливому обстоятельству подкинули плату маламут украинского радиолюбителя ,непомню позывной. Так вот на частоте где-то 3599-3601 и 7058 наблюдается явно выраженая ,тактирующая цифровая помеха . При заходе в меню она пропадает в ноль, по всей видимости это неправильная разводка печатной платы. Если есть у кого мнение или решение этой проблемы прошу не поскупиться на ответ! Добавлено через 13 минут(ы): Плата по разводке вроде UR5YFV :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5441] Автор : RK3AQW Дата : 08.01.2019 22:53 Алексей М., если нет кренки 5в по питанию,то может стабилизатор гадит,а так сложно сказать, что там у вас и как разведено,обратитесь к автору платы.В авторском варианте такого безобразия не наблюдаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5442] Автор : inter02 Дата : 08.01.2019 23:14 Алексей М, на платах, от UR5YFV, по крайней мере мной лично, собран приемник(он у меня) и трансивер(мало сигнальная часть. ушел на сторону), такого нет. смотрите "залипухи" или некачественные детали. платы разведены нормально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5443] Автор : Алексей М. Дата : 09.01.2019 00:00 Да в целом по работе ,за пределами этих участков проблем нет все здорово! На залипухи все тоже проверено, но ведь не я один такой ,тот кто эти платы продает тоже жалуется на такие артефакты и ссылается на неправильную разводку. Вот ведь как! Кренка есть , ставился и импульсный преобразователь думали что он ,так нет ,проблема пропадает только когда в меню заходишь и помеха уходит. Авторская плата без нареканий ,она у меня тоже имеется! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5444] Автор : ur5yfv Дата : 09.01.2019 00:32 Так вот ... ... наблюдается явно выраженая ,тактирующая цифровая помеха Было уже где то в теме. Даже не несколько страниц разнесли. И как с этим борось ! И даже на автроских платах !!! но ведь не я один такой ,тот кто эти платы продает тоже жалуется на такие артефакты и ссылается на неправильную разводку. Было такое, в первой версии. Только не совсем про то говорилось !!! А про подключении к компьютеру по USB "вылазили" артефакты, мешающие приему. Только они устранились добавлением нескольких блокировочных и развязующих конденсаторов. Еще На всякий случай была переразведена плата с мин. растояниим разъема для подкл. USB, к выводам МК. Попробуйте от другого БП. От трансформаторного например ! Может в этом причина. Бывает ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5445] Автор : Алексей М. Дата : 09.01.2019 00:41 Было уже где то в теме. Даже не несколько страниц разнесли. И как с этим борось ! И даже на автроских платах !!! Было такое, в первой версии. Только не совсем про то говорилось !!! А про подключении к компьютеру по USB "вылазили" артефакты, мешающие приему. Только они устранились добавлением нескольких блокировочных и развязующих конденсаторов. Еще На всякий случай была переразведена плата с мин. растояниим разъема для подкл. USB, к выводам МК. Попробуйте от другого БП. От трансформаторного например ! Может в этом причина. Бывает ... Да понятно, попробую еще поиграться с конденсаторами по питанию, блок питание трансформаторный импульсные не приемлю на этапе наладки . Хорошо спасибо за ответы ,пока буду пробовать ! Но если у кого еще будут предложения не откажусь буду признателен! Спасибо!:-P -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5446] Автор : inter02 Дата : 09.01.2019 00:43 включил приемник, на 3601 спуры. как побороть пока не определился. грешу, на то что пока все на проводах. до корпуса руки не доходят. на 7058 чистенько. в обоих случаях антенна отключена. 304405304406304407 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5447] Автор : Алексей М. Дата : 09.01.2019 01:03 Очень похоже на мой случай ,но у меня очень все грубо в этом участке. Если получится размещу свои фото этой бяки! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5448] Автор : UT5QBC Дата : 09.01.2019 09:06 Ну а что вы хотите... Не на одной плате по сигналам от контроллера нет ферритовых помехоподавляющих бусинок smd, авторы наверное не знают что это такое... По всем шинам spi, i2c, i2s и тд поближе к контроллеру нужно такие вещи ставить, не нужно жадничать и ставить "бусинки" и на статические выводы управления. На крайний случай если нет "бусинок" можно поставить резистор(подобрать так сказать своеобразный RC фильтр, R-резистор, С- емкость проводника) но это не так эффективно. Ну и разводка земли должна быть соответствующая что бы аналоговые токи не протекали по цифровым, P.S "бисинки" они тоже разные... так что курить и думать что вы ставите, смотрим на скорость данных по шине. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5449] Автор : ur7hfo Дата : 09.01.2019 11:02 включил приемник, на 3601 спуры. Это могут быть и китайская сишка, и все что после нее lvds и счетчик джонсона, и смеситель. Я менял эти детали на оригинальные, спуры стали меньше, но они все равно остались. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5450] Автор : Radiotester Дата : 09.01.2019 12:03 UT5QBC, Что бы аналоговые токи не протекали по цифровым - бодно более подробно для далёких? Вы имеете в виду дорожки на печатке разнести? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5451] Автор : R3DI Дата : 09.01.2019 12:08 Спуры.... неоднократно это уже обсуждали в теме. Основным источником спуров является сам процессор. Можно и через резисторы, а можно и вообще отключить всю перефирию и послушать его излучения на частотах 130 МГц и выше, излучает так что портативка ловит за 2 бетонных перекрытия ( точную частоту не помню), далее калькулятор точно показывает как идёт прием на ...энцатых гармониках именно этих сигналов, где-то тише где-то громче. Делал трансивер где процессор и вся цифровая установлены на отдельной плате и весь через проходные резисторы ( Malamute M (wm8731), не путать с Malamute MII в нем все по другому и проблем таких нет) соединяется с RF платой, так все на тех же местах( спуры). Канечна есть и другие источники спуров, например те что написал выше постом Дмитрий. Ещё и таки случаи были, из личных сообщений, коллега взял 2е платы одновременно и собрал их , так на одной их больше, на другой меньше...детали с одного места... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5452] Автор : UT5QBC Дата : 09.01.2019 12:35 Вы имеете в виду дорожки на печатке разнести? да это я имел ввиду только не разнести а развести. для вас картинка, два подключения , подумайте какое правильное и почему -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5453] Автор : UT4UBK Дата : 09.01.2019 14:08 Спуры.... неоднократно это уже обсуждали в теме. Основным источником спуров является сам процессор. Можно и через резисторы, а можно и вообще отключить всю перефирию и послушать его излучения на частотах 130 МГц и выше, излучает так что портативка ловит за 2 бетонных перекрытия ( точную частоту не помню), далее калькулятор точно показывает как идёт прием на ...энцатых гармониках именно этих сигналов, где-то тише где-то громче. Делал трансивер где процессор и вся цифровая установлены на отдельной плате и весь через проходные резисторы ( Malamute M (wm8731), не путать с Malamute MII в нем все по другому и проблем таких нет) соединяется с RF платой, так все на тех же местах( спуры). Канечна есть и другие источники спуров, например те что написал выше постом Дмитрий. Ещё и таки случаи были, из личных сообщений, коллега взял 2е платы одновременно и собрал их , так на одной их больше, на другой меньше...детали с одного места... Евгений, у меня в конструкции стоит намного более шумящий процессор (даже два) + SDRAM память, езернет. Спуров нет вообще. Основные принципы - установка бедов на все низкоскоростные интерфейсы, GPIO, развязка земель и питания. Экраны - это уже вторично. Добавлено. Недавно проходил FCC сертификацию небольшого IoT устройства на STM32F405. C первого раза завалили Radiated emission test - по причине "свистящего" процессор -5 дБ превышения. Если честно - от него такого не ожидал. После переделки системы питания (для STM32 она обычно делается примитивной) - все тесты прошли успешно. В крайних случаях - можно наклеить EMI экраны на процессор, но надо быть острожным, иногда они могут работать в противоположном направлении. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5454] Автор : Radiotester Дата : 09.01.2019 14:48 да это я имел ввиду только не разнести а развести. для вас картинка, два подключения , подумайте какое правильное и почему Нижняя наверное? Где аналоговые цепи не находятся в окружении цифровых...как то так? Я честно говоря не знаю....вот и интересуюсь....пардон -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5455] Автор : R3DI Дата : 09.01.2019 14:55 намного более шумящий процессор тоже можно его ловить через две комнаты? STM32F405 I2S - master ? ...дебажил - как только запускаются PLL-ы и генераторы - начинает излучать так, как написал ранее и что-то мне подсказывает что земли тут не помогут, портативка ловит с таких расстояний и перекрытий, что уж говорить от трансформаторах смесителя и фильтров который находятся в сантиметрах... а прием на нечетных очень хороший.. возможно трассировка и улучшит, 4 слоя? по хорошему там и всю схему нужно менять ,все узлы, и конструкцию... - только вот зачем? это все в разы удорожает конструкцию - а ведь это радиолюбительская конструкция "выходного дня" можно наклеить EMI экраны на процессор Вы имеете ввиду что-то наподобие материала 45-0015 ? ( лежит такой в корзине но все никак не решусь заказать - DDC/DUC от всего этого избавило ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5456] Автор : Radiotester Дата : 09.01.2019 15:06 R3DI, Евгений, про материал 45-0015 подробней можно? Эту ленту можно приклеить с верху на цпушку ? А заземлять ее нужно? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5457] Автор : UR3ACH Дата : 09.01.2019 15:11 Malamute MII в нем все по другому и проблем таких нет Здравствуйте. Когда будет анонс (и будет вообще) трансивера. Я понимаю. Эти вопросы уже Вам надоели... Но все же... 8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5458] Автор : UT4UBK Дата : 09.01.2019 15:50 тоже можно его ловить через две комнаты? STM32F405 I2S - master ? ...дебажил - как только запускаются PLL-ы и генераторы - начинает излучать так, как написал ранее и что-то мне подсказывает что земли тут не помогут, портативка ловит с таких расстояний и перекрытий, что уж говорить от трансформаторах смесителя и фильтров который находятся в сантиметрах... а прием на нечетных очень хороший.. возможно трассировка и улучшит, 4 слоя? по хорошему там и всю схему нужно менять ,все узлы, и конструкцию... - только вот зачем? это все в разы удорожает конструкцию - а ведь это радиолюбительская конструкция "выходного дня" Вы имеете ввиду что-то наподобие материала 45-0015 ? ( лежит такой в корзине но все никак не решусь заказать - DDC/DUC от всего этого избавило ) Нет OMAPы, на 465 МГц с потреблением около 1W +5' RGB display. По 4 слоям это вы зря, все шумящее можно спрятать во внутренние слои и правильно развести земли. 4 слойки стоят копейки, разница с 2-мя слоями - мизерная (https://jlcpcb.com/quote). По EMI экранам - примеры здесь http://multimedia.3m.com/mws/media/644628O/3m-emi-emc-solutions-brochure.pdf да это я имел ввиду только не разнести а развести. для вас картинка, два подключения , подумайте какое правильное и почему Обе неправильные :crazy: Надо питание звездой делать -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5459] Автор : RK3AQW Дата : 09.01.2019 16:07 Radiotester, EMI-поглощающий, как преподносят, раньше подобное ставили на всяких разных комповых шинах,содержит мелкую сетку,как я понял латунь в составе материала -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5460] Автор : R3DI Дата : 09.01.2019 16:22 и правильно развести земли. у меня даже таких знакомых нет чтоб с первого раза правильно ))) 4 слойки стоят копейки, разница с 2-мя слоями - мизерная больше чем в 2 раза, кому как по мизеру (и сколько вариантов до "правильного") - да вопрос в другом - для кого? кто желает себе разведет, тут немного другая ситуация Надо питание звездой делать так же за этот метод. Но был и не хороший опыт со "звездой". Сделал "звездой" , на стенде все ОК- красота....пока в блок не установил...а там контурная земля и понеслась.... хорошо партия была малая 100шт, залил полигон - около 10тыс шт работаю как нужно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5461] Автор : UT5QBC Дата : 09.01.2019 16:40 Обе неправильные Надо питание звездой делать Но был и не хороший опыт со "звездой". Тоже опыт со звездой к хорошему не привел... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5462] Автор : Radiotester Дата : 09.01.2019 17:12 UT5QBC, Так какая структура верна из Вашего поста? А то я все таки не понял .... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5463] Автор : UT5QBC Дата : 09.01.2019 17:19 Так какая структура верна из Вашего поста? А то я все таки не понял .... Ну вы ответили правильно (нижний), я и не стал засорять тему. С десяток девайсов построенных по такой разводке земли прекрасно работают у меня, вот товарищи подсказали еще по "звезде" надо еще раз вернутся к ней, хотя есть вопросы по некоторым нюансам, надо перекурить )))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5464] Автор : R3DI Дата : 09.01.2019 17:33 Когда будет анонс (и будет вообще) трансивера. Я понимаю. Эти вопросы уже Вам надоели... Тут смотря что подразумевать под "анонсом", если тема на cqham - то это вряд ли, на авторских темах "особые условия" из-за которых у нас не совпадают интересы и/или возможности, в этом случае возможно открыть тему для обсуждения, но не мне как автору трансивера, и как автору трансивера - доступ к теме будет закрыт. Пока видится так - будет сторонний ресурс на котором будет некоторая информация (когда подготовлю), без обсуждений и форумов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5465] Автор : Radiotester Дата : 09.01.2019 17:37 UT5QBC, Дайте пожалуйста ссылку на картинку (файл) печатки какой либо конструкции Вашего девайся, хочу разобраться как с примером. Спасибо. R3DI, Евгений, информация по новому Вашему детищу будет ознакомительная как реклама товара или техническая с возможностью сборки конструкции самостоятельно радиолюбителем? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5466] Автор : R3DI Дата : 09.01.2019 17:49 это скорее зависит индивидуально от самого радиолюбителя - как он будет воспринимать эту информацию -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5467] Автор : ur7hfo Дата : 09.01.2019 18:14 разница с 2-мя слоями - мизерная Ну да... 2-слойка 2 доллара, 4-слойка 29 долларов. :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5468] Автор : R3DI Дата : 09.01.2019 18:18 это исключительно для плат размер которых не превышает 100мм как только превышает 100мм совсем другие цены начинаются -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5469] Автор : UT4UBK Дата : 09.01.2019 18:31 это исключительно для плат размер которых не превышает 100мм как только превышает 100мм совсем другие цены начинаются А здесь следите за руками - это Engineering Fee который платится один раз. Например, при заказе от 15 плат цена одной четырехслойной платы (100x100mm) около $1 Стенсилы (вполне приличные) - от $8 Да, и можно схитрить, при первом заказе скидка $20 И таки да - 4 дня изготовления. Проверил в своем аккаунте - 10 плат размером 10x10 обходится в $29 - special offer -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5470] Автор : Radiotester Дата : 09.01.2019 18:37 R3DI, Тоесть в железе будет все доступно кроме печатки( ее можно будет купить) верно? Как собственно и было? Доступ к ПО на комерческой основе или по иному? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5471] Автор : R3DI Дата : 09.01.2019 18:39 Например, при заказе от 15 плат цена одной четырехслойной платы (100x100mm) около $1 четырех слойка за $1 ? - прикупил бы плату такого Маламута, может кто займется ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5472] Автор : rn4haq Дата : 10.01.2019 22:31 Добрый вечер любители Маламута. Вопрос к Евгению. Сегодня в прошивку SX внесены какие то изменения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5473] Автор : R3DI Дата : 10.01.2019 23:10 Нет. Об изменениях сообщу. Можно попробовать сделать передачу с тангенты в HDSDR, но нет Маламута и проверить не смогу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5474] Автор : ghjafy Дата : 11.01.2019 14:15 Добрый день,вопрос по драйверу ум. Ставим TONE в меню,на 4Q4(BFG591)синусоида 56 миливольт(10%) на колекторе постоянка 8 вольт,синусоиды нет,трансформатор проверил,перемотал,сопротивление 4R16 проверил все нормально.транзистор исправный и нет соответственно синусоиды на выходе трансформатора 4TR1 5,6 вывод.Что еще не так -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5475] Автор : R3DI Дата : 11.01.2019 15:05 56 миливольт(10%)- где? что тут можно сказать.... или какая(ие) деталь не исправна или собрано не верно.. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5476] Автор : ghjafy Дата : 11.01.2019 16:04 56 миливольт на базе транзистора на колекторе 8вольт,дитали вроде проверил,транзистор менял,выпаянный звонится все нормально,нет синуса на выходе трансформатора,выводы 5и 4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5477] Автор : R3DI Дата : 11.01.2019 16:21 а пост.составляющая на базе сколько ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5478] Автор : ua9ylu Дата : 11.01.2019 16:23 А ток покоя выставили этих каскадов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5479] Автор : ghjafy Дата : 11.01.2019 16:30 4Q4 0,04в 4Q3 b 4Q5 0,740в на входе синус при 100% 370миливольт пик-пик на выходе 1,2в пик пик,Cинус на 4тр1 будет где-то или нет и на базах 3 и 5транзисторов? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5480] Автор : R3DI Дата : 11.01.2019 16:34 4Q4 0,04в ну вот и ответ, транзистор закрыт. как Вам за одно посоветовал ua9ylu, так все заработает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5481] Автор : ghjafy Дата : 11.01.2019 16:37 ток покоя только на rd16 поставил.Проверю какой на BFQ591 Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5482] Автор : Love777888 Дата : 11.01.2019 17:30 Здравствуйте всем! Хорошего настроения. Евгений и те кто купил версию платы 1.4. В дпф сделан падстек для выводных индуктивностей. Где то видел, что кто то делал на т25-2, т25-6. Как они там помещаются? Вот хочу сделать заказ на Кемерово, купить ферриту. Или кто какие варианты делал, с хорошими добротностями по схеме как у Евгения. Напишите плиз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5483] Автор : ua9xos Дата : 11.01.2019 19:21 Love777888, На счет добротности и потерь сколько выигрывается, не скажу. Нет пока NWT, чисто визуально разница по приему ощутимая. Кольца Т -25, измерял индуктивность прибором LC100A. Переключение делал на релюшках 304622 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5484] Автор : Love777888 Дата : 11.01.2019 21:23 ua9xos, Спасибо! Но вот как оно на авторской помещается версии платы? Планирую взять кольца, они там как помещаются? Все ли там нормально. СМД понятно что не очень, делал на NLV-32 TDK, довольно таки неплохо, но гемору с настройкой хватает. И все же кто делал на Т25-2 какие результаты? Есть ли смысл заказывать, а то их там 35 штук вроде(могу ошибаться). Все ли комфортно размещается там на плате от Евгения? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5485] Автор : UU5JPP Дата : 11.01.2019 21:47 И все же кто делал на Т25-2 какие результаты? Есть ли смысл заказывать, а то их там 35 штук вроде(могу ошибаться). Все ли комфортно размещается там на плате от Евгения? Я давно делаю ДПФ на этих кольцах, правда третьего порядка, а не 5-го, даже подгонять АЧХ не приходится если ставить номиналы согласно схемы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5486] Автор : Radiotester Дата : 11.01.2019 23:04 UU5JPP, Антон, и как хватает третьего порядка фильтров? Не лезит ни чего лишнего? Так же как дела обстоят по спуру который тут недавно обсуждали? http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1599584&viewfull=1#post1599584 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5487] Автор : UU5JPP Дата : 11.01.2019 23:19 UU5JPP, Антон, и как хватает третьего порядка фильтров? Не лезит ни чего лишнего? Так же как дела обстоят по спуру который тут недавно обсуждали? http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1599584&viewfull=1#post1599584 Если интересно напишите мне на почту uu5jpp@mail.ru я покажу кусочки видео -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5488] Автор : EW2MS Mikhail Дата : 11.01.2019 23:48 Love777888 (http://www.cqham.ru/forum/member.php?28778-Love777888), Вот ради интереса намотал два кольца на Т25-6, на фото видно, что на плате места мала для всех колец. Но можно некоторые индуктивности поставить на кольцах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5489] Автор : Love777888 Дата : 12.01.2019 00:29 Доброй ночи. Ну а если эти кольца ставить этажами, скажем один бенд внизу, следующий бенд вверху, только как там механически будут ли стоять эти ножки с кольцами? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5490] Автор : Radiotester Дата : 12.01.2019 01:58 UU5JPP, Интересно и думаю не только мне. Снимите пожалуйста а я Вам "прстучусь" на почту. Love777888, Я думаю что можно это решить на отдельной плате установив туда уже релюшки с катушками хоть на t37 и разместив ее выше основной в медном коробе для экранировки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5491] Автор : UU5JPP Дата : 12.01.2019 10:05 UU5JPP, Интересно и думаю не только мне. Снимите пожалуйста а я Вам "прстучусь" на почту. Добрый день! АЧХ смогу снять только в будни дни, так как трансивер находится на работе, как раз ещё один доделываю и буду настраивать ДПФ и ФНЧ. Ещё как вариант у кого авторская плата, можно не распаивать ДПФ и PE4259, собрать на отдельной плате размером 100х50 с реле РЭС49 есть 3-го порядко и 5-го, я брал платку в Липецке под авторску плату, но пока не собирал еще. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5492] Автор : US4MEZ Дата : 13.01.2019 12:39 Здравствуйте. А есть у кого рисунок ПП для передней панели с разводкой под дисплей(тот который без платы 3.2") и кнопки? Хочу заменить дисплей 2.8 на 3.2(купленый на али без основания, только дисплей с шлейфом). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5493] Автор : UU5JPP Дата : 13.01.2019 13:12 Здравствуйте. А есть у кого рисунок ПП для передней панели с разводкой под дисплей(тот который без платы 3.2") и кнопки? Хочу заменить дисплей 2.8 на 3.2(купленый на али без основания, только дисплей с шлейфом). Где-то то уже скидывали эту плату, нужно по страницам пройтись, или поиском воспользоваться -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5494] Автор : Алексей М. Дата : 13.01.2019 13:15 Где-то был файл в этой или в теме по деталям ,если автор данной панели не против то продублирую! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5495] Автор : AlexJ Дата : 13.01.2019 14:35 Хочу заменить дисплей 2.8 на 3.2 Я делал такую панель, но это под корпус 80мм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5496] Автор : ur7hfo Дата : 13.01.2019 18:10 Вопрос следующего плана, лезет вещалка по вечерам в основном на двадцатке. Вещалка на 13866 кгц принимается в телеграфном участке двадцатки. Куда копать, чтоб побороть данный эффект ? https://www.youtube.com/watch?v=r07kPXFbcGk&feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5497] Автор : Love777888 Дата : 14.01.2019 05:54 Доброе утро, интересный дефект, вы крутите енкодер и вещалка по центру экрана, всё равно бежит с перестройкой частоты. У меня такая хрень была, но с применением преампа, он шел в возбуд и принимал китайскую станцию и именно на 20-ке, сделал усиление 15-16дб и эта бяка ушла. Может у Вас ПЧ что то лишнее детектирует? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5498] Автор : ur7hfo Дата : 14.01.2019 12:02 вы крутите енкодер и вещалка по центру экрана, всё равно бежит с перестройкой частоты. Да, именно так и есть, бежит с перестройкой частоты. Такой эффект пропадает если включить аттенюатор на 16 или более дб. Может у Вас ПЧ что то лишнее детектирует? Такое впечатление, что при определенном уровне мешающей станции, приемный смеситель начинает работать как детекторный приемник. Включение аттенюатора помогает избавится от этого эффекта. Можно попробовать смоделировать эту ситуацию подав на двадцатке сигнал с генератора (обязательно с амплитудной модуляцией) частотой 13866 кгц и уровнем 59+40 (это около 5 милливольт) , и далее внимательно прослушать телеграфный участок 14.000 - 14.060 кгц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5499] Автор : rn4haq Дата : 14.01.2019 17:04 Здравствуйте. Подскажите , что может быть , всю голову сломал. Имеется такая проблема +- 45кГц от основного сигнала имеются горбы, пробовал подбирать смещение смесителя но этим я добился только лучшего подавления несущей, так же проверял цепочку после сишки . Что интересно ее уровень не меняется от изменения мощности304885. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5500] Автор : R3DI Дата : 14.01.2019 17:09 Обзор под 200к - это как ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5501] Автор : rn4haq Дата : 14.01.2019 22:03 UA4HNU:коментарии сигнал TRX маломут принимается на AFEDRI SDR плюс SDR console шишки плюс-минус 50кгц зто не помеха а сигнал с маломута на 7118кгц SSB сигнал с маломута уровень шишек не зависит от уровня полезного сигнала -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5502] Автор : R3DI Дата : 14.01.2019 22:13 rn4haq, RC фильтры между ТХ кодеком и операционниками установлены? (как в версии 1.4) .... так же нужно смотреть сигналы с ЦАПа кодека, мне попадался такой кодек у которого в один из каналов пролезали все сигналы с I2S. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5503] Автор : rn4haq Дата : 14.01.2019 22:19 304908да все установлено, уже подумываю кодек менять. а если убрать 7C20, 7C26, 7C28, 7C34, т.е отключить вход смесителя от операционников и соответственно от кодека -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5504] Автор : Васген Дата : 17.01.2019 23:52 Кто нибудь пробовал подключить Bluetooth CAT к Маламуту. Где у него RX и TX взять подскажите? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5505] Автор : inter02 Дата : 18.01.2019 01:24 ребята, простите за тупой , банальный вопрос... :crazy: а кто нибудь собирал "маламута" в АТХ овом блоке питания, в смысле корпусе от оного, может у кого чертеж "морды лица" есть ? поделитесь если не жалко. :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5506] Автор : fedorenko28 Дата : 18.01.2019 04:13 Корпус используемый автором не такой уж и дорогой 450р в среднем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5507] Автор : inter02 Дата : 18.01.2019 08:48 проблема не в дороговизне, а в наличии отсутствия, и времени когда он приедет из Китая. а корпуса АТХ, валяются на каждом углу. почему бы не использовать... :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5508] Автор : ua3ycv Дата : 18.01.2019 08:51 почему бы не использовать..."лучше час потерять-а потом за 5 минут долететь" чем говняной железкой хорошую конструкцию испахабить.8-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5509] Автор : Gene58 Дата : 18.01.2019 09:41 Приветствую уважаемое сообщество! Недавно стал обладателем трансивера "Маламут", нахожусь в стадии освоения. В связи с этим возникают вопросы: Как настроить CW манипуляцию в Logger32? Почему то Logger32 в CW машине не видит созданный сом порт, хотя в RTTY и PSK31 все работает. Так же не получается запустить манипуляцию в CWTape, PTT в такт манипуляции хлопает, а тонального сигнала нет. В тансивере выбран простой ключ. Второй вопрос, одной из причин приобретения "Маламут" было желание получить большой монитор с использованием программ типа HDSDR, SDRConsol, SDRSharpe или какой либо другой программы. Все мои попытки запустить HDSDR не дали результата. т.е. отображение какого то сигнала есть, но оно зеркальное, нет такой картинки как на экране "Маламута" После включения трансивера Выбор IQ XX/XXX в место AF в меню настройка SDR снова сбрасывается на AF. Что то похожее на нормальную картинку удалось получить только SDRSharpe, но там нет выбора "Маламут" и по этому программа постоянно выбрасывает какие то предупреждения. Отдельно хочу выразить благодарность за помощь и терпение Ивану RA6ANR. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5510] Автор : R3DI Дата : 18.01.2019 09:54 Сначала выбрать режим iq(дискретизации) на трансивере а уже потом запускать программу на РС, но ни как не наоборот. По включению трансивера он всегда будет в AF- это его основное предназначение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5511] Автор : fedorenko28 Дата : 18.01.2019 10:08 По манипуляции CW, например в LogHX, надо выбрать в настройках Radioport и дергать DTR. Возможно в вашем логе есть подобный пункт меню в настройках портов cat. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5512] Автор : Gene58 Дата : 18.01.2019 10:17 Сначала выбрать режим iq(дискретизации) на трансивере Так и делаю. По включению трансивера он всегда будет в AF Спасибо, понятно. выбрать в настройках Radioport Если склероз мне не изменяет, в Logger32 такого пункта нет, только com, но вечером проверю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5513] Автор : fedorenko28 Дата : 18.01.2019 10:25 И еще один момент, может быть только на моей версии. Если включен режим usb, для входа выхода звука программам цифровых видов связи, будет рваная манипуляция в телеграфе, нужно переключить на mic микрофон. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5514] Автор : Gene58 Дата : 18.01.2019 13:10 Еще просьба, нет ли у кого чертежа с размерами лицевой панели, хочу сделать фальшь-панель. Вариант с кнопками на верху. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5515] Автор : inter02 Дата : 18.01.2019 14:30 конструкцию испахабить. я Вас услышал. :smile: значит придется ждать... :crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5516] Автор : ghjafy Дата : 18.01.2019 15:56 Добрый вечер.скажите пожалуйста,в датчике КСВ катушка сколько мкгн.а то на одной 12 витков 4 мкгн,на другой 12 витков 30мкг.На первой катушке на первом диоде напряжение 1,74в и на втором 0,57,КСВ 1,7,меньше не устанавливается,от мощности не зависит.Вторую не пробовал -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5517] Автор : ghjafy Дата : 19.01.2019 10:52 Добрый день,запаял второе колечко КСВ 1 на нагрузке, на антене 1,4 примерно так как и на ICOM7300.Всем спасибо .С Крещением -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5518] Автор : Gene58 Дата : 19.01.2019 14:49 Приветствую уважаемое сообщество! Недавно стал обладателем трансивера "Маламут", нахожусь в стадии освоения. В связи с этим возникают вопросы: Как настроить CW манипуляцию в Logger32? Почему то Logger32 в CW машине не видит созданный сом порт, хотя в RTTY и PSK31 все работает. Так же не получается запустить манипуляцию в CWTape, PTT в такт манипуляции хлопает, а тонального сигнала нет. В тансивере выбран простой ключ. Уважаемые, нет вариантов решения моей проблемы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5519] Автор : selonov Дата : 19.01.2019 21:04 Подскажите линии SPI_MOSI и SPI_SCK используются как дисплеем так и CS4272?И для чего тогда линии SPI2_MOSI и SPI2_MISO? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5520] Автор : ra6ljm Дата : 20.01.2019 18:08 Сел , хотел запаять контроллер- не соображу, какая из точек на корпусе ключ? Вроде уже раньше ставил, а сейчас не соображу. Помогите... Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5521] Автор : AlexJ Дата : 20.01.2019 18:10 какая из точек на корпусе ключ? Нижний левый угол по фото. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5522] Автор : cqdx Дата : 20.01.2019 20:15 Подскажите а на сколько чистый сигнал на передачу идёт с маламута? Допустим если использовать с усилителем на 2квт?! Добавлено через 24 минут(ы): У многих есть оса. Можете сделать замер спектра в ближний и дальней зонах на любительских диапазонах? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5523] Автор : смолянин Дата : 20.01.2019 23:24 которая самая маленькая точка. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5524] Автор : UA0BHC Дата : 21.01.2019 07:11 ra6ljm, точка рядом с буквами ST - ключ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5525] Автор : ra6ljm Дата : 21.01.2019 08:08 Спасибо, все получилось , даже без бубна) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5526] Автор : ua3ycv Дата : 21.01.2019 09:19 "бубен" ещё впереди:пиво:-но главное -старт! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5527] Автор : cqdx Дата : 21.01.2019 11:16 Вопрос про спектр открыт. Интересует что он выдаёт на выходе платы т.е. до усилителя. Если у кого оса есть или другие спектроанализатору, прозьба измерить то что выходит с основной платы в ближней зоне и в дальней зоне. И второй вопрос созрел. где можно купить печатные платы для маламута. М.б. у котого то есть последних версий лишние. Купил бы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5528] Автор : UA0BHC Дата : 21.01.2019 11:22 печатные платы можно купить у автора R3DI, написав ему личное сообшение. Крайняя версия платы (она одна) - 1.4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5529] Автор : RW6MQ Дата : 21.01.2019 13:38 Подскажите а на сколько чистый сигнал на передачу идёт с маламута? С выхода ДПФ IMD3 лучше 50дб, ниже не вижу аппаратно. Далее всё зависит от того как будет собран и настроен УМ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5530] Автор : Love777888 Дата : 21.01.2019 13:48 Всем привет. Пробовал настраивать цифру в логе UR5EQF, какие то крякозябры прут в этом логе. Трнансивер на передачу выходит. И что там за драйвера в папке? Установил первый. Вроде как работает, а вроде и нет. ВиндаXP стоит SP3, но прога работает пишет просто криво информацию из принимаемого сигнала. В логHX вроде пишет правильно, только на передачу не становится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5531] Автор : fedorenko28 Дата : 21.01.2019 14:00 В LogHX, смотря чем пользуетесь omnirig, или в лог строенным кат CATHX, в настройках радио поставить галку ptt via cat. В качестве звуковых устройств, надо выбрать передатчик маламут, приемник маламут хоть в UR5EQF хоть в LogHX. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5532] Автор : geksagen Дата : 24.01.2019 04:59 Приветствую. Ногами сильно не бейте. У меня двуплатная версия. Не могу никак понять как правильно подцепить st link. Дел с stm никогда не имел. Может кто фото или картинку скинет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5533] Автор : Radiotester Дата : 24.01.2019 05:56 geksagen, Да какая разница хоть 5ти платная, контроллер не раздвоиш))) В mini faq почитайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5534] Автор : geksagen Дата : 24.01.2019 07:31 Radiotester, написано согласно схемы подключить, а на схеме ясен только один пин программатора NRST. Остальные это PA13, PA14, PB3. И как мне угадывать что на них вешать? Может +5 загнать или землю кинуть? Вот отсюда и вопрос. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5535] Автор : R3DI Дата : 24.01.2019 08:36 geksagen, так задали б вопрос своему автору или на ихних форумах. А потом удивляемся - чего тема в помойку превращается и читать не возможно ????..... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5536] Автор : AlexJ Дата : 24.01.2019 08:41 Остальные это PA13, PA14, PB3. Посмотрите на авторскую схему, ьам все расписано по пинам программаторного разъема: PA14-SWCLK PA13- SWDIO NRST- RST - общий. Больше ничего не надо. на прграмматоре берете соотв. сигналы на 20пин. разъеме -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5537] Автор : R3DI Дата : 24.01.2019 10:49 geksagen, понятия не имею, удалите эту ссылку из темы ( из своего сообщения). В первом посту все нужные ссылки на ресурсы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5538] Автор : Radiotester Дата : 24.01.2019 11:52 Radiotester, написано согласно схемы подключить, а на схеме ясен только один пин программатора NRST. Остальные это PA13, PA14, PB3. И как мне угадывать что на них вешать? Может +5 загнать или землю кинуть? Вот отсюда и вопрос. Сравнить две схемы не судьба? З.Ы надо что бы тема не превращалась в помойку открыть ещё одну под названием трансиверы Баламут:ржач: и там задавать вопросы по клонам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5539] Автор : UT5QBC Дата : 24.01.2019 14:33 З.Ы надо что бы тема не превращалась в помойку открыть ещё одну под названием трансиверы Баламут и там задавать вопросы по клонам. Есть тема Доработки SDR TRX "Маламут" клоны тоже можно отнести как доработка , переработка, попытка улучшить схему автора все же... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5540] Автор : UA0BHC Дата : 24.01.2019 14:45 попытка улучшить схему автора все же... Да ладно, в теме появляются то и дело сообщения о том, что как раз в клонах что-то не так разведено или не работает. Это отдельная ветвь форума должна быть. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5541] Автор : UT5QBC Дата : 24.01.2019 14:57 Да ладно, в теме появляются то и дело сообщения о том, что как раз в клонах что-то не так разведено или не работает. да какая разница? давайте на каждый чих создавать тему, не работает значит неудачная попытка ))) прям как дети. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5542] Автор : Eugene163 Дата : 24.01.2019 16:15 А как включается в режиме приёма декодер CW для чтения телеграфных посылок на дисплее? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5543] Автор : R3DI Дата : 24.01.2019 16:43 Setup->CW_Setup->CW_Decode...Yes ( CW_DecLev - уровень ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5544] Автор : Love777888 Дата : 24.01.2019 18:04 Всем здравия и хорошего настроения! Кто нибудь юзал маламут с четырьмя рд16? Сколько мощи снял кто? Ещё вопрос, на чем можно собрать 100 ватт усилок к нему, так что бы бюджетно. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5545] Автор : RD3Q Дата : 25.01.2019 10:04 Love777888, 4 RD16 с преобразователем 22в, мощность 55 Вт на НЧ, 40 Вт на ВЧ диапазонах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5546] Автор : rn4haq Дата : 25.01.2019 11:01 RD3Q, на авторской плате? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5547] Автор : RD3Q Дата : 25.01.2019 11:16 rn4haq, да на авторской v 1.1 или 1.2, на первой! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5548] Автор : rn4haq Дата : 25.01.2019 11:49 RD3Q, а ток покоя для одного? так же 100мА при 22в -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5549] Автор : RD3Q Дата : 25.01.2019 11:53 rn4haq, нет ток на каждый 150-200мА, можно и больше выставить, транзисторы хорошие и переваривают хорошо! Больше всего бояться перегрева, даже большое КСВ не проблема для них, в разумных пределах!:smile: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5550] Автор : geksagen Дата : 25.01.2019 23:08 Можете меня поздравить. Почти стал счастливым обладателем Маламута от автора. Приобрёл плату дабы отблагодарить автора за его труды. Да, есть крамола)) По авторской плате мне всегда помогут и подскажут))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5551] Автор : Radiotester Дата : 25.01.2019 23:52 Всем здравия и хорошего настроения! Кто нибудь юзал маламут с четырьмя рд16? Сколько мощи снял кто? Ещё вопрос, на чем можно собрать 100 ватт усилок к нему, так что бы бюджетно. Спасибо! Не забывайте что и фнч надо иметь "пободрее". Колечки t68, Мика кондерчики. А это хорошие уже грошики .. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5552] Автор : geksagen Дата : 26.01.2019 00:23 Delete -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5553] Автор : R3DI Дата : 26.01.2019 17:37 По использованию HDSDR (обновить SX) Прием через HDSDR , передача с тангенты трансивера. Трансивер перевести в режиме iq, запустить HDSDR... скрин настройки ниже. (в режиме 96к передача только без эквалайзера) 305790 Сам проверить не могу тк нет Маламута, сделал по аналогии с MII, собщите о результатах -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5554] Автор : Love777888 Дата : 26.01.2019 21:14 Здравствуйте! Подскажите кто нибудь пожалуйста по дисплею 3.2, сколько на сколько мм, само стекло-видимая часть дисплея из корпуса? Т.е какие размеры окна должны быть. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5555] Автор : смолянин Дата : 26.01.2019 21:24 может кто подсказать про напряжение на входе дпф(перемычка подключающая снята) по диапазонам.на 160-200,80-500,40-450(милливольт) ну и так далее по диапазонам,где-то больше где-то меньше.так и должно быть? или всё таки должны напряжения быть одинаковые? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5556] Автор : ua3ycv Дата : 26.01.2019 21:40 Love777888,66 на51 мм-это видимое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5557] Автор : Sysert Дата : 26.01.2019 22:34 66 на51 мм65x48 мм на моём дисплее -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5558] Автор : Love777888 Дата : 26.01.2019 22:50 65x48 мм на моём дисплее Так какое окно в чертеже указывать? Я имею ввиду без пластиковой обоймы держащей дисплей. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5559] Автор : Sysert Дата : 26.01.2019 23:01 Если по белому пластику то 71x51 мм -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5560] Автор : R3DI Дата : 27.01.2019 00:15 Предлагаю для уточнения и обсуждения деталей (дисплеи) вести диалог в более подходящей теме - Детали для Маламута (http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0), там дал ссылку на размеры -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5561] Автор : AndreySWL Дата : 27.01.2019 15:15 Забыл... Как перевести трансивер в режим IQ ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5562] Автор : R3DI Дата : 27.01.2019 15:51 SDR-USB Mode-(AF , IQ48/24, IQ96/16) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5563] Автор : AndreySWL Дата : 27.01.2019 15:59 Спасибо! Хочу провести эксперимент с HDSDR Но не понял фразу "обновить SX" - что это? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5564] Автор : Love777888 Дата : 27.01.2019 18:11 Обновить прошивку. Прошивка sx, только для тех кто поддержал покупкой платы у Евгения. Что и правильно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5565] Автор : R6LHA Дата : 27.01.2019 19:01 Здравствуйте. Собрал версию платы 1.4 от R3DI. И столкнулся с проблемой - жирный фликер и ещё шум вокруг него примерно с 26 мегагерц и с увеличением частоты шум растет и фликер толстеет. На 70МГц на пол экрана полоса шума. Грешили на 6TR1, его перемотал - не помогло:-(. Фото без антенны. 305905305907305908 Может кто подкинет идеи или знает решение? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5566] Автор : R3DI Дата : 27.01.2019 19:05 это только с 26 мегагерц , на 40ке все нормально? ( тогда похоже формирователь - смеситель, туда копать, а если везде так - то похоже на кодек ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5567] Автор : R6LHA Дата : 27.01.2019 19:09 До 26МГц фликер нормальный и шума нет совсем. На 40ке фликер практически не заметен. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5568] Автор : Love777888 Дата : 27.01.2019 19:55 R6LHA, Здравствуйте, снимите проходные танталлы с ПЧ и точно отсекете ВЧ часть от ПЧ по звуку. Сделаете для себя выводы где искать. ДПФ настраивали? Вижу аксиальные индуктиности, как с ними завалы в полосе пропускания фильтра? И еще синтезатор у вас на si отсечен от остальных узлов? Или фото не достоверное? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5569] Автор : R6LHA Дата : 27.01.2019 21:09 Love777888, фото достоверное. Фильтр и трансформатор 10tr2 не распаивал после Si. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5570] Автор : Love777888 Дата : 27.01.2019 21:22 Ясно, у меня немного иначе, правда на 1.3 версии, видимо Евгений вывел на пин, там где конденсатор у вас с перемычкой. Фильтра хорошие по форме. Но не хватает все же полноценных колец. 5 дБ, все же многовато. А последний фильтр от 20-30мег, Вам необходимо править. Там и так чувствительность не ахти. Обязательно посмотрите тему доработка трансивера маламут. Там есть схема на лестничных фильтров. Ее испробуйте. Или эту схему на кольца переведите Т25-6, например. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5571] Автор : R6LHA Дата : 27.01.2019 21:37 Love777888 "...снимите проходные танталлы с ПЧ..." не совсем понял, про какие танталы идет речь. Можете номера элементов назвать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5572] Автор : R3DI Дата : 27.01.2019 21:44 R6LHA, посмотрите в #3914 (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1534871&viewfull=1#post1534871) документ if_Malamute-DSB.pdf (http://www.cqham.ru/forum/attachment.php?attachmentid=289939&d=1527595547) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5573] Автор : Love777888 Дата : 27.01.2019 21:49 R6LHA, танталлы в приемнике 7С1, 7С9, 7С10, 7С18, через них сигнал с FST3253 поступает на NE5532. Таким образом вы откинете ВЧ часть от ПЧ и самого кодека. Покрутите бенды и посмотрите, что с фликером. Но как Женя сказал скорее всего в ВЧ проблема сидит. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5574] Автор : RK3AQW Дата : 28.01.2019 09:11 R6LHA, возможно преобразователь 12->5В такое наводит, поставьте кренку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5575] Автор : UA0BHC Дата : 28.01.2019 09:40 столкнулся с проблемой - жирный фликер и ещё шум вокруг него Здравствуйте, R6LHA! Кроме известного документа if_Malamute-DSB.pdf, который уже посоветовали, крайне рекомендую посмотреть видео от RW6MQ Эдуард Трансивер Маламут, настройка, доработка вот это - https://youtu.be/ouewvg6fe_w Там как раз рассматривается работа этого узла в том числе. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5576] Автор : R6LHA Дата : 29.01.2019 14:05 А если подозрение на смеситель, как-нибудь можно его проверить? Есть NWT500 и осциллограф до 20МГц. ПС Забыл добавить, что шум пропадает при нажатии RFOFF, шума нет пока горят красным любое сочетание "RF | DS " -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5577] Автор : R3DI Дата : 29.01.2019 14:24 Вы сами писали что эффект проявляется с 26 МГц, тут нужен осциллограф с полосой до 100 МГц. И у меня все-же большое подозрение на работу LVDS 10U7 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5578] Автор : Radiotester Дата : 29.01.2019 14:45 R6LHA, Посмотрел АЧХ LPF в pdf вашего Маламута. Вижу что самая нижняя точка правого ската ни в одном фильтре не попадает на вторую гармонику сигнала который будет проходить через этот фильтр и должна быть максимально подавлена. По моему разумению должно попадать. Коллеги поправите если не так!?! Да и по 2...3дб затухание тоже как то многовато. По по поводу дпф видно что везде почти по 5дб в полосе прозрачности фильтров затухание. Что удивительно что одинаковое затухание. Это явно не эти чёртовы PEшки, но похоже что nwt не откалиброван. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5579] Автор : R6LHA Дата : 29.01.2019 15:12 Фильтры отдавал на настройку RW6MQ Эдуарду. У меня не было нужных индуктивностей и опыта маловато :oops: R3DI На 10U7 LVDS проверить, что присутствуют противофазные сигналы, будет этого достаточно? В общем найду осциллограф на 100МГц и попробую пройтись по мануалу (if_Malamute-DSB.pdf). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5580] Автор : "70-й" Дата : 29.01.2019 15:22 Здравствуйте. Собрал Маламут на авторской плате , версия 1.3. При включении аппарата на дисплее 2.8 дюйма нет изображения, вижу только подсветку экрана. Процессор работает, переключаются реле, PE-шки, работают кварцевые генераторы. Перемычка на дисплее закорочена, сигналы от процессора на выводах дисплея присутствуют, шлейф распаян правильно. Прошу помощи , консультации, обмена опытом. СПАСИБО. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5581] Автор : R3DI Дата : 29.01.2019 15:51 "70-й", линии прозванивали на КЗ и междусобойчики ? (дисплей есть на чем проверить, может есть другой дисплей) .... да и фото то бы неплохо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5582] Автор : RW6MQ Дата : 29.01.2019 16:05 Посмотрел АЧХ LPF в pdf вашего Маламута. Вижу что самая нижняя точка правого ската ни в одном фильтре не попадает на вторую гармонику сигнала который будет проходить через этот фильтр и должна быть максимально подавлена. Это у ФНЧ 2, 3 гармоника должна быть максимально подавлена, что выполняется, ДПФ должен обеспечивать более-менее плавное перекрытие всего КВ диапазона. Да и по 2...3дб затухание тоже как то многовато. По по поводу дпф видно что везде почти по 5дб в полосе прозрачности фильтров затухание. Это сквозная АЧХ от смесителя до антенного гнезда. Не забывайте 1 РЕ = -0,35ДБ (даташит), их в цепи 10шт, итого - 3,5ДБ. Получается (по факту) = - 3дб - РЕ, - 1,5ДБ* ФНЧ (на 10м), ДПФ - 2дб* итого - 6,5 дб. И это идеальный случай, когда всё настроено, до - 8 дб на 28мгц сквозного затухания - это норм, выше, уже будет сказываться на сигнал/шуме. И ещё, про ДПФ на кольцах, контурах и прочем материале с цельно "супер показателей" - зачем??? Из каких соображений вы пишите что 2-3 дб в полосе это многовато?? Чего пытаетесь добиться, какого параметра? Получить затухание менее 2 дб на 10м - это очень сложно и нужны не т-25, а Т50 кольца, во первых, а во вторых и чо? :) зачем это вам? Вы не получите выигрыш в сигнал/шум и роста чутья. Схема в Маламуте весьма концептуальна и продумана в соответствии с классом проектируемого аппарата и его габаритам. Применение дорогих реле в ДПФ и недешевых колец amidon, не дадут общего преимущества конструкции (увеличение размера, потребления, цены), всё должно быть рационально и разумно, что и сделано в авторском варианте. Собирайте. Настраивайте и наслаждайтесь работой конструкции. Всем успехов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5583] Автор : AlexJ Дата : 29.01.2019 17:07 вижу только подсветку экрана У меня такое было на первом Маламуте, оказался дохлым кодак, коротил один из сигналов, вроде и есть, но не то, а учитывая, что кодаки и дисплей сидят на одной шине упр., дисплей не работал. Посмотрите на кодаки, не греется ли какой из них, или сдуйте на время проверки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5584] Автор : "70-й" Дата : 29.01.2019 17:21 Спасибо, AlexJ. Автор Маламута мне подсказал эту версию. Проверю по накоплению информации, чтобы не "накосячить" на плате. А не подскажите как выглядят осциллограммы по "общим шинам " SPI при нормальной работе? Есть в составе сигналов на осциллограммах - - и в каких - - постоянная составляющая? Спасибо еще раз. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5585] Автор : Love777888 Дата : 29.01.2019 17:55 "70-й", Здравствуйте, у меня было такое из-за неконтакта в самом разъеме. Китайцы ещё ту шляпу шлют. Переделал на запаянное соединение на самом дисплее. На плате применил съемное с компьютерной переферии. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5586] Автор : "70-й" Дата : 29.01.2019 18:11 Спасибо, Love777888. Контакты на плате дисплея и шлейф проверил, я тоже применяю "компьютерную" пару разъемов. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5587] Автор : R6LHA Дата : 29.01.2019 20:11 Попробовал паяльником к LVDS прикоснуться - эффект фликер становиться меньше. Думаю пациент найден. SN65LVDS34D брал в sw-radio, так что брак бывает везде :evil:, как это не печально. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5588] Автор : UU5JPP Дата : 29.01.2019 20:13 Попробовал паяльником к LVDS прикоснуться - эффект фликер становиться меньше. Думаю пациент найден. SN65LVDS34D брал в sw-radio, так что брак бывает везде :evil:, как это не печально. у них цены не обосновано завышены, особенно на PE4259 и кодеки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5589] Автор : RC3ZQ Дата : 29.01.2019 21:35 Это у ФНЧ 2, 3 гармоника должна быть максимально подавлена, Вот пример фильтра как получились у меня LPF где предварительно были проверены L И С на приборе. Кольца T50-2, T50-6. Емкость контактов реле не были учтены. Конденсаторы smd в 1206. 306094306095306096 Я хочу сказать то что стремится нужно к более лучшим результатам, не важно какого класса и схемного решения трансивер. Добавлено через 9 минут(ы): Это у ФНЧ 2, 3 гармоника должна быть максимально подавлена, что выполняется Эдуард, судя по графику не совсем оно хорошо выполняется. Стрелками указал где "воронки" должны быть. Если не прав то поправьте! 306098 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5590] Автор : sr-71 Дата : 29.01.2019 22:00 Вот пример фильтра... Сканирование при Q = 40 и при Q = 100. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5591] Автор : RC3ZQ Дата : 29.01.2019 22:16 sr-71, Что хотели сказать что при разной добротности Q АЧХ не отличаются? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5592] Автор : sr-71 Дата : 29.01.2019 22:30 Что хотели сказать...? Для Палыча делаю иногда расчёты, привык при разных Q... Вот еще при Q = 20. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5593] Автор : "70-й" Дата : 30.01.2019 14:14 СПАСИБО всем за помощь. С ВАШЕЙ помощью нашел причину - кодек в передатчике, вычислил по повышенной температуре корпуса. Час назад " сдул" эту микросхему и дисплей ожил. "Не врублюсь" как найти в меню Si-шку и выставить частоту ее кварца, прошу подсказки. С уважением ко всем . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5594] Автор : R6LHA Дата : 30.01.2019 14:25 "70-й" ищите пункт DDS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5595] Автор : "70-й" Дата : 30.01.2019 14:30 Принял, СПАСИБО.:пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5596] Автор : sr-71 Дата : 31.01.2019 03:15 ...делюсь проверенным диплексором... Сканирование в программе от Игорь 2. ________ Иногда хочется чтобы всегда © -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5597] Автор : geksagen Дата : 01.02.2019 08:53 Всем доброго дня. Вопрос следующий, уже всю голову сломал, при включении вот такая картина 306278 При этом жутко греется rx кодек. Менял кодеки на оригинал. Поднимал танталы на входе. Снимал все что по i2c с процессором общается. Оставлял только rx кодек. Даже проц менял. Картина одна и та же. Так же сопровождается это пропаданием звука по мере нагрева кодека. Осликом все протыкал, норм. На массе только дребезжащие 50гц. Подключал от аккума. Энкодер, валкодер работают. Переключение преампов и т.п. ничего не меняют. Подавал на вход смесителя 7.100 80мВ пики проклевываются слегка. Все вызвонил, кз нет, непростая нет, номиналы соответствуют, менял по кругу fst, ne. Сишка генерит ровно в 2 раза больше. Кварцы на проце тоже генерят как надо. Все. Идей больше нет. Не верю я что ни один из 2 оригинальных и 2 китайских кодеков не работает. О, забыл сказать если поднять R8R 100ом то кодек не греется, но и панорамы вообще нет. Если замыкать резистор на площадку, то опять появляется весь этот ужас, размыкаешь все пропадает, а нагрев продолжается, как будто что-то завелось. С tx кодеком вроде нормально. При нажатии на передачу потихоньку нагревается, отпускаешь остывает. Хотелось бы идей свежих и замеров по линиям кодека rx R_i2s_MCLK,R_i2s_WS,R_i2s_CK,R_i2s_SD,R_i2s_extSD. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5598] Автор : R3DI Дата : 01.02.2019 11:02 На сигналах mclk-12.288 mHz, ck-3.072mHz, ws-48kHz, остальные это звуковые данные. Греется как, если ..60 грн.Ц то это нормально. Действуйте методом последовательного исключения- оторвите входные ёмкости от кодека, если все также - кодек в топку. Посмотрите файл в дополнениях на первой страницы, и недавно тут пару страниц назад было, lfMalamuteDSB -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5599] Автор : Модест Петрович Дата : 01.02.2019 11:16 Евгений, добрый день! а можно вопрос. Вы не хотите добавить функцию APF в трансивер? это актуально для телеграфистов, а вот ревербератор нафиг не нужен :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5600] Автор : R6LHA Дата : 01.02.2019 11:20 Измерял уровень на пинах 2 и 3 SN65LVDS34D сигнал красивый и противофазный, но при увеличении частоты уровень падает на обоих пинах одинаково 3,7МГц - один канал 3,18V второй 3,16V пик ту пик 28МГц - один канал 880mV второй 840mV пик ту пик Это нормально или SN65LVDS34D бракованная? А на выходе 74LVC74 (пины 5 и 9) сигнал на любой частоте 90 градусов, но уровень при 3,7МГц - один канал 3,5V второй 3,4V пик ту пик 28МГц - один канал 2,16V второй 1,5V пик ту пик Подскажите, кто глючит и кого под замену? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5601] Автор : R3DI Дата : 01.02.2019 11:30 R6LHA, попробуйте для начала снять резисторы 10R10 and 10R14, конденсатор оставить, посмотрите что с сигналами с выхода лвдс в эмом случае, и да, чем измерения производите и в каком режиме прибор? PS ещё не плохо бы отключить триггер от лвдс, может он давит....резисторы 10р15, 10р18 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5602] Автор : R6LHA Дата : 01.02.2019 11:45 Измерял осциллографом UNI-T UTD2102CM режим AC coupling Этот кусок схемы собран по советам как в прикрепленном файле306292 Снял резисторы 10R10 and 10R14, ничего не поменялось Так же снял 10R15 and 10R18, так же уровень прежний, только на экране шум пропал, что логично -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5603] Автор : R3DI Дата : 01.02.2019 12:11 34ю на замену, и для неё смещение не нужно, оно для 028й -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5604] Автор : R6LHA Дата : 01.02.2019 12:13 Правильно я понял, что 10R10 and 10R14 назад не впаивать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5605] Автор : R3DI Дата : 01.02.2019 12:44 UTD2102CM не читал инструкцию, но обратите внимание что у таких приборов есть отключаемый пункт "20BW" как правило, ограничение полосы в 20мГц, при 28мГц на экране трансивера с лвдс выходит 56 мГц. 10R10 and 10R14 = после замены пока не впаивайте. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5606] Автор : R6LHA Дата : 01.02.2019 13:02 Спасибо, Евгений, за быстрые ответы и помощь. Пункт "20BW" действительно есть и он выключен (полная полоса стоит). Теперь буду ждать когда приедет новая LDVS. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5607] Автор : geksagen Дата : 01.02.2019 13:03 R3DI, греется так, что через 20 секунд палец уже не удержать. Ну и соответственно греется как печка кренка 5в. При откидывании танталов ничего не меняется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5608] Автор : R3DI Дата : 01.02.2019 13:06 ну если так греется - однозначно с кодеком не порядок. Демонтируйте его, проверте все питания и монтаж, установите другой ( с ТХ ).. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5609] Автор : geksagen Дата : 01.02.2019 13:11 R3DI, перепроверено все не по одному разу.Все питания на месте.Вся обвязка в норме.До процессора все доходит.12мгц,3,мгц и 48кгц на месте. Кодеки переставлял. Кодеки на Sw radio брал. Картина одна и также что на китайских, что на swшных. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5610] Автор : RK3AQW Дата : 01.02.2019 15:34 geksagen, на передачу кодак работал?В моем случае, некоторые кодаки после перепайки переставали работать(левак 100% был),один кодак у которого контакты через корпус вылазили(лицевая сторона) и надписи названия,до сих пор работает(из партии 10 Шт,он оказался один рабочий)были случаи ,когда один из каналов работал,др шипел,после прогрева феном умирал медленно около 2-3 часов после включения.так что …..не факт что Sw-шные у вас родные и рабочие)).Почитайте ветку детали маламута(о деталях и их качестве,тут все потерли об этом)Этот трансивер из разряда,спаял и работает,настройка только ДПФ ,ФНЧ ,ток оконечного каскада УМ выставить,ток УВЧ---все остальное качество комплектующих и паяльщика. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5611] Автор : Radiotester Дата : 02.02.2019 01:57 geksagen, Ну если он жрет так ток то или не исправен или чем то подкорчен каким либо образом. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5612] Автор : rv9ok Дата : 02.02.2019 08:33 Добрый день уважаемые, может у кого есть файлы печатных плат маломута есть или нет вариант вложить их или тут или на почту понимаю что очень опоздал но сделать хочется варианты плат любые -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5613] Автор : AlexJ Дата : 02.02.2019 08:43 но сделать хочется варианты плат любые А у автора купить готовые пп что мешает? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5614] Автор : rv9ok Дата : 02.02.2019 08:49 нет не мешает о он продает ?? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5615] Автор : ua9ylu Дата : 02.02.2019 08:51 Пишите автору в личку, и совет, прочитайте всю тему не ленитесь, будет и познавательно и интересно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5616] Автор : rv9ok Дата : 02.02.2019 09:43 так начитался -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5617] Автор : EW2MS Mikhail Дата : 02.02.2019 09:44 Походу по диагонали читали. Если бы читали, вопросов такого характера не возникло. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5618] Автор : ua9ylu Дата : 02.02.2019 09:46 Если читали то таких вопросов бы не было, опять же при использование авторских плат получите другую более продвинутую прошивку. Платы посмотреть не проблема, в новосибе полно маламутов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5619] Автор : rv9ok Дата : 02.02.2019 10:28 но почему может у кого есть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5620] Автор : fedorenko28 Дата : 02.02.2019 10:32 Пишете автору, получите помимо платы возможность установки прошивки с трансвертерным режимом и другими программными доработками. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5621] Автор : R6LHA Дата : 02.02.2019 12:47 Подключил "Маламут" к компьютеру, HDSDR программа. На USB кабеле с двух сторон ферритовые защелки по 3 витка. Заметил, что при включении CAT через Omni-Rig подскакивает шумовая дорожка в программе HDSDR с -110 до -103 примерно и на экране трансивера. Диапазон 7МГц. Это нормально или как-то можно побороть? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5622] Автор : Love777888 Дата : 02.02.2019 15:39 Всем привет. А как можно ID процессора глянуть в проге от STM? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5623] Автор : ub3gce Дата : 02.02.2019 20:46 Сделайте сброс FRAM на экране появится ID проца и дальше отмена. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5624] Автор : RD3Q Дата : 04.02.2019 06:45 R6LHA, не совсем понятно, при подключении кабеля USB или именно при включении программы Omni-Rig? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5625] Автор : R6LHA Дата : 04.02.2019 12:13 RD3Q именно при включении синхронизации частоты. Пока идут только I и Q данные - шум на уровне -110, как только включаешь синхронизацию частоты - шум подскакивает до -103 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5626] Автор : Love777888 Дата : 04.02.2019 13:58 Всем здравия! Подскажите в схеме родного ксв метра как использовать бинокль 43-2402? что то не получается совсем, нету кольца 600НН, про тандем, можно, но нет диодов BASXXX в выпрямителях. Да и переделкой заниматься не хочется. Сейчас стоит колечко с материнки, от 14-30мгц показует 1.1 на 50 ом. на 160-30м от 2-1.1. Сильно не пинайте, просто купить в нашем болоте негде, на заказ ждать. Может можно туда на бинокле адаптировать. Причем на бинокле мотал витков 12 внутри бинокля и тудаже первичку один виток, получилось ксв 100, сейчас когда все распаял и пишу сообщение догнал, надо может было местами вторичку перепаять....Советуйте. Вспомнил на дросселе после диода 1Д1 было 2.5 вольта, на другом дросселе 0.8 вольта. Надо было местами поменять? И еще это на мощности в 10%. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5627] Автор : UA0BHC Дата : 04.02.2019 14:20 В теме Доработки для Маламута на самой первой странице схема на вышеуказанном бинокле http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1522937&viewfull=1#post1522937 посмотрите там же 7 сообщение -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5628] Автор : Love777888 Дата : 04.02.2019 21:55 Проблему с кольцом решил достал 600нн, ещё вопрос Евгений как включить трансвертер? На экране, чего нибудь должно измениться? И ещё вроде есть прошивка новая на я диске от этого числа, что изменилось? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5629] Автор : rn4haq Дата : 04.02.2019 22:10 Love777888, скорей всего ответ здесь (http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1606303&viewfull=1#post1606303) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5630] Автор : R3DI Дата : 04.02.2019 22:21 Love777888, в меню СЕТАП есть пункт transverter, активируйте нужный и он появится при переключении диапазонов. По прошивке уже говорил, - как будет что-то новое - сообщу тут. ПС. Заодно вспомнил про eq_rx, не забыть бы.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5631] Автор : Love777888 Дата : 04.02.2019 23:21 Евгений здравствуйте! Че то ничего не пишет на дисплее, новых надписей нет, прошил сегодня новую sx. Или ещё трансвертер должен быть присоединен? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5632] Автор : RD3Q Дата : 05.02.2019 06:24 Love777888, а в меню включили трансвертер? Без трансвертера будет только отображение на дисплее естественно! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5633] Автор : ua3ycv Дата : 05.02.2019 08:59 новых надписей нет, прошил сегодня новую sx.странно-новое в меню пункты-смотрите внимательно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5634] Автор : Love777888 Дата : 05.02.2019 10:50 Приветствую всех, хорошего настроения! Парни в меню трансвертера, включил, там же их несколько поддиапазонов, например 50мгц стоит on. Но при выходе на основной экран нету сверху надписей о том же 50мгц. Как то до этого видел человек на 28мгц основная частота на дисплее, а сверху были цифры и по идее они должны быть там 50 мгц с нулями. Но у меня их нет. Вот поэтому интересно стало. Может там инициализация должна пройти между трансивером и трансвертером? И после этого вверху частота появится ту что выбрал в меню. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5635] Автор : R3DI Дата : 05.02.2019 11:09 Love777888, если при входе в меню трх был на 20ке ( например) , то при активации трансвертера и выходе из меню он так и останется на 20ке, далее кнопкой band нужно дойти до нужного диапазона . Никакой инициализации нет, режим трансвертера это просто математика - трх работает на частоте ПЧ трансвертера указанного в меню, а на экране отображается нужную ( удобную) частоту диапазона. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5636] Автор : UA9olb Дима Дата : 05.02.2019 11:11 del -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5637] Автор : ghjafy Дата : 07.02.2019 00:29 Есть две 3D панели передние для дисплея 2,8 корпус G767 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5638] Автор : ghjafy Дата : 07.02.2019 17:34 Панелей нет -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5639] Автор : geksagen Дата : 07.02.2019 20:18 Есть ещё у кого на продажу панели для G767 под 2.8 дисплей? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5640] Автор : R3DI Дата : 07.02.2019 20:46 Евгений, добрый день! а можно вопрос. Вы не хотите добавить функцию APF в трансивер? это актуально для телеграфистов, а вот ревербератор нафиг не нужен :) Приветствую! АPF- что делает? Ревербератор вес трансиверу не прибавляет, и мне нужен ;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5641] Автор : RU3XW Дата : 07.02.2019 22:15 АPF- что делает? CW APF (Пиковый аудио фильтр) В режиме CW функция APF (Пиковый аудио фильтр) позволяет выделить аудио сигнал определенной частоты, что приводит к улучшению соотношения сигнал/шум и может повысить разборчивость CW сигнала. Это из описания на FT-DX-1200 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5642] Автор : Genadi Zawidowski Дата : 07.02.2019 22:30 А зачем если можно фос заузить как надо? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5643] Автор : selonov Дата : 08.02.2019 16:11 А bpsk не ожидается случайно?А то много станций в этом виде слышишь а дешифровки нету к сожалению.Приходится компьютер подключать и только дома использовать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5644] Автор : R3DI Дата : 08.02.2019 17:51 нет. А как насчет андроид-приложения, и экран не 320*240 и интерфейс и функции и макросы... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5645] Автор : EW2MS Mikhail Дата : 08.02.2019 21:37 Любят мечтать люди в слух)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5646] Автор : geksagen Дата : 09.02.2019 00:01 selonov, ты бы лучше помечтал об отказе от PE4259. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5647] Автор : ua3ycv Дата : 09.02.2019 07:25 помечтал об отказе от PE4259.а что с ними не так в походном трансивере?вроде как с своими фунциями не плохо справляются-ток не кушают громко не щёлкают-да есть потери-но и в жизни есть находки и потери:пиво:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5648] Автор : selonov Дата : 09.02.2019 17:56 geksagen (http://www.cqham.ru/forum/member.php?37499-geksagen) У меня на мелких релюхах этот узел сделан.Пусть щелкает главное надежный. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5649] Автор : Love777888 Дата : 10.02.2019 12:26 Здравствуйте! Хочу проверить последнюю прошивку, перевел трансивер в IQ48/24, звук в трансивере пропал, при подключении компа требует драйвер SDR Malamute IQ, где его брать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5650] Автор : rn4haq Дата : 10.02.2019 12:35 На первой странице ссылка на Ядиск там все есть -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5651] Автор : Love777888 Дата : 10.02.2019 12:47 rn4haq, Спасибо в папке САТ? Какой из них? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5652] Автор : R3DI Дата : 10.02.2019 22:28 Love777888, укажите папку с inf файлами - а система сама применит нужный -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5653] Автор : Georgsan Дата : 11.02.2019 00:01 Love777888, вы хоть поменяйте свое название (аватар или как ее там)...а тот как на вечеринке у трансвеститов..ну не серьезно... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5654] Автор : Love777888 Дата : 11.02.2019 08:34 Georgsan, Охр-неть, не на одном из технических форумах еще никто мне не говорил про эту х-ню! Оставайтесь при своих мнениях наедине! Не вам решать, кому и кем и какими аватарами себя обозначить! И если вас это успокоит тут вам не вечеринка, а серьезный форум! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5655] Автор : Модест Петрович Дата : 11.02.2019 09:03 АPF- что делает? Евгений, день добрый! ниже примерно правильно сказали. но APF может быть разный. на частоте Pitch и перестраиваемый по частоте +-. совершенно шикарная приблуда для "морзистов", а то как то они незаслуженно забиты в Вашем изделии :) ревербератор для любителей SSB же сделали. если надумаете сделать-могу снять кино, как работает APF в разных радио и отправить Вам. для общего понимания вопроса. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5656] Автор : R3DI Дата : 11.02.2019 10:00 Андрей, приветствую! Не понятно зачем он нужен, когда ФОС любой задать можно. Забиты???- вот зачем такое писать? Когда , электронный ключ, память на один знак, настройка огибающей, vfo, split, XFC....и тд именно для "морзистов" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5657] Автор : Модест Петрович Дата : 11.02.2019 10:57 вот зачем такое писать ну прошу пардону, Евгений. я смайлик поставил. ФОС любой задать можно можно. но так обычно говорит тот, кто не слышал работу APF. разные задачи у сужения полосы и APF. это очень востребованная функция. к примеру в СДР от ЕЕ, включение этой функции дает больше эффекта при приеме слабых сигналов, чем сужение полосы ФОС. в других радио тоже. в ft-2K APF стоит на частоте Pitch, у СС2 тоже, в ft-891 APF регулируется +- от частоты pitch (тон принимаемого телеграфного сигнала). в APF обычно регулируется усиление и полоса. вот такая вот информация к размышлению :) вот здесь вот https://www.youtube.com/watch?v=H4MghMGcSNk я немножко показал, как работает APF. заинтересует-сниму более детально. да, в видео еще показана работа фирменной yaesu-вской фишки contour. это похоже на APF, но может работать как с усилением, так и с подавлением. совсем Вас запутал :) сейчас прикинул, что в "Маламуте" (если соберетесь сделать APF) лучше делать фиксировано на частоту pitch, ибо ручек не так много. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5658] Автор : R3DI Дата : 11.02.2019 11:35 Андрей, раз 8 смотрел и слушал видео....мне б математическим языком - что она делает. Пока мое предположение такое - делает узкополосный "горб" (~50Гц полосой, усиление 6..12дБ) на ФОС, при этом сам ФОС остаётся 400..800, чтобы слышить что рядом? ... А то получается что при широком ФОС все в уши без выделения основной, с узкой ничего вокруг. Как-то так что ли? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5659] Автор : Модест Петрович Дата : 11.02.2019 11:54 Евгений... сложно мне математическим языком объяснить работу APF. я не программист, я эникейщик :) давайте так: я попробую как то объяснить алгоритм или принцип работы APF. сниму видео с ft-2k и ft-891. Вы посмотрите и... поймете, как сделать это в математике. ФОС лишь обеспечивает полосу пропускания, а APF именно выделяет (на частоте pitch или перестраиваемый) телеграфный сигнал. Вы правы, получается как бы "горб" с каким то усилением, но "юбка" горба (прямоугольность) могут быть разные для более комфортного приема. вот наверно как то так :) да, по НЧ я получал подобный эффект включая последовательно НЧ фильтр сначала на MAX7400, потом на TL081. посмотрите вот здесь: http://www.cqham.ru/forum/showthread.php?36929-Ubitx-hf-trx-%E8%E7-%C8%ED%E4%E8%E8/page22 как это сделать в "Маламуте" на программном уровне-ума не приложу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5660] Автор : смолянин Дата : 11.02.2019 22:35 Евгений(R3DI) к вам вопрос.а возможно ввести на экран ,мелким шрифтом,частоту второго VFO(в данный момент неактивное)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5661] Автор : R3DI Дата : 11.02.2019 23:14 смолянин, куда ? есть варианты свободного места ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5662] Автор : смолянин Дата : 11.02.2019 23:15 смолянин, куда ? есть варианты свободного места ? а если чуть уменьшить рабочее VFO и под ним.мелким шрифтом,главное чтобы читалось.не малое удобство. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5663] Автор : R3DI Дата : 11.02.2019 23:26 хм.... тут народ и так жалуется что экран меленький... уменьшить ))))) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5664] Автор : смолянин Дата : 11.02.2019 23:33 хм.... тут народ и так жалуется что экран меленький... уменьшить ))))) ну уж на что я слепенький и то 2.8 экран нормальный считаю.ещё вариант по надписью TX/RX . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5665] Автор : R3DI Дата : 11.02.2019 23:39 а зачем оно нужно- знать частоту vfo B ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5666] Автор : Love777888 Дата : 12.02.2019 01:18 Евгений здравствуйте! Уже как то предлагал, а точнее спрашивал, можно ли на заставке маламута или скажем на белом экране идущем после заставки на 1-2 сек выводить свой позывной при загрузке трансивера (как в айкоме)? Но это как получается, нет так нет. Спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5667] Автор : ra6ljm Дата : 12.02.2019 07:15 Евгений, можно и мне хотелки) Я изготовил dc/dc преобразователь 12-24v( по мотивам sw). Так вот, возможно ли управление им с контроллера, возможно с заменой какой нибудь ф-ии трансивера на переключение LP(0) или HP(1). Т.е. нужен один пин контроллера, на котором, в режиме LP -0 преобразователь выключен и в режиме HP-1 преобразователь включен( повышенная мощность). Не красиво получится , если делать дополнительный тумблер) Спасибо -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5668] Автор : RD3Q Дата : 12.02.2019 08:00 ra6ljm, а у Вас что преобразователь в режиме приема тоже работает и много потребляет? Какой смысл в переключении? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5669] Автор : UU5JPP Дата : 12.02.2019 08:00 А зачем что то дописывать если преобразователем можно управлять по шине TX, или Вы имеете ввиду вообще отключать при необходимости? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5670] Автор : ra6ljm Дата : 12.02.2019 08:54 Ну в поле(для чего и создавался аппарат), возможно, возникнет необходимость принудительного отключения этого режима, для энергосбережения. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5671] Автор : Love777888 Дата : 12.02.2019 13:25 Парни выручайте! Не могу настроить цифру, виндовс ХР, на 8.1 64 бит вообще не пошло, лог UR5EQF, пишет всякую хрень, то есть декод то нет, на мощных сигналах почти всегда нет. Потом пока ТХ не нажмешь картинка не двигается. САТ настроена, выбрал свой ком порт. Еще момент на передачу встроенный ваттметр показует 0.5 ватта, даже если тон в программе жмешь, с трансивера тон 26 ватт, как положено. Ребята помогайте, в цифре не разу не работал, надо наверстывать. Уже голову всю изломал. На ХР работает кто под этим логом? 307146 Добавлено через 39 минут(ы): Какой длины шнур кто использует между радио и ноутом? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5672] Автор : RD3Q Дата : 12.02.2019 13:30 Олег у меня стандартный от принтера! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5673] Автор : aiwa Дата : 12.02.2019 16:31 Декод то идет. Попробуйте отключить "Голос". Курсор на сигнал корреспондента. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5674] Автор : Love777888 Дата : 12.02.2019 16:40 Короче, тема темная для меня, нет винды с 32 бит семеркой или 8-10-й, кто и как настраивал в ХР признавайтесь, кабель также пользую от принтера. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5675] Автор : RD3Q Дата : 12.02.2019 16:46 Love777888, Олег а в звуковых устройствах выбраны источники звука Маламут или звуковая карта компа? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5676] Автор : Love777888 Дата : 12.02.2019 17:23 Игорь спасибо за советы, нашел в поисковике от UR4QBP настройку, оказывается не рассмотрел он тоже на вин 8 64 бит настраивал, сделал как он рекомендовал и провел с французом QSO. Я рад одним словом! Никогда не думал, то цифра это кландайк ДХ-сов -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5677] Автор : Gene58 Дата : 12.02.2019 19:01 Евгений(R3DI) к вам вопрос. Маламут полностью поддерживает САТ протокол FT-718? Данный вопрос возник по причине того, что не получается прикрутить трансивер к Logger32. Т.е. частота, моды, РТТ из программы управляются, а вот из Radio Control Panel не получается изменит моду, диапазон, вкл. Split и т.д. Еще при вкл. RTTY он сразу становится в Shift 450. как сделать, что бы по умолчанию было 170? Какие настройки влияют на декодирование CW? Ни как не получается получить стабильное декодирование. Это конечно не принципиально, но если есть такая возможность, хотелось бы что б работала. При включении на экране пишет ver.7.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5678] Автор : EW2MS Mikhail Дата : 12.02.2019 19:07 Маламут полностью поддерживает САТ протокол FT-718? Вообще то FT-817 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5679] Автор : Gene58 Дата : 12.02.2019 19:13 Вообще то FT-817 И что? Уели? По сути вопроса есть что сказать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5680] Автор : EW2MS Mikhail Дата : 12.02.2019 19:18 И что? Уели? По сути вопроса есть что сказать? То, что проблема у Вас не в трансивере. А в самой программе, пишите автору программы. У меня всё работает с другими программами как и должно работать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5681] Автор : R3DI Дата : 12.02.2019 19:21 Gene58, кат фт817 не весь, но моды должен переключать, за сплит не скажу, возможно нет поддержки через кат. Ртти , где-то в меню было, CW- есть пункт cw declevel ( уровень). В следующем обновлении RTTY and CWdecod будут отключены, используйте более подходящие для этого вычислительные устройства ( РС, андроид...) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5682] Автор : UA9olb Дима Дата : 12.02.2019 19:30 Евгений ,сделайте в следующей версии что бы при RTTY по дефолту включался 170 гц ,сейчас 450 что не удобно ( с таким разносом радиолюбители не работают( При выставлении в меню 170 сбрасывается при выключении. И ещё ждем версию с режимом трансвертера 5.7 и 10 ггц . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5683] Автор : R3DI Дата : 12.02.2019 19:54 UA9olb Дима, этой версия потребуется сброс настроек ( чистка Фрам), если будет возможность - завтра скину. Так же там теперь правильный Sметр, учёт параметров атт и пре.... Как обычно что-то ещё, что уже не помню))). Для 5 и 10 G минимальный шаг перестройки 10 Гц. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5684] Автор : Gene58 Дата : 12.02.2019 20:33 В следующем обновлении RTTY and CWdecod будут отключены Ну про CW не знаю, а вот RTTY работает довольно прилично. Да я конечно использую сторонние программы для цифровых видов. Просто прикольно иметь декодирование в трансивере. С САТ я понял, возможно по незнанию неправильно пишу команды. Буду пытаться дальше. Еще крайний пока вопрос, я так и не смог настроить передачу CW в Logger32 и CWType. PTT не включается и нет манипуляции. Ни через Omni Rig ни по другому. Может Вы или кто ещё подскажет как победить? Хотя в LogHX3 работает правда только при выборе HXCat interface. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5685] Автор : R6LHA Дата : 12.02.2019 23:52 Здравствуйте, Евгений R3DI. Если можно, было бы не плохо вместо CWR и CWL сделать один режим CW с палкой по центру фильтра, как в видео про Маламут 2, на мой взгляд было бы удобно. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5686] Автор : UA9olb Дима Дата : 13.02.2019 17:51 Прошил новую версию с трансвертером на 5.7 и 10ГГц .Вообще класс трансивер не узнать . и спектр другой и с метр классно работает!!! офсет авто тоже нравится! Спасибо Евгений. Трансвертор ещё не подключал позже напишу что да как. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5687] Автор : R3DI Дата : 13.02.2019 18:08 Есть еще вот что в калибровке: Sметр Smet OffSet - калибровка Sметра ( делать при включении узкополосного ФОС) Smet clear - по хорошему должна быть 0, но если "глаза мозолят" остатки баллов.. - влияет только на "градусник", на показания на "доп инфо " (над герцами, если выбрано SdB) не влияет. АТТ ПРЕ после калибровки Sметра подать сигнал на вход трх, включить АТТ1, в меню SDR-ATT1 Set выставить действительное значение АТТ1 т.е. показания Sметра не должны изменится при включении АТТ1. проделать то же самое с АТТ2 (SDR-ATT2 Set). то же самое с ПРЕ (SDR-PRE Set) ( не введите в перегруз вход, лучше делать с АТТ с калиброванными значениями ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5688] Автор : UA9olb Дима Дата : 13.02.2019 18:42 Отлично!!! откалибровал АТТ и ПРЕ атт и не пришлось ничего крутить в меню все четко!!! ПРЕ маленько подрегулировал .После регулировки все встало на свои места а то маленько не понятно было почему при включении УВЧ показания S метра уменьшались) Сейчас все в норме!!! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5689] Автор : RD3Q Дата : 13.02.2019 19:15 R3DI, а что за новая версия, где описание изменений и где скачивать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5690] Автор : UA9olb Дима Дата : 13.02.2019 19:17 а что за новая версия На яндексе как всегда папка прошивки. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5691] Автор : ua3ycv Дата : 14.02.2019 09:00 парни тупо потерял "сетку" на панораме-где она прячется? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5692] Автор : UA9olb Дима Дата : 14.02.2019 09:16 потерял "сетку" По моему в дисплее) grid c таким словом настройка -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5693] Автор : RX9UAO Дата : 14.02.2019 14:05 Прошивки SX и SXG,в чем отличие? В размере-да. А еще? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5694] Автор : UA9olb Дима Дата : 14.02.2019 14:28 в чем отличие? Прошил SXG есть режим трансвертора 5.7 и 10 ГГЦ Требуется обнулять Фрам. Ну а без G наверно нет и не требуется) не пробовал. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5695] Автор : Love777888 Дата : 14.02.2019 14:28 Вот это да! Евгений спасибо за программную поддержку! Когда по второму трансиверу будут новости? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5696] Автор : R3DI Дата : 14.02.2019 14:48 Олег, какие Вы ожидаете новости ? Есть такой трансивер , описание есть тут (http://sdr-malamute.ru/) , все в процессе... Добавлено через 11 минут(ы): SXG,в чем отличие? правильный Sметр, учёт параметров атт и пре.... Как обычно что-то ещё, что уже не помню))). Для 5 и 10 G минимальный шаг перестройки 10 Гц. SXG - это как тестовая, если там все норм, то она так и буде пом без G -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5697] Автор : Spektr Дата : 14.02.2019 15:02 Евгений, здравствуйте! Объясните как матричная клавиатура работает на китайской тангенте MH-48. И что означают цифры в меню PTT_X1...PTT_X4 PTT_Y1...PTT_Y5 PTT_XuPDw PTT_YDown PTT_YUp Пытаюсь заставить работать кнопки. PTT и микрофон работает, перепаивал диод вместо перемычки в самой тангенте. То я что-то накрутил - включался DNR при нажатии на кнопки 1, 4, 7, *, Р1. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5698] Автор : R3DI Дата : 14.02.2019 15:10 Setup -> Display->Disp info-> PTT это АDC значения при нажатия кнопок. PTT_X1...первая вертикальная линия матрицы - установить значение которое отображается на дисплее в окне Disp info ( среднее значение между 1 и Р1 ) и тд.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5699] Автор : Spektr Дата : 14.02.2019 16:04 Спасибо, заработало! Только по тангенте наводка блуждает, показания скачут) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5700] Автор : "70-й" Дата : 14.02.2019 18:05 Уважаемые форумчане. Покажите, пожалуйста, как выглядит экран с новыми прошивками:пиво::пиво::пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5701] Автор : UA9olb Дима Дата : 14.02.2019 18:58 экран с новыми прошивками А он не чем не изменился по моему .спектр ниже опустился может есть какая нибудь настройка этого( не знаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5702] Автор : R3DI Дата : 14.02.2019 19:12 может есть какая нибудь настройка этого да есть, в меню display. Тут (http://sdr-malamute.ru/?page_id=66) внизу страницы есть описание (в основном меню M.SEL и Setup), есть не большое отличие в порядке расстановки ну и отсутствует IQ_IMB за ненадобностью. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5703] Автор : Radiotester Дата : 14.02.2019 19:52 R3DI, Евгений, рассматривал плату трансивера версии М на Вашем сайте. Интересен мне вопрос - там уже не используются PEшки для коммутации полосовых ? Только для pre и att остались? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5704] Автор : R3DI Дата : 14.02.2019 19:59 Только для ПРЕ и RX/TX для слаботочки, для АТТ своя микросхема атт 0..30дБ. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5705] Автор : R6LHA Дата : 15.02.2019 09:50 Здравствуйте. Может кто-нибудь описать или ещё лучше снять на видео, как настраивать зеркальный канал на передачу (IQ_IMB -> TX)? И ещё вопрос, кто-нибудь настраивал CwType для работы с Маламутом? У меня никак не получается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5706] Автор : Игорь 1967 Дата : 15.02.2019 10:47 Евгений, рассматривал плату трансивера версии М на Вашем сайте. Речь об этом сайте?http://sdr-malamute.ru -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5707] Автор : ua9ylu Дата : 15.02.2019 20:06 Здравствуйте. Может кто-нибудь описать или ещё лучше снять на видео, как настраивать зеркальный канал на передачу (IQ_IMB -> TX)? И ещё вопрос, кто-нибудь настраивал CwType для работы с Маламутом? У меня никак не получается. Все очень просто,так же как и по приему, только нужен хотя бы приемник или анализатор спектра -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5708] Автор : Gene58 Дата : 15.02.2019 20:41 И ещё вопрос, кто-нибудь настраивал CwType для работы с Маламутом? У меня никак не получается. Я уже задавал этот вопрос, гробовое молчание!!! Писал автору программы, ответ на отстань. Кто реально победил эту проблему почему то молчит. Кто только на словах -У меня все работает. К стати в Logger32 тоже не работает манипуляция и PTT. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5709] Автор : R6LHA Дата : 15.02.2019 20:47 В wjtdx все работает на ура. А вот RTS и DTR бы настроить, один ptt, а второй манипуляция, было бы супер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5710] Автор : R3DI Дата : 15.02.2019 20:58 Виндовый USB CDC драйвер не изменяет дтр без изменения уровня ртс ( или наоборот, не помню) и потому РТТ на Кат команде а ключевание на пине компорта. Что там с логером32 не подскажу, этой программы у меня нет и я ее совсем не знаю, потому и сказать нечего. Если кто-то предоставит дамп обмена по порту логером32 с маламутом в читабельном виде, то возможно смогу проанализировать, но не обещаю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5711] Автор : R6LHA Дата : 15.02.2019 21:15 R3DI Евгений, здравствуйте. Нашел неприятный баг в новой прошивке SXG (в старой это не проверял) - при включении CW -> CW_Type = man не работает этот режим. При замыкании любых пинов в CW разъеме, включается передача и тон и не отключает никак кроме кнопки "Tone". Режим auto и ~auto работают без нареканий. П.С. И ещё какие-то проблемы с зумом. Когда включено меню зума и переходишь между диапазонов - то зум показывает одно(например zoom8), а внизу написано другое(например zoom2). Если меню переключить - то значение зума обновляется на то, что включено. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5712] Автор : R3DI Дата : 15.02.2019 21:18 Здравствуйте. Посмотрю.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5713] Автор : Gene58 Дата : 15.02.2019 21:48 все работает на ура Я Вам больше скажу в LogHX3 тоже работает и в 5M Contest тоже работает. Вот в CW Type не в какую не хочет и с OmniRig не хочет. Беда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5714] Автор : R3DI Дата : 15.02.2019 22:01 R3DI .... при включении CW -> CW_Type = man не работает этот режим. При замыкании любых пинов в CW разъеме, включается передача и тон и не откл... Посмотрел, да есть такое, но именно при включенном декодере. Зум тоже с ним связан. Позже отключу и декодер cw и rtty -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5715] Автор : R6LHA Дата : 15.02.2019 22:51 Посмотрел, да есть такое, но именно при включенном декодере. Зум тоже с ним связан. Позже отключу и декодер cw и rtty Жаль, декодером cw я активно пользуюсь, на мой взгляд полезная функция :-( -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5716] Автор : Love777888 Дата : 16.02.2019 01:45 Жаль, декодером cw я активно пользуюсь, на мой взгляд полезная функция :-( Евгений здравствуйте, а зачем эти декодеры отключать, вроде иногда пользуешься... или что то новое планируется в будущих прошивках? А можно ли в папке SX поместить релизы предыдущих прошивок, как бы чтобы человек для себя выбрал, что ему необходимо для комфорта с трансивером? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5717] Автор : R6LHA Дата : 16.02.2019 09:13 R3DI Евгений, ещё в режиме CW кнопка Tone и Dtone переходят на передачу, но тона на экране нет и параметры SWR = 0.1, Power = 0.0Приходится в режим SSB переключаться, очень не удобно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5718] Автор : R3DI Дата : 16.02.2019 11:31 R6LHA, Не удобно что ? Кнопку нажать в ссб Love777888, Олег, Вам нужны все предыдущие косяки? Может сделать такую, и проц лочить на полную, чтоб желание в подобных предложениях отпало... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5719] Автор : R6LHA Дата : 16.02.2019 14:25 R6LHA, Не удобно что ? Кнопку нажать в ссб Просто первый раз вообще я подумал, что что-то сломалось :smile: тон включаю, а на экране пусто. Немного испугался :smile: , только потом понял, что тон работает только в ssb. А не удобно - то , что когда много сигналов и настраиваешь антенну в резонанс на CW участок - то хочется тоном никому не мешать, а в режиме SSB это трудно сделать, но это не критично. И ещё раз, Евгений, спасибо за замечательный трансивер. :пиво: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5720] Автор : UT5QBC Дата : 16.02.2019 14:36 А не удобно - то , что когда много сигналов и настраиваешь антенну в резонанс на CW участок - то хочется тоном никому не мешать, а в режиме SSB это трудно сделать, но это не критично. И ещё раз, Евгений, спасибо за замечательный трансивер. R6LHA да скажите прямо... Если кнопка есть в режиме CW она должна работать, а то кнопка есть, ну что бы она работала нужно перейти в другую мод. Можно сделать проще, описать работу этой кнопки в "инструкции для пользователя" и тогда этот баг перейдет в разряд feature(фишка). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5721] Автор : autosat Дата : 16.02.2019 14:40 Евгений, наборы для сборки "Маламута М2" доступны? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5722] Автор : Gene58 Дата : 16.02.2019 14:42 "инструкции для пользователя" А кто подскажет где её (инструкцию) скачать? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5723] Автор : UT5QBC Дата : 16.02.2019 14:53 А кто подскажет где её (инструкцию) скачать? Вы у меня спрашиваете? По моему это вопрос не ко мне... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5724] Автор : ua3ycv Дата : 16.02.2019 15:40 Gene58,http://sdr-malamute.ru (http://sdr-malamute.ru/) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5725] Автор : R6LHA Дата : 16.02.2019 17:36 Спасибо, что Евгений оказывает поддержку и отвечает на вопросы. Думаю, что притензии тут не уместны. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5726] Автор : Gene58 Дата : 17.02.2019 10:14 to R3DI Евгений путем проб и ошибок и при помощи Виктора R3BB удалось научить "Маламут" понимать команды Logger32 из списка FT-817, но только установку MODE и частоту. Я далеко не специалист, но сложилось впечатление, что трансивер просто не понимает команд и не реагирует на них. Вожзможно ли добавить распознавание "Split" VFO-A=VFO-B, VFO-A/VFO-B ну и т.д.? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5727] Автор : RD3Q Дата : 17.02.2019 10:18 Если кто-то предоставит дамп обмена по порту логером32 с маламутом в читабельном виде, то возможно смогу проанализировать, но не обещаю. Евгений вроде на русском языке написал, сделайте то что попросили, а дальше видно будет! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5728] Автор : Gene58 Дата : 17.02.2019 11:18 Евгений вроде на русском языке написал Коллега, держите себя в руках, к Вам ни каких вопросов нет, да и не сможете Вы на них ответить. Я не должен, но поясню. дамп обмена по порту логером32 с маламутом Относилось к манипуляции СW и РТТ в программе Logger32. По поводу моего вопроса ответил, что САТ не полный. По этому и возникла просьба дополнить. Understandably? Т.ч. или внимательнее читайте или помолчите. Евгений сам умеет писать и принять решение отвечать или нет. Уверен, в "адвокатах" не нуждается. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5729] Автор : R3DI Дата : 17.02.2019 11:18 удалось научить "Маламут" понимать команды Logger32 интересно, это как ? "Split" VFO-A=VFO-B, VFO-A/VFO-B возможно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5730] Автор : Gene58 Дата : 17.02.2019 11:38 интересно, это как ? Приветствую Евгений! Спасибо за ответ. Да как, правильным написанием макроса. Это все от моего незнания, Виктор кое что пояснил. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5731] Автор : "70-й" Дата : 18.02.2019 15:03 Уважаемые форумчане. Не работает кнопка D в китайской гарнитуре MH-48, подскажите в чем неисправность - проблема. СПАСИБО,:пиво::пиво::пиво:. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5732] Автор : R6LHA Дата : 18.02.2019 16:33 Всем здравствуйте. Столкнулся с такой проблемой. При отключении передачи происходит щелчок, фликер становится жирный и высокий и какое-то время нет приема (секунду, может 2), потом постепенно он уменьшается. Не зависит от диапазона и выходной мощности. При включении трансивера вроде бы так же щелкает (включаю переменным резистором с выключателем). Подскажите, куда копать, что проверять? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5733] Автор : R3DI Дата : 18.02.2019 16:51 смеситель, входные ОУ. это в какой моде ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5734] Автор : R6LHA Дата : 18.02.2019 17:18 смеситель, входные ОУ. это в какой моде ? В SSB и CW. Вечером видео сниму выложу, сейчас не дома. LVDS я ещё не менял, она в пути (было выявлено, что при увеличении частоты на её выходе падает уровень до 90 мили вольт на 28MHz). Кстати сразу не написал на выходе сишки тоже уровень падает при увеличении частоты, это нормально для сишки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5735] Автор : EU1SW Дата : 18.02.2019 19:20 Везде все падает... Может с показометром проблемы? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5736] Автор : Love777888 Дата : 18.02.2019 19:42 R6LHA, Здравствуйте! Такая бяка была, причём когда стоит автоподавление зеркалки, могла в ссби появиться вторая боковая, при переходе из тх в рх. Что я только не делал, так и не поборол до конца этот дефект. Стало с полсекунды, но что конкретно делал, хоть убей не помню. Если поставить мощность 00%, этой фигни нет. Ещё этот дефект очень хорошо глушит фм. Возможно перепрошивка из 4.9 на sx помогла, честно не помню. Скорее это было комплексное мероприятие. Собираю сейчас 1.4 посмотрим. Скажу что в металле стал дефект меньше, я про корпус. Ещё скажите, что лучше ставить 74ас74 или 74lvc74? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5737] Автор : AlexJ Дата : 18.02.2019 19:54 что лучше ставить 74ас74 или 74lvc74? Была такая сравнительная таблица логики: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5738] Автор : R3DI Дата : 18.02.2019 19:54 lvc -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5739] Автор : R6LHA Дата : 18.02.2019 20:20 В SSB и CW. Вечером видео сниму выложу, сейчас не дома. LVDS я ещё не менял, она в пути (было выявлено, что при увеличении частоты на её выходе падает уровень до 90 мили вольт на 28MHz). Кстати сразу не написал на выходе сишки тоже уровень падает при увеличении частоты, это нормально для сишки? Вот обещанные видео https://www.youtube.com/watch?v=N24n2Pd8ByQ https://www.youtube.com/watch?v=r5brqyE6ltQ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5740] Автор : UT5QBC Дата : 18.02.2019 21:43 Вот обещанные видео Очень похожий эффект (я бы сказал дежавю) был на нашем с ur5fyg аппарате(минион), что мы только не делали (и аппаратно и по софту)так и не побороли, выдвигали разные теории проверяли их, короче потратили достаточно времени(так и остались без ответа, почему так....) , вопрос решили сделав ПЧ и загнали палку за диапазон приема. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5741] Автор : R6LHA Дата : 18.02.2019 22:29 Ещё заметил, что фликер прыгает, когда реле щёлкают или pre/att включаешь/выключаешь. Если аттенюатор включить по максимуму(att24) то фликер совсем не пропадает, без аттенюатора пропадает в ноль. Такое ощущение, что шина 3.3 вольта просаживается, попробую полазить в этом направлении. Но большое подозрение, что всё дело в LVDS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5742] Автор : UT8IFM Дата : 18.02.2019 23:14 R6LHA, Здравствуйте. А при легком постукивание корпуса trx фликер не прыгает??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5743] Автор : Love777888 Дата : 19.02.2019 01:41 UT8IFM, У меня такое было что пальцами не дотрагиваясь в район ПЧ на 5532, этот фликер вверх полз, с металлическим корпусом стало лучше. Вспомнил чем больше трансивер по времени был на передаче, тем выше лез фликер вверх по центру экрана и тем медленнее он спадал вниз и вот это время когда он сползет на эти полсекунды и затыкался трансивер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5744] Автор : R6LHA Дата : 19.02.2019 07:47 ... Вспомнил чем больше трансивер по времени был на передаче, тем выше лез фликер вверх по центру экрана и тем медленнее он спадал вниз и вот это время когда он сползет на эти полсекунды и затыкался трансивер. Очень похоже на мою ситуацию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5745] Автор : Николов Дата : 19.02.2019 11:44 R3DI -Евгени , почистите личку .Не могу отправить личное собщение! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5746] Автор : R6LHA Дата : 20.02.2019 11:53 Измерял уровень на пинах 2 и 3 SN65LVDS34D сигнал красивый и противофазный, но при увеличении частоты уровень падает на обоих пинах одинаково 3,7МГц - один канал 3,18V второй 3,16V пик ту пик 28МГц - один канал 880mV второй 840mV пик ту пик Это нормально или SN65LVDS34D бракованная? А на выходе 74LVC74 (пины 5 и 9) сигнал на любой частоте 90 градусов, но уровень при 3,7МГц - один канал 3,5V второй 3,4V пик ту пик 28МГц - один канал 2,16V второй 1,5V пик ту пик Подскажите, кто глючит и кого под замену? Сейчас измерял сигнал на выходе si5351, он также как и на выходе 74LVC74 с увеличением частоты просаживается. И на низких частотах(7МГц) на выходе меандр, а если частоту увеличиваешь - то он превращается в синус. Это нормально или si5351 бракованная? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5747] Автор : R3DI Дата : 20.02.2019 11:59 а если частоту увеличиваешь - то он превращается в синус полоса измерителя какая? скрин можно увидеть ? ПС Да и само измерение.... несколько раз уже описывали, если щуп земли в углу платы да и провод от него 4 и более см то так и будет при измерении ВЧ при попытки измерить в середине платы . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5748] Автор : eu7ea Дата : 20.02.2019 12:10 Сейчас измерял сигнал на выходе si5351Был у меня перекос напряжений на всех диапазонах, довольно ощутимый. Решилось заменой микросхемы смесителя. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5749] Автор : R6LHA Дата : 20.02.2019 12:29 полоса измерителя какая? скрин можно увидеть ? ПС Да и само измерение.... несколько раз уже описывали, если щуп земли в углу платы да и провод от него 4 и более см то так и будет при измерении ВЧ при попытки измерить в середине платы . Измерения на 10R19, земля взята с термал пада 10U2 https://www.youtube.com/watch?v=QNC22c19se4 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5750] Автор : R3DI Дата : 20.02.2019 12:43 земля взята с землей все ясно с первого кадра..... не знаю что там за щуп такой и режим не видно 20BW включен или нет - но то что показометр кажет уже на 1.9 МГц...что уж говорить про ВЧ ..это не измерения -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5751] Автор : R6LHA Дата : 20.02.2019 13:01 с землей все ясно с первого кадра..... не знаю что там за щуп такой и режим не видно 20BW включен или нет - но то что показометр кажет уже на 1.9 МГц...что уж говорить про ВЧ ..это не измерения Щуп из комплекта. По поводу "режим не видно 20BW включен или нет", на видео видно на экране осциллографа "BW Limit" - FULL стоит. Ну да ладно "..это не измерения" - так не измерения, тут у Вас больше опыта, спорить не могу. Вопрос был про просадку по уровню. Я правильно понял, что с si5351 всё в порядке? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5752] Автор : Love777888 Дата : 20.02.2019 13:25 R6LHA, Здравствуйте! Судя по всему или шнур полное г, либо ослик не тянет заявленное, почему? Потому что у Вас это наблюдается и на других микросхемах, а из практики все неисправным быть не может -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5753] Автор : R6LHA Дата : 20.02.2019 13:49 R6LHA, Здравствуйте! Судя по всему или шнур полное г, либо ослик не тянет заявленное, почему? Потому что у Вас это наблюдается и на других микросхемах, а из практики все неисправным быть не может Осциллограф должен тянуть utd2102cm 100МГц на 2 канала заявлено и 1 гига семпл измерения. Шнур влияет на амплитуду сигнала? Я заметил, что при увеличении частоты, амплитуда падает на выходе si5351 и на выходе SN65LVDS34D. По SN65LVDS34D я понял, что её надо менять. Встал логичный вопрос, надо ли менять si5351 при аналогичных симптомах. Добавлено через 8 минут(ы): Понял, я в чем проблема измерений - надо было щуп в режим X10 переключить и картина поменялась. Спасибо всем за помощь. Проблема локализовалась в SN65LVDS34D. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5754] Автор : ub1amz Дата : 20.02.2019 18:03 Настраиваю передачу. В принципе, в режиме "tone" идет передача, но тон хриплый. В режиме moni на само прослушивании тон чистый. На всех цифровых входах TX кодека все сигналы наблюдаю (проверял осой все похоже на правду). На TXQMc, TXQPc, TXIPc, TXIMc вижу какие-то прерывающиеся куски зашумленной синусоиды. Напряжения питания в норме. Как думаете, кодек сориентировать в сторону мусорки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5755] Автор : ut29641 Дата : 20.02.2019 18:13 307901 Глюк?При быстром вращении ручки настройки появляется. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5756] Автор : geksagen Дата : 21.02.2019 09:05 есть у кого ссылочка на переднюю панель под дисплеи 2.8,3.2 и корпуса 767, 768(stl,scad) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5757] Автор : ua3ycv Дата : 21.02.2019 09:35 ut29641, Глюкон самый-и бывают порой другие -разовые правда. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5758] Автор : ut29641 Дата : 21.02.2019 10:59 под дисплеи 2.8 корпус 768 Уже выкладывал.Продублирую. 307933 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5759] Автор : Love777888 Дата : 21.02.2019 11:03 Здравствуйте! Занимаюсь сборкой версии 1.4, не могу понять в чем дело, детали остались с прошлого раза. Но без кодека (обеих), шел какой-то возбуд это было на экране видно, притрагиваясь пальцами к шинам идущие на процессор (резисторы по 100 ом), возбуда нет(видимо касаясь земли, убрал наводку), что интересно на другом таком же проце такого не было. Ну да ладно, установил кодек заведомо исправный, работает, но в режиме без автозеркалки зеркалит, в старом работал без автозеркалки, все работало как надо. Далее менял один операционник и смеситель, толку нет. И последнее начиная с 24мгц стоит шум без антенны, по каким-то причинам сишка дает начиная с 23мгц с копейками по табло давать большую амплитуду, заменил ее и кварц толку нет, когда стоит DS OFF, шума нет. Все детали остались рабочими с прошлого раза. Голова уже болит, куда копать не знаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5760] Автор : Love777888 Дата : 21.02.2019 14:15 С шумом разобрался тот что выше 24мгц, снял по ошибке запаянные 51 и 100 ом в синтезаторе (схема без трансформатора на выходе). По автозеркалке, возможно ли в будущем в прошивке в одном из меню сделать балансировку на входе кодека, сигналов которые приходят с ОУ ПЧ? Вопрос к Евгению адресован. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5761] Автор : ua9ylu Дата : 21.02.2019 15:10 С шумом разобрался тот что выше 24мгц, снял по ошибке запаянные 51 и 100 ом в синтезаторе (схема без трансформатора на выходе). По автозеркалке, возможно ли в будущем в прошивке в одном из меню сделать балансировку на входе кодека, сигналов которые приходят с ОУ ПЧ? Вопрос к Евгению адресован. может не понял что то, но есть же в меню подавления зеркала на каждом диапазоне отдельно -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5762] Автор : Love777888 Дата : 21.02.2019 15:20 Это понятно, есть но из-за этого фликера который иногда при переключении бендов вверх подскакивает опять зеркалит приемник, пока не крутанешь ручку настройки зеркало не уходит. Имеется ввиду строго сделать балансировку этих 4-х каналов. Возможно это будет решением. Я бы с удовольствием поставил бы подстроечники в обратных связях оу, но места нет авторская плата, особо не разгуляешься. R6LHA, Только что просматривал Ваш монтаж снимите с платы резисторы 10r19 вместо него перемычку и 10r20 удалите, из-за этого шум стоял похожий на ваш -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5763] Автор : ua9ylu Дата : 21.02.2019 15:20 нет такой проблемы, настроил один раз и все, автозеркалку и не включаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5764] Автор : Love777888 Дата : 21.02.2019 15:35 Ок, попробую, енкодер большой ещё не пришел, кнопками особо не насчелкаешься, буду пробовать еще раз, спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5765] Автор : R6LHA Дата : 22.02.2019 07:17 R6LHA, Только что просматривал Ваш монтаж снимите с платы резисторы 10r19 вместо него перемычку и 10r20 удалите, из-за этого шум стоял похожий на ваш Спасибо, попробую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5766] Автор : geksagen Дата : 22.02.2019 18:57 Имеется в настройках регулировка скорости водопада? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5767] Автор : Sysert Дата : 22.02.2019 19:15 На первой странице есть ссылка на Яндекс.Диск, там есть вся информация, изучайте -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5768] Автор : R6LHA Дата : 23.02.2019 21:05 Здравствуйте, R3DI, заметил, что в последней прошивке глюк с Usb Mode = IQ48/24 - в HDSDR только полоса пропускания 2.7, а по бокам пусто. 308125 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5769] Автор : Толька Дата : 24.02.2019 11:10 Всем здравствуйте м с прошедшим праздником. У меня в маламуте при приеме на частотах от 14 до 28 мГц, при включенном предусилителе наблюдается фон и это визуально видно на спектроскопе. Как это побороть. Спасибо. https://www.youtube.com/watch?v=DnyP5G-aGjg&feature=youtu.be -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5770] Автор : Love777888 Дата : 24.02.2019 14:04 Толька, уменьшение тока избавит от возбужда, я так и сделал у себя. Золотая середина это 16дб усиления, что бы при атт 16 (с вкл рпеамп) показывал ту же шумовую дорожку, что без преамп на подключенной антенне -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5771] Автор : Spektr Дата : 24.02.2019 17:34 Всем здравствуйте м с прошедшим праздником. У меня в маламуте при приеме на частотах от 14 до 28 мГц, при включенном предусилителе наблюдается фон и это визуально видно на спектроскопе. Как это побороть. Спасибо. https://www.youtube.com/watch?v=DnyP5G-aGjg&feature=youtu.be У меня что то подобное возникало, я впаял конденсатор 20pf на вход ERA(6c22 по схеме v1.4). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5772] Автор : R6LHA Дата : 25.02.2019 10:28 R6LHA, Только что просматривал Ваш монтаж снимите с платы резисторы 10r19 вместо него перемычку и 10r20 удалите, из-за этого шум стоял похожий на ваш Убрал делитель, стало получше. Спасибо за совет. Здравствуйте, R3DI, заметил, что в последней прошивке глюк с Usb Mode = IQ48/24 - в HDSDR только полоса пропускания 2.7, а по бокам пусто. 308125 А может кто-нибудь ещё проверить IQ48/24 режим, это только у меня так или глюк прошивки? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5773] Автор : RU3XW Дата : 25.02.2019 21:58 А может кто-нибудь ещё проверить IQ48/24 режим, это только у меня так или глюк прошивки? Не только у Вас. У меня тоже самое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5774] Автор : R3DI Дата : 25.02.2019 22:21 проверить IQ48/24 режим да, было такое, сегодня обновил файл. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5775] Автор : Love777888 Дата : 26.02.2019 04:09 Евгений прочитайте личку. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5776] Автор : R3NC Дата : 27.02.2019 09:44 Евгений, добрый день! Хотел залить прошивку SX, а он мне вон че говорит... Что бы это значило-адрес в не зоны действия?!308419 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5777] Автор : R7KGA Дата : 27.02.2019 10:28 А почему программатор, судя по картинке, выставил STM32F405 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5778] Автор : Love777888 Дата : 27.02.2019 12:10 Вроде вчера прошился без проблем новой SX. Водопад движется как в ми2. Я бы порекомендовал просмотрите соединения программатора с платой. Сделайте коннект и попробуйте прошить с верификацией. Но можете испробовать полную очистку камня и зашить заново. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5779] Автор : R3NC Дата : 27.02.2019 12:35 Всем спасибо! Тема снята, у меня стоит вот этот проц:STM32F407VET6, Микросхема, Микроконтроллер 32-Бит,Cortex-M4 + FPU, 168МГц, 512КБ Flash, USB OTG HS/FS,Ethernet [LQFP-100]\ST Microelectro, а он в два раза меньше флешем. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5780] Автор : Love777888 Дата : 27.02.2019 13:30 Здравствуйте в новой прошивке у всех как будто тормозит панорама в ртту? И декодер как то нехотя декодирует? И декодер ключа тоже в замедлении работает, или это где-то настраивается. Такое ощущение что процессор загружен сильно. А только что заметил в меню входишь и картинка оживает. Выставил ацп инфо на дисплее в режиме ртту 61%, в режиме ключ 59% Извиняюсь перезагрузил трансивер стало все на свои места -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5781] Автор : Georgsan Дата : 28.02.2019 18:02 Коллеги, подскажите пожалуйста такой момент, только сейчас обратил внимание, в режиме FM при нажатии РТТ из динамика идет свист (режим MONI включен), не обратная связь. Пробовал вытащит тангенту и замкнуть кнопку РТТ, все равно свист. Свист на обеих режимах FM и FM2, только разной тональности. У кого под рукой трансивер, проверьте пожалуйста этот момент. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5782] Автор : ua3ycv Дата : 28.02.2019 19:45 парни мало усиление в микрофонном усилителе-какой резистор отвечает за усиление?да и частотный спектр в районе низших частот-сигнал на контроль внешним унч беру с 9с6. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5783] Автор : ut29641 Дата : 28.02.2019 20:03 Свист на обеих режимах FM и FM2 Тоже свист стоит. Прошивка SХ.Без MONI норм. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5784] Автор : Love777888 Дата : 28.02.2019 20:46 Здравствуйте, а кто обьяснит выставляю 50мкв на генераторе, дальше в меню сметр выставляю оффсет +8-10, кстати +9 нету перескакивает, затем без антенны делаю клир в пункте 2 того же меню, в ноль не ставиться. Это у меня такая ситуация или у всех? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5785] Автор : Love777888 Дата : 28.02.2019 22:51 ua3ycv, 9R8, это усиление скорее всего и там же конденсатор 9с8 ачх, только не понятно зачем встречно-параллельно диоды, это что амплитудный ограничитель какой-то? Еще 9с4 и 9с5 наверное многовато по емкости, в сибишках стоит один на 4700пикф, наверное можно поставить вместо 10нф, по 2н2 две шт. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5786] Автор : geksagen Дата : 28.02.2019 22:58 Есть количество передних панелей под дисплей 2.8, корпус 768. В комплекте с ручкой валкодера и кнопками. Цвет на выбор. Кому надо, в лс. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5787] Автор : R6LHA Дата : 01.03.2019 08:53 Здравствуйте, Евгений R3DI. Баловался димером и нашел меленький баг. В режиме "DimMode pB9/105k" после перезагрузки, численное значение "DimLevel A" сохраняется, но яркость не соответствует той, которая выставлена, восстанавливается, если накрутить значение 255. В режиме pD9/122H такой проблемы нет. Но в режиме pD9/122H на моём trx присутствует сильный шум вокруг фликера, который становится громче с понижением яркости. Вот видео всего этого: https://www.youtube.com/watch?v=1i9LcJfVn7w -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5788] Автор : S.S.B. Дата : 03.03.2019 23:04 Подскажите пожалуйста варианты исполнения трансформатора оконечного выходного каскада. Нужный бинокль в наличии. И вопрос по предоконечному каскаду - есть ли смысл понизить напряжение питания этого каскада? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5789] Автор : Radiotester Дата : 03.03.2019 23:39 S.S.B., Евгений, и так на 8в пред.каскад перевел. А по трансам есть инфа в теме "детали Маламута". -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5790] Автор : Love777888 Дата : 04.03.2019 00:56 S.S.B., есть иначе будете менять пачками 591-е -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5791] Автор : UA9olb Дима Дата : 04.03.2019 07:30 Здравствуйте, а кто обьяснит выставляю 50мкв на генераторе, дальше в меню сметр выставляю оффсет +8-10, кстати +9 нету перескакивает, затем без антенны делаю клир в пункте 2 того же меню, в ноль не ставиться. Это у меня такая ситуация или у всех? У меня не так я так понял что +0 это и есть 9 балов) как таковой отметки s9 нет. Без антенны все убирается в 0. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5792] Автор : Алексей М. Дата : 04.03.2019 20:08 Здравствуйте , у кого есть соображения по проблеме с показаниями вольтметра на экране. Вольтметр не реагирует на изменение напряжения , при калибровке через меню все как бы выставляется но вольтметр работает в статическом положении и также на показания нет реакции. Делитель проверен ,до процессора доходит вольт с небольшим . Есть подозрение на косячный процессор так как пробовал сбрасывать и перепрошивать. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5793] Автор : RC3ZQ Дата : 05.03.2019 13:32 Доброго дня всем! Вопрос по ручной балансировке подавления зеркалки. Смотре видео в котором Евгений демонстрирует ручную балансировку, но не понял по каким критериям он чередует подстройку в пунктах RX Mag и RX Ph. Вижу что отстроился на сигнал ниже основной частоты генератора (на зеркальный прием) но так и не понял что дала первая подстройка RX Mag. RX Ph как бы понятно что до минимального уровня приема в зеркальном канале. Если кого не затруднит распишите пожалуйста по пунктам все манипуляции. Присутствует проблема "DSB", на выходе 74lvc74 присутствуют два противофазных сигнала на рабочей частоте. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5794] Автор : ua9ylu Дата : 05.03.2019 13:56 Да все просто, в обоих пунктах добиваемся минимальных показаний зеркалки, давится фактически в ноль. На передачу все точно так, только нужен приемник или анализатор. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5795] Автор : RC3ZQ Дата : 05.03.2019 13:59 в обоих пунктах добиваемся минимальных показаний зеркалки Спасибо. А если у меня в пункте RX Mag зеркалка уходит в ноль в "крайнем положении" и исчезает прием вообще, то что бы это значило? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5796] Автор : ua9ylu Дата : 05.03.2019 14:55 Спасибо. А если у меня в пункте RX Mag зеркалка уходит в ноль в "крайнем положении" и исчезает прием вообще, то что бы это значило? Почему в крайнем положении? Покрутили одним, нашли минимум, он как правило будет оч не большим,переходим на другой ,покрутили им, нашли минимум, вернулись к первому и так пока не победим. Вообще не понято почему вопросы, есть отличное видео. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5797] Автор : RC3ZQ Дата : 05.03.2019 19:23 ua9ylu, У меня так не получается, хотя сигналы на входах смесителя присутствуют оба. Сдвиг фаз так же есть но не четко 90гр. У кого какие мысли есть? Куда "копать"? 308990 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5798] Автор : ur3ilf Дата : 05.03.2019 19:50 Включите на щупах делитель 1:10. Тогда звон кабелей и ёмкость меньше будет влиять. Сами щупы на тестовом меандре 1 килогерц желательно подстроить на пределе 1:10. Тогда сдвиг можно будет оценить точнее. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5799] Автор : Love777888 Дата : 05.03.2019 20:16 Парни всем привет, кто что скажет насчет спуров? Кто то говорил об установке трансформатора после SI-шки, кто то говорил вообще бесполезна эта борьба с ними, у одних на видосах вижу маленькие почти не заметные, у других высокие в 1.5 клетки, может есть секрет почему у некоторых маленькие спуры? Я может не в том месте купил синтез, кто что подскажет. Еще заметил как то поменял кварц на 25 Мгц который, они стали выше, прежний кварц поплыл конкретно пришлось заменить. Спасибо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5800] Автор : R6LHA Дата : 06.03.2019 06:43 Парни всем привет, кто что скажет насчет спуров? Кто то говорил об установке трансформатора после SI-шки, кто то говорил вообще бесполезна эта борьба с ними, у одних на видосах вижу маленькие почти не заметные, у других высокие в 1.5 клетки, может есть секрет почему у некоторых маленькие спуры? Я может не в том месте купил синтез, кто что подскажет. Еще заметил как то поменял кварц на 25 Мгц который, они стали выше, прежний кварц поплыл конкретно пришлось заменить. Спасибо. Насколько я понял, спуры это наводки от цифровой части и зависят они от того на сколько шумят: процессор, чипы, экран и т.д. И получается с ними особо не побороться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5801] Автор : UT5QBC Дата : 06.03.2019 07:32 И получается с ними особо не побороться. Только на уровне схемотехники и разводки PCB, эти вопросы решаются на ура. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5802] Автор : R6LHA Дата : 06.03.2019 07:39 Доброго дня всем! Вопрос по ручной балансировке подавления зеркалки. Смотре видео в котором Евгений демонстрирует ручную балансировку, но не понял по каким критериям он чередует подстройку в пунктах RX Mag и RX Ph. Вижу что отстроился на сигнал ниже основной частоты генератора (на зеркальный прием) но так и не понял что дала первая подстройка RX Mag. RX Ph как бы понятно что до минимального уровня приема в зеркальном канале. Если кого не затруднит распишите пожалуйста по пунктам все манипуляции. Присутствует проблема "DSB", на выходе 74lvc74 присутствуют два противофазных сигнала на рабочей частоте. Спасибо. Суть в чем - два канала I и Q, которые приходят из смесителя на кодек (АЦП), должны быть идеально похожи по амплитуде (магнитуде), но сдвинуты по фазе на 180 градусов. В аналоговой части такого добиться очень трудно, потому возникает зеркальный канал (тем прекрасен SDR у которого АЦП на входе (антенне) - там формирование I и Q делается программно). И тут нам помогает программная регулировка тех самых RX Mag (амплитуда) и RX Ph (фаза). Ими мы по очереди (у нас всего одна ручка регулировки :smile:) регулируем амплитуду RX Mag и фазу RX Ph одного из каналов так чтобы зеркальный канал либо совсем подавился, либо стал не заметен. Знатоки, если я в чем не прав поправьте, но я это так представляю. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5803] Автор : Love777888 Дата : 06.03.2019 07:53 R6LHA, Здравствуйте, у меня есть спуры на 1.5клетки, плата авторская, у Вас есть такие? Что то я у автора таких не замечал. Где вы покупали сишку? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5804] Автор : R6LHA Дата : 06.03.2019 08:12 R6LHA, Здравствуйте, у меня есть спуры на 1.5клетки, плата авторская, у Вас есть такие? Что то я у автора таких не замечал. Где вы покупали сишку? На 1.5 клетки нет. Сишку покупал в ЧипДип. Плата авторская версии 1.4. У Эдуарда есть хорошее видео по доработкам https://www.youtube.com/watch?v=EXD705J8OW8 можете его посмотреть, может чем поможет. П.С. Ещё может шуметь блок питания и окружающая обстановка. У меня блок питания спуры давал большие может даже на 1.5 клетки, помог дросель на проводе питания трансивера (13 витков на ферритовом кольце), такие дела :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5805] Автор : Love777888 Дата : 06.03.2019 08:42 R6LHA, Спасибо, этот видос я уже смотрел много раз. Там доработки общие, но не слова про спуры. Думаю сишка у меня китайское г, хотя и тянет 212мгц, т.е по дисплею частота 106мгц. Второй трансивер собираю и со вторым та же бяка. Есть участки где они большие, я не говорю уже сколько мелких, которые за шумом эфира скрыть. Будет заказывать пробовать. Жаль конечно сишка вроде рабочая. Блок питания у меня линейный, как и все стабилизаторы внутри трансивера309006 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5806] Автор : Radiotester Дата : 06.03.2019 13:03 R6LHA, Зеркальный канал при стандартной настройке фильтра лежит на сколько килогерц ниже в полосе фильтра от основной принимаемой частоты? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5807] Автор : R6LHA Дата : 06.03.2019 16:00 R6LHA, Зеркальный канал при стандартной настройке фильтра лежит на сколько килогерц ниже в полосе фильтра от основной принимаемой частоты? Не смогу ответить на этот вопрос :oops: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5808] Автор : RW6MQ Дата : 06.03.2019 16:19 Radiotester, зеркальный канал находится на том же количестве Гц/Кгц, что и основной по отношению к нулю (на слух налевым биениям, визуально по фликеру, т.е. относительно нулевой ПЧ), только зеркально противоположно основного канала. Пару постов выше, где приведён скрин спуров-видна симетричность-это основной и зеркальный каналы. Очень хорошо выидно на приём при уровне 9-+60. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5809] Автор : UZ1CA Дата : 06.03.2019 16:52 Всех приветствую. Хочу подключить ламповый УМ к трансиверу. Как правильно управлять усилителем? Есть гальванически развязанный источник +13.8 TX, но читал что включение усилителя на передачу должно опережать включение трансивера. Кто и как решает данную проблему? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5810] Автор : Love777888 Дата : 06.03.2019 18:08 RW6MQ, Эдуард, приветствую, фото как бы зеркально выглядит, но это не зеркальный канал, как настройку крутишь так и выглядит выкрутил так, чтобы показать массовость спуров, на приеме вещалок и большого сигнала, зеркала нет вообще, даже без подстроек в соответствующем меню. Не знаю откуда эти высокие палки, видимо синтез ***** попался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5811] Автор : RW6MQ Дата : 06.03.2019 20:09 Love777888, палки от проца и шины управления кодеком. И конечно всё это ломится по питанию. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5812] Автор : Radiotester Дата : 07.03.2019 01:40 Radiotester, зеркальный канал находится на том же количестве Гц/Кгц, что и основной по отношению к нулю (на слух налевым биениям, визуально по фликеру, т.е. относительно нулевой ПЧ), только зеркально противоположно основного канала. Пару постов выше, где приведён скрин спуров-видна симетричность-это основной и зеркальный каналы. Очень хорошо выидно на приём при уровне 9-+60. Эдуард, то есть если это на слух делать то относительно нулевого биения в основном канале мне нужно сдвинутся в низ по частоте (если LSB ) настроится как бы на писк и пытается его более именее задавить вращением уставок фаза и магнитуда? Верно или опять я где то заплутал? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5813] Автор : RW6MQ Дата : 07.03.2019 08:04 Radiotester, да, помимо того что вы слышите, еще и увидите зеркалку. У автора на ядиске есть видео. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5814] Автор : Radiotester Дата : 07.03.2019 23:15 RW6MQ, Эдуард а если при достаточно большом сигнале с ГСС (по s метру +50) вылазят ещё палки на панараме то как именно понять где среди них зеркала? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5815] Автор : RW6MQ Дата : 08.03.2019 02:24 Radiotester, +40 достаточно, вы точно увидите зеркалку не перегружая пре/смеситель/операционник-кто-то из них не вылеживает подаваемый уровень и начинает искажать «картину». Посмотрите видео с ЯД у автора, там Евгений балансит зеркалку подавая мощный сигнал. Что бы выделить зеркалу на панораме - разбалансируйте в меню посильнее и сразу увидите зеркалку и как она перемещается при отстройке. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5816] Автор : UZ1CA Дата : 08.03.2019 22:51 Может кто нибудь из присутствующих здесь радиолюбителей, работающих с внешним УМ подскажет, есть ли какие то проблемы из-за отсутствия временной задержки перехода трансивера на передачу с одновременной коммутацией внешнего УМ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5817] Автор : Eugene163 Дата : 09.03.2019 07:22 есть ли какие то проблемы из-за отсутствия временной задержки перехода трансивера на передачу с одновременной коммутацией внешнего УМ? Да, есть хлопок в динамике при переходе из TX в RX, не очень громкий но неприятный.;-) При работе без внешнего усилителя такого не наблюдается... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5818] Автор : UU7JD Дата : 09.03.2019 08:23 73! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5819] Автор : gusenkovs Дата : 10.03.2019 18:50 Здравствуйте fm24cl64b одна часть данных пишется и читается нормально, а другая часть (mode) пишется но читается другое. CRC не совпадает. Функция чтения по i2c выдает 0. Т.е обмен успешный. Версия прошивки 2.0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5820] Автор : gusenkovs Дата : 11.03.2019 10:24 Здравствуйте.Проблема с микросхемой fm24cl64b одна часть данных пишется и читается нормально, а другая часть (mode) пишется но читается другое. CRC не совпадает. Функция чтения по i2c выдает 0. Т.е обмен успешный. Версия прошивки 2.0. В чем может быть проблема? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5821] Автор : fedorenko28 Дата : 11.03.2019 12:49 Прошивка то древняя сейчас 4.9 уже. Может баги какие? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5822] Автор : gusenkovs Дата : 11.03.2019 13:11 Пробовал и последнюю таже проблема -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5823] Автор : ra6ljm Дата : 12.03.2019 07:05 Подскаажите, сколько на выходе полосовых фильтров должно быть? У меня в режиме фм- 170мВ, в ссб всего 30, при громком Ааа. Мощность100%, Mic gain сильно не влияет... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5824] Автор : RD3Q Дата : 12.03.2019 08:19 ra6ljm, попробуйте отключить АРУ передачи, у меня максимум усиления получается при выкл. АРУ, что не совсем хорошо. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5825] Автор : ra6ljm Дата : 12.03.2019 09:08 Спасибо. А вот это попробуем). Как регулировать ару на передачу? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5826] Автор : RD3Q Дата : 12.03.2019 09:21 ra6ljm, переключением AGC в режиме передачи! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5827] Автор : ra6ljm Дата : 12.03.2019 09:58 И всё-таки, чего ожидать на входе PA, для его полной раскачки? Неужели никто не замерял? Скорее всего обсуждалось...извиняюсь. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5828] Автор : Love777888 Дата : 12.03.2019 11:48 RD3Q, Игорь приветствую Вас! Действительно раскачка в режиме передачи громобойней всего получается в режиме AGC OFF, причем в АМ если в сиби трещать со своими городскими, то только в этом режиме. Иначе в АМ очень-очень тихая модуляция. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5829] Автор : RD3Q Дата : 12.03.2019 12:24 ra6ljm, Михаил такие измерения наверно ни кто и не производил, смысла в этом нет, если у Вас прием идет нормально! Измеряют пред оконечный какскад на BFG 591!;-) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5830] Автор : Eugene163 Дата : 12.03.2019 12:41 раскачка в режиме передачи громобойней всего получается в режиме AGC OFF, причем в АМ если в сиби трещать со своими городскими, то только в этом режиме. А если в FM? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5831] Автор : UN6GSV Дата : 12.03.2019 12:51 Доброго времени всем! Начинающий, собираюсь стоить Маламута. Вижу народ обсуждает серьёзные вещи, но где еще спросить не имею понятия, потому заранее извиняюсь. Будет несколько вопросов по деталям и по прошивке аппарата. Не нашел такую микросхему, sn65lvdt34d, где ее брать, чем можно заменить? Судя по схеме, без нее синтезатор работать не будет. Коммутаторы pe4259 и кодеки вроде нашел на Али, но отзывы от народа неоднозначные. И еще такой вопрос, как можно сменить шрифт частоты? Нужно править исходник, но какой их них проверенный и рабочий, и где именно искать массивы я пока не додумался. К stm32 подходят 9 контактов для кнопок, на каких пинах какие в прошивке тоже не разберусь. Буду благодарен за ответы! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5832] Автор : autosat Дата : 12.03.2019 13:56 SN65LVDS34D (http://got.by/2igg7c) PE4259 (http://got.by/36xnp2) как можно сменить шрифт частоты? В меню DisplayColor -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5833] Автор : Love777888 Дата : 12.03.2019 14:20 Eugene163, В фм вроде как громко, даже и на AGC2-5. Хорошо влияет MIC GAIN и FM DEVIATION. Обычно работал в AGC OFF. Но в SSB подрывает полосу при передаче. Но замечаний я не получал ни от одного радиолюбителя на бендах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5834] Автор : RC3ZQ Дата : 12.03.2019 15:20 Нужно править исходник, но какой их них проверенный и рабочий Добрый вечер. Исходник до версии 2.0 и более нет новей , лежат у Евгения на яндекс диске (ссылка в первом посте). to Евгений R3DI посмотрите пожалуйста ЛС. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5835] Автор : UA0BHC Дата : 12.03.2019 16:45 UN6GSV, есть ещё такие темы: http://www.cqham.ru/forum/showthread.php?37647-%C4%EE%F0%E0%E1%EE%F2%EA%E8-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot http://www.cqham.ru/forum/showthread.php?36719-%C4%E5%F2%E0%EB%E8-%E4%EB%FF-%CC%E0%EB%E0%EC%F3%F2%E0 http://www.cqham.ru/forum/showthread.php?37720-%CF%F0%EE%E3%F0%E0%EC%EC%ED%EE%E5-%EE%E1%E5%F1%EF%E5%F7%E5%ED%E8%E5-%E4%EB%FF-quot-%CC%E0%EB%E0%EC%F3%F2%E0-quot-%EE%F1%ED%EE%E2%E0%ED%ED%EE%E5-%ED%E0-%EE%F2%EA%F0%FB%F2%EE%E5-%E0%E2%F2%EE%F0%EE%EC-%E2%E5%F0%F1%E8%E8-V2-0 В стандартной прошивке есть несколько вариантов шрифтов. Детали обсуждаются в теме про детали. Кроме sn65lvds34D можно использовать sn65lvds048A, где брать - заказывать в инете. На листе схем с процессором же есть разъём, на котором кнопки подписаны. я понимаю, что темы большие, и читать их будет долго, пользуйтесь поиском по теме, многие вопросы затрагивались неоднократно. Успехов! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5836] Автор : ghjafy Дата : 14.03.2019 17:46 Добрый вечер,подскажите как трансветер включить.Иду в меню ,трансветер,144 да,на трансивере частота28000,выхожу на дисплее трансвитер надпись и частота144000,кручу до145500 пытаюсь поймать сигнал со второй рации нет даже присутствия,на передачу на дисплее сигнал появляется,рация вторая ничего не ловит,что не так делаю -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5837] Автор : ua9ylu Дата : 14.03.2019 17:55 Не хватает мелочи, самого трансвертера:crazy: -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5838] Автор : ghjafy Дата : 14.03.2019 18:04 да спасибо поздно дошло -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5839] Автор : R3NC Дата : 15.03.2019 13:32 Всем доброго дня! Кто подскажет токи покоя RD16 при питании 22В ?! Заранее спасибо! -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5840] Автор : UA9olb Дима Дата : 15.03.2019 13:54 токи покоя RD16 при питании 22В Я делал по 250 ма каждый. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5841] Автор : Love777888 Дата : 15.03.2019 14:26 Здравствуйте парни! А подскажите сколько мА на парочку bfg591-х установить в драйвере? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5842] Автор : ra6ljm Дата : 15.03.2019 16:29 25 мА при 8в. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5843] Автор : eu7ea Дата : 15.03.2019 22:16 Можно ли применить в качестве ДПФ данного трансивера - фильтры 3 порядка на кольцах Т25 по схеме Реда например или нового Маламута (что в принципе одно и тоже) с тремя одинаковыми индуктивностями? Уж больно не красивая АЧХ с большим затуханием получается по "родной" схеме Маламута (на ВЧ диапазонах особенно). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5844] Автор : chet Дата : 16.03.2019 01:53 eu7ea, Собрал на кольцах Т30 по схеме маламут М2.Не плохо вышло.На 3 платном варианте от UR4QBP. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5845] Автор : RW6MQ Дата : 16.03.2019 08:33 В sdr версии лучше не упрощать дпф, т к ключевой смеситель имеет много побочных каналов приёма (на передачу тоже, можно осциллографов увидеть что со смесителя идет бяка). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5846] Автор : eu7ea Дата : 16.03.2019 09:14 eu7ea, Собрал на кольцах Т30 по схеме маламут М2.Не плохо вышло.На 3 платном варианте от UR4QBP. Вы их как-то пересчитывали? т.к. полосы фильтров у старого и нового Маламутов - малость разные. И как вообще их пересчитать? В RFSimm и FiltrSolution именно таких фильтров с одинаковыми катушками и двумя доп. кондерами - нету... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5847] Автор : chet Дата : 16.03.2019 09:19 eu7ea, индуктивности указаны ,емкости тоже ,да полосы отличаются для меня это не сушественно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5848] Автор : eu7ea Дата : 16.03.2019 09:21 В sdr версии лучше не упрощать дпф, т к ключевой смеситель имеет много побочных каналов приёма (на передачу тоже, можно осциллографов увидеть что со смесителя идет бяка). Другого выхода не вижу, у родных дикое затухание и кривые полосы на ВЧ (на НЧ еще более менее). А т.к. схема - классика и у большинства с этим все нормально - вероятно China катушки дают о себе знать. Если переделывать 1 к 1 на кольца - думаю трудно будет их вместить 5 штук в одном фильтре. Поэтому рассматриваю фильтры 3 или 4 порядков, по схеме Реда или Flex1500. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5849] Автор : Love777888 Дата : 16.03.2019 15:40 eu7ea, здравствуйте! Я же выкладывал фильтра на т25-2(6), чем вам не понравились ачх? Могу скинуть на почту номиналы фильтров. Эдуард правильно заметил, что форма третьего порядка будет далека от прямоугольной. Хотя каждому свое. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5850] Автор : Love777888 Дата : 16.03.2019 18:00 Парни помогите локализировать неисправность, когда на передачу начинаешь говорить то, в ssb по краям от рабочей полосы появляется какая то бяка, эфир я не проверял, т.к нечем и выходных транзисторов пока нет. В общем если это только на дисплее, то можно смериться, но если это шурует в эфир то тогда хреново309711. По каким шинам на процессор поступает инфа с кодека? Может там можно как то ограничить, чтоб на дисплее не выскакивало это недоразумение. Кодек рабочий и проверен заменой, тож самое. При отключенных выпаянных кодеках такой возбуд был, дергался циклично экран в рабочей полосе. В ам и фм такого нет. Возможно процессор какой то чувствительным попался по этим шинам. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5851] Автор : ozforester Дата : 16.03.2019 21:48 Как говорится седина в бороду. Благодаря конструкции Евгения, ещё одним радиолюбителем на планете прибавилось. Собрал и я свой "Маламут", и приготовился настраивать. Никаких трудностей при сборке не испытал. Совсем. Из китайских деталей. Включил - работает! Но где-то еще оставалась небольшая залипуха: При перемещении валкодера или дисплея на столе, вдруг щелкали реле в фнч, срывался прием, вобщем слегка творились чудеса. Долго и методично пытался я найти причину, ибо не было совершенно никакой логической связи между симптомами. Но спешить было некуда, а настроен я был решительно. Мне ведь не столько интересно было избавиться от проблемы, сколько найти её причину. К тому же нередко одновременно с проблемами приходят знания и опыт. Итак, сегодня я в очередной раз разложил все запчасти на диэлектрическом пакете от старой материнской платы, подключил провода, напялил головной убор дальнозоркого китайского радиолюбителя и взял в руки зубочистку для проверки монтажа. Все повторилось - прыгали дипазоны, щёлкало реле, мигал дисплей.. Всё, за исключением того, что какая-то зараза под антистатическим браслетом царапала мне запястье. Несколько раз я проводил между рукой и браслетом пальцем, пытался рассмотреть браслет, вывернув его наизнанку перед настольной лампой, но так ничего и не нашёл. Вдруг я обратил внимание, что "царапает" меня исключительно при поиске непропая, причем платы я при этом касался лишь деревянной зубочисткой. "Фаза" - подумал Штирлиц. На время оставив в покое зубочистку, я измерил тестером напряжение между браслетом и батареей отопления, затем между браслетом и общим проводом питания. Даже надел носки со свежим удельным сопротивлением. Ничто не помогало. Всё мимо. М-да. И тут, сфокусировав взгляд на исколотый торчащими из монтажа контактами антистатический пакет с надписью ASUS, я повинуясь какому-то странному порыву переключил тестер в "мегомы" и вонзил щупы тестера, словно бандерильи, прямо в надпись на пакете. На приборе весело запрыгали нули.. 14 Ком. Так я открыл для себя, что слова "Антистатический" "пакет" "из" "проводящего" "углеполиэтилена" прочитанные в определенном порядке вскрывают неочевидную проблему начинающего радиолюбителя. Аллилуйя! Господи, спасибо тебе за терпение! Евгений, спасибо тебе за чудесный проект! Всем спасибо, за сотни исписанных страниц! До встречи в эфире, 73! 309740 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5852] Автор : R3DI Дата : 16.03.2019 23:47 Возможно процессор какой то чувствительным попался по этим шинам. это цифровые шины и с чув-ю по этим пинам никак не связанно. Возможно - отключена(в меню) оконная функция на фильтр или спектр, перекачка по микрофонному входу (очень похоже что AGC OFF - на фото не видно)... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5853] Автор : Love777888 Дата : 17.03.2019 10:28 Здравствуйте Евгений, доброго дня. О какой функции идет речь? Если о Spectr filter, то это включено у меня. Этот артефакт вылазит что на agc1-5, что off. То есть по шинам в процессор идет цифровой код? Посмотрел даташит там сериал дата идет по тем шинам. Да уж, тогда процессор заказывать надо заново. Или может в обвязкке процессора что нибудь? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5854] Автор : Модест Петрович Дата : 17.03.2019 10:33 я повинуясь какому-то странному порыву переключил тестер в "мегомы" и вонзил щупы тестера, словно бандерильи, прямо в надпись на пакете 5+ за эпистолярный жанр :) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5855] Автор : EU1SW Дата : 17.03.2019 10:34 +1 прекрасно написано ) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5856] Автор : R3DI Дата : 17.03.2019 11:39 Love777888, Олег, процессор тут не причем, попробуйте сбросить на дефолтные настройки и уменьшить аппаратное усиление по Мик ( резистор на операционнике) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5857] Автор : eu7ea Дата : 17.03.2019 15:32 В sdr версии лучше не упрощать дпф, т к ключевой смеситель имеет много побочных каналов приёма (на передачу тоже, можно осциллографов увидеть что со смесителя идет бяка).Наверное вы правы, но ДПФ 3 порядка выполненный на нормальных кольцах - может оказаться получше сделанного на китайских микро-дросселях. Могу скинуть на почту номиналы фильтров. Написал в ЛС -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5858] Автор : Love777888 Дата : 18.03.2019 01:19 Евгений доброго времени суток! Хорошего настроения вам! В общем провел исследования по поводу моего вопроса. Получается вы в чем то были правы. Сбросил на дефолт в итоге только в AGC OF, лезло это, настроил эквалайзер на передачу, чтобы поднять завалы и сделать звонче голос. Как итог что режим AGC1-5, OF, начал подрывать экран снизу. Проверил на передачу при помощи свистка донгла, мои опасения подтвердились это все лезет в эфир. Ну и наконец ничего не оставалось, я откатился на 4.9 и в итоге трансивер работает как надо и без капризов. Без лишнего на экране. Я понимаю что в комплектующих проблема, но где и в чем? Как бы хотелось бы юзать прошивку SX, но тут на моём железе, что то ей не нравится. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5859] Автор : ua3ycv Дата : 18.03.2019 08:57 Как бы хотелось бы юзать прошивку SX, но тут на моём железе, что то ей не нравится.то же самое и у меня на версии 1.1 как то так и выглядит-хотя откат на 4.9 решает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5860] Автор : Love777888 Дата : 18.03.2019 09:05 ua3ycv, Здравствуйте, озвучте свою проблему, у Вас тоже самое? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5861] Автор : R3DI Дата : 18.03.2019 10:20 Олег, не совсем понимаю... Вы пишите что вернули дефолтные настройки и внеполосные с показания спектра ушли, потом накрутили и опять появились. Если их ффт регистрирует на спектре ( перекачка сигнала) то на выходе + ИМД оконечников конечно все это превратит в нехороший сигнал. А то что этого не видно на 4.9 так это только из-за того что математика отображения спектра не совсем корректно рассчитывалась. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5862] Автор : R6LHA Дата : 18.03.2019 10:33 Олег, не совсем понимаю... Вы пишите что вернули дефолтные настройки и внеполосные с показания спектра ушли, потом накрутили и опять появились. Если их ффт регистрирует на спектре ( перекачка сигнала) то на выходе + ИМД оконечников конечно все это превратит в нехороший сигнал. А то что этого не видно на 4.9 так это только из-за того что математика отображения спектра не совсем корректно рассчитывалась. Здравствуйте, Евгений, подскажите, картинка на экране при передаче - это сигнал с кодека или это то что идет на кодек, или это после смесителя? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5863] Автор : R3DI Дата : 18.03.2019 11:06 Это то что идёт на кодек. ПС. Монитор - это "псевдомонитор", что идёт на кодек ТХ то и на динамики ( при активации монитора) , поэтому в ам и ФМ в динамике это НЧ сигнал именно сформированный сигнал после цифровых модуляторов . -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5864] Автор : R6LHA Дата : 18.03.2019 11:16 Это то что идёт на кодек. ПС. Монитор - это "псевдомонитор", что идёт на кодек ТХ то и на динамики ( при активации монитора) , поэтому в ам и ФМ в динамике это НЧ сигнал именно сформированный сигнал после цифровых модуляторов . Тогда в последней версии прошивки (после того как был поправлен S-метр) либо стало более правильно показывать, либо что-то поломалось. Когда тон включаешь видно 1 пик в приемных "воротах", как должно быть и 1 с противоположной стороны фликера, но меньше раза в 2 или 3. На выходе вроде синус без искажений. С двухтоновым такая же картина (двойные пики). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5865] Автор : Love777888 Дата : 18.03.2019 12:45 R3DI, Евгений, вы в принципе правы, но у меня получается при мик гейн +3, в принципе тогда не подрывает низ экрана. И то боишься другой раз крикнуть в ссби в микрофон. Но да ладно, так теперь появляются неудобства в АМ например надо agc of и 120 пунктов мик гейн. Ну в фм можно как то подогнать модуляцию под эти 120 пунктов девиацией. А можно ли если так выходит при переключении между режимами, чтобы трансивер помнил эти моменты с регулировками или отдельно каждый режим регулировался. Что касаемо то что вылетает в эфир на разных прошивках ещё раз прошью и сравню. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5866] Автор : ut29641 Дата : 18.03.2019 13:10 Love777888 а просто на тоновом сигнале такое есть?У меня плата 1.3 на ней не было конденсатора блокировки PTT 13с6(места не было вообще),так без него была похожая история...Впаял навесом и все прекратилось. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5867] Автор : Love777888 Дата : 18.03.2019 14:45 ut29641,нет тоновый работает без расширения рабочей полосы. В общем провел я исследование Прошивка 4.9 Скрин с включенным эквалайзером AGC1, mic gain 120 309856 Скрин номер 2, вкл эквалайзер и AGC-5, mic gain 120 309857 Скажем всем понятно то на AGC OFF будет подрывать полосу. Следующий скрин прошивка SX, AGC-1, mic gain 120: 309858 SX, AGC-5, mic gain-120 309859 SX, с эквалайзером и AGC-1, mic gain 120 309860 SX, с эквалайзером и AGC-5, mic gain 120 309861 Может проблема в АРУ которая не может удержать на месте сигнал, в границах рабочей полосы? Но на 4.9 такого нет, Евгений надеюсь что то поможет, может натолкнет, где зарылась неисправность. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5868] Автор : R3DI Дата : 18.03.2019 17:26 Первое , настройте аппаратное усиление Мик так , что бы на входе кодека не превышало допустимые уровни 2.5 ..+/- 1.4В при самой громкой речи, иначе никакая математика от перегруза АЦП не спасет. Второе, цифровое усиление в пределах 20..35, agc3..4, agc_off вообще никогда не используйте, agc-limit в меню лучше установить на 50% и не трогать его больше. И учитывайте ДД показометров на дисплее и программах. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5869] Автор : Love777888 Дата : 18.03.2019 18:31 Хорошо испробую, посмотрю осциллографом на входах кодека, просто не могу увязать отображение, на экране как вы сказали поправили математику...получается это как то завязано с АРУ, кстати agc limit, вообще никогда ни в одной прошивке не трогал. Просто не будет ли так, что сигнал будет тихим? И почему аппаратно одно и тоже значение уровня сигнала с микрофона в разных прошивках по разному обрабатывается...А еще...почему пользуюсь уровнем микрофона 120, потому что в АМ совсем тихо голос на передачу, и каждый раз лезть в меню как бы не хотелось, можно и забыть после 20-ки например и сразу скажут, че то тихо слышно на 27МГц наши местные. Не подумайте Евгений, трансивер хороший, есть мелкие вопросы, хотелось бы их решить. Спасибо за советы, буду исследовать. На верхнем выводе 9С17 около 1 вольта, то что поступает от mic amplifier Все выше перечисленное я установил, как вы сказали. Добавлено через 17 минут(ы): Единственно что помогает выключение эквалайзера, или значения ниже 0-ля должны быть в том же эквалайзере. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5870] Автор : Radiotester Дата : 18.03.2019 18:36 Love777888, 1вольт это какое значение? Посмотрите ЛС, Олег. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5871] Автор : Love777888 Дата : 18.03.2019 18:54 1 вольт переменки идущей от микрофонного усилителя к выводу кодека, 1 клетка по осцилографу,1клетка 1 вольт(вольт/деление) то есть амплитудное -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5872] Автор : R4FBL Дата : 19.03.2019 20:11 Парни здравствуйте, есть двухплатный вариант маламута,первое что хотелось бы знать, у кого есть схема соединения плат ??? И второе:при включении двух микросхем PAM8406 и TDA7233 почему то нет загрузки контроллера, но при выпайке любой из них все востанавливается, но звука при этом нет, не подскажите ??? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5873] Автор : Love777888 Дата : 19.03.2019 20:38 R4FBL, Здравствуйте, ищите информацию там где покупали, по авторской подсказал бы. Хотя может кто подскажет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5874] Автор : EW2MS Mikhail Дата : 19.03.2019 20:41 И второе:при включении двух микросхем PAM8406 и TDA7233 почему то нет загрузки контроллера, но при выпайке любой из них все востанавливается, но звука при этом нет, не подскажите ??? Так одна микросхема на выбор ставится. Две запаивать ненужно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5875] Автор : Sysert Дата : 19.03.2019 21:03 но звука при этом нет, не подскажите ??? Так скачайте с яндекс диска авторскую схему и посмотрите как подключен аудио усилитель, там и комментарии на схеме есть, человек не зря же старался. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5876] Автор : Georgsan Дата : 20.03.2019 00:11 R4FBL, Микросхема УНЧ на выбор со своей обвязкой...если ТДА, то звук должен появиться по умолчанию, если PAM, то в меню SDR выбираете Mute Level другое значение, кажется 0 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5877] Автор : R6LHA Дата : 20.03.2019 10:49 Это то что идёт на кодек. ПС. Монитор - это "псевдомонитор", что идёт на кодек ТХ то и на динамики ( при активации монитора) , поэтому в ам и ФМ в динамике это НЧ сигнал именно сформированный сигнал после цифровых модуляторов . Евгений, здравствуйте. Подскажите, при тоне и двойном тоне вот такая картинка на экране 309974309975 Это на кодак такой сигнал идет или что-то где-то наводится. Заметил, что такое появилось после обновления на прошивку с поправленным S-метром. До этого не замечал (или небыло) побочных палок. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5878] Автор : rn4haq Дата : 20.03.2019 11:07 R6LHA, проверьте заодно в режиме самоконтроль модуляцию фм и Ам . У меня какие то космические звуки)) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5879] Автор : Love777888 Дата : 20.03.2019 11:16 R6LHA, Ну у вас ведь тоже подрывает низ, от боков к центру, я у себя не проверял на тоне, просто без зума смотрел. А по идее все должно быть в середине, я про основную полосу, где идет тон. С левой стороны видна эта ступенька помимо проблемы справа. А Вы не пробовали откатиться на 4.9? rn4haq, В ФМ точно космические звуки и эти же звуки "видны" на дисплее как передергивает картинку, синхронно. АМ тихая пока мик гейн не поправишь до 120 пунктов и AGC OF -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5880] Автор : rn4haq Дата : 20.03.2019 11:31 А никто не смотрел спектр выходного сигнала на контрольный сдр ? Либо на Osa ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5881] Автор : R3DI Дата : 20.03.2019 11:56 R6LHA, интересно канечно... Формирование сигнала на кодек не менялось, как было так и осталось, изменилась математика расчета Сметра и отображения спектра. Пару дней назад ( когда Олег написал) смотрел на последней прошивки и такого не видел, буду у трансивера посмотрю ещё раз. Настройки дефолтные или что-то меняли? Сброс настроек делали? Добавлено через 5 минут(ы): rn4haq, самоконтроль годится только для ссб и тлг. Для ам и ФМ там сигналы с модуляторов, только для оценки осциллографом пока смесители и оконечники не попортили. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5882] Автор : rn4haq Дата : 20.03.2019 12:05 Спасибо Евгений по самоконтролю в фм и Ам тогда вопрос закрыт. Вот интересует выходной спектр на сдр приемнике, в широком спектре -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5883] Автор : Love777888 Дата : 20.03.2019 12:11 rn4haq, Здравствуйте, я смотрел, при помощи свистка на rtl…, такая же бяка, что на экране трансивера. Извините не понял в широком спектре это как? Смотрел только на рабочей частоте, на 6кГц занимаемой полосой -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5884] Автор : rn4haq Дата : 20.03.2019 12:13 Да не я про другой момент, я этот вопрос уже поднимал. Сейчас скину ссылку на то сообщение http://www.cqham.ru/forum/showthread.php?35654-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot&p=1601673&viewfull=1#post1601673 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5885] Автор : R6LHA Дата : 20.03.2019 12:19 R6LHA, Ну у вас ведь тоже подрывает низ, от боков к центру, я у себя не проверял на тоне, просто без зума смотрел. А по идее все должно быть в середине, я про основную полосу, где идет тон. С левой стороны видна эта ступенька помимо проблемы справа. А Вы не пробовали откатиться на 4.9? Нет не откатывался - настройки долго восстанавливать :-| R6LHA, интересно канечно... Формирование сигнала на кодек не менялось, как было так и осталось, изменилась математика расчета Сметра и отображения спектра. Пару дней назад ( когда Олег написал) смотрел на последней прошивки и такого не видел, буду у трансивера посмотрю ещё раз. Настройки дефолтные или что-то меняли? Сброс настроек делали? Сброс делал. Из настроек только коррекция DDS частоты, зеркалка прием\передача, с-метр и мощность на передачу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5886] Автор : R3DI Дата : 20.03.2019 12:21 Олег а в dB, эта бяка сколько ( разница основного и бяки)? И есть ещё такой момент...смотрел сигнал с фт817 и если контрольные приемник рядом на столе там тоже ничего хорошего но на удаленном приемнике ( сдр сервере) совсем другая картина. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5887] Автор : Love777888 Дата : 20.03.2019 13:37 Евгений здравствуйте, доброго дня! Честно говоря на насчёт бяки отстоящей на определенном кГц от основного колебания, я не смотрел, я спецом увеличил полосу чтоб хорошо наблюдать подрывы рабочей полосы. Проверю этот момент если надо. А насчет фм, свистопляска основная пика гуляет то влево, то вправо. Засниму видео выложу здесь ссылку -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5888] Автор : R3DI Дата : 20.03.2019 13:44 Зачем снимать это видео? ( Видео о том что будет на экране при тональнике в ФМ, при том что функция спектра выхватывает временные моменты из непрерывного сигнала для отображения на экране...) -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5889] Автор : Love777888 Дата : 20.03.2019 14:40 Нет я не про тон, я про то если с тангенты нажать передачу, но ничего не говорить. Вот там и пляска идет. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5890] Автор : R3DI Дата : 20.03.2019 15:09 А чего в этом удивительного? Если усиление накручено, компрессор усиливает все с микрофона до установленного значения усиления и все это летит в модулятор.... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5891] Автор : UA9olb Дима Дата : 20.03.2019 17:38 тоже подрывает низ, Проверил у себя ничего не подрывает. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5892] Автор : R3DI Дата : 20.03.2019 17:44 Последняя sx где поправленный Сметр ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5893] Автор : UA9olb Дима Дата : 20.03.2019 17:51 Да самая последняя на яд. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5894] Автор : VitaliyDF Дата : 20.03.2019 18:42 Здравствуйте, у меня прошивка 4.9. В режиме FM стоит небольшой писк на передачу, в режиме FM2 такого нет. Что это? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5895] Автор : Love777888 Дата : 20.03.2019 19:34 UA9olb Дима, Дима приветствую, вы пользуетесь эквалайзером на ТХ? Я постоянно, от голоса скрывает полосу. В тоне одном и втором такого нет. А вот голос подрывает полосу. Я рад за Вас, что так хорошо все. В 4.9 работает у меня отлично. Кстати в последней декодеров rtty and cw, нет. Я просто не понимаю, что у меня не работает, может проц бракованный. Кстати заметил у себя, что с тангенты шёл шум, на осциллографе подтвердилось, сейчас чисто работает. Причина была фиговый тантал в запитке микрофона, заменил на электролит. VitaliyDF, Здравствуйте, это нормально, работать надо в FM2, там где не по центру экрана рабочая полоса -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5896] Автор : UA9olb Дима Дата : 20.03.2019 19:49 вы пользуетесь эквалайзером на ТХ? Приветствую Олег Нет не пользуюсь Но сейчас попробовал в SSB и с эквалайзером и без нет у меня всё четко от линии до линии и не подрывает как у Вас на фото. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5897] Автор : Love777888 Дата : 20.03.2019 20:43 Дима а эквалайзер средние выставьте на +5 пунктов и на передачу поговорите, могли бы вы посмотреть сигнал микрофона сколько он у вас вольт по осциллографу? У вас подстроечник стоит в аппаратной части? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5898] Автор : RK3AQW Дата : 20.03.2019 23:33 Добавлено через 9 минут(ы): UA9olb Дима, такая же картинка ,как у вас -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5899] Автор : R6LHA Дата : 21.03.2019 00:22 Последняя sx где поправленный Сметр ? А могла прошивка с косяками прошиться? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5900] Автор : Love777888 Дата : 21.03.2019 00:32 наврятли, скорее косяные комплектующие, я думаю что у меня это процессор, потому что детали оставшиеся с того раза, кодеки и смесители и др микрухи рабочие, скорее всего процессор на отбраковку шел, а китайцы втюхали на алике. На 4.9 работает, на SX не совсем корректно. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5901] Автор : UA9olb Дима Дата : 21.03.2019 05:58 эквалайзер средние выставьте на +5 пунктов и на передачу поговорите, могли бы вы посмотреть сигнал микрофона сколько он у вас вольт по осциллографу? У вас подстроечник стоит в аппаратной части? Подстроечник стоит и по моему уже просто не помню выкручен в кз. Вечером гляну уровни и эквалайзером настрою средние попробую. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5902] Автор : ua3ycv Дата : 21.03.2019 08:51 На 4.9 работает, на SX не совсем корректно.очень редко тут бываю-а с аппаратом работать вообще нет времени-но то же заметил эту бяку-значит у нас процессоры из одной партии.я грешил на то что нет ответа от ксв-метра -так как нет РД-шек на выходе и "наводка блуждает"-вот и не стал углублятся:-(. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5903] Автор : R6LHA Дата : 21.03.2019 09:46 Проверил у себя ничего не подрывает. А какая оконная функция Фурье у Вас в настройках стоит. ПС Ещё заметил, что на фото от UA9olb Дима тоновый сигнал на экране раза в два выше, чем на моих, интересно с чем это связано, если прошивка одинаковая... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5904] Автор : UA9olb Дима Дата : 21.03.2019 10:10 А какая оконная функция Фурье у Вас в настройках стоит. Эта настройка по умолчанию ничего не трогал в меню. Может мощность у меня больше ) если присмотритесь ATU показывает 37 вт на моломуте не регулировал пока показания. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5905] Автор : R6LHA Дата : 21.03.2019 10:49 Эта настройка по умолчанию ничего не трогал в меню. Может мощность у меня больше ) если присмотритесь ATU показывает 37 вт на моломуте не регулировал пока показания. Евгений сказал, что на экран идут данные, которые идут на передающий кодак, т.е. аналоговая часть тут никак не участвует. Дмитрий, можете посмотреть, что у Вас стоит в настройках "22 SDR -> 5 WinF" и "22 SDR -> 6 WinF" (кстати если кто знает, чем эти пункты отличаются?)? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5906] Автор : R3DI Дата : 21.03.2019 11:16 R6LHA, оконные функции на фильтр ФСС (F) и на показания на дисплее D( анализатор спектра). _______ Коллеги, уточните пожалуйста, что именно изменяете в меню после дефолтных настроек что потом появляется "бяка", у меня и как показал Дмитрий с начальными установками такого нет, но у вас идёт явный перегруз сигнала и из-за чего просто по картине я понять не могу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5907] Автор : Love777888 Дата : 21.03.2019 11:59 R6LHA, Здравствуйте, думаю тут большинство людей и никогда не крутили те меню, всегда все работало после перепрошивки. Я брал как и в прошлый раз на демо борде, где стоит 3.3 стабилизатор, сперва прошивал, затем паялкой сдувал и садил на место. Да и странно это все, там работает тут нет. А по факту не понимаешь как проверить сам процессор, когда он работает. Может кстати они обновление какое нибудь сделали в архитектуре самого STM, и с каких то серий это пошло в производство. Это предположение… Добавлено через 41 минут(ы): R6LHA, оконные функции на фильтр ФСС (F) и на показания на дисплее D( анализатор спектра). _______ Коллеги, уточните пожалуйста, что именно изменяете в меню после дефолтных настроек что потом появляется "бяка", у меня и как показал Дмитрий с начальными установками такого нет, но у вас идёт явный перегруз сигнала и из-за чего просто по картине я понять не могу. Евгений доброго дня! Как только я подымаю середину в эквалайзере, жто вроде как 1.5, и 4кГц, начинается свистопляска. Те рекомендации страницами ранее не помогли, как только включаешь эквалайзер, дело труба. Остальные настройки не трогал. Сигнал с микрофона около 1-го вольта по осциллографу. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5908] Автор : R2RM ex RA3RM Дата : 21.03.2019 12:27 убери процент мощности в меню сетап на меньшее значение и все оживет и модуляшка и эквалайзер, тут поднималась тема про фм ,появляется свист космический и модуль при этом не какой все заперто, опытным путем победил эту бяку, так что при смене вида модуляции надо коректировать вых.мощность получается перегруз по входу и срыв модуляции ,надо править на програмном уровне, а так каждый раз настройка в меню что на каждый диаппазон,что на каждый вид модуляции, при чем в SSB не так явно проявляется... как то так и еще если кто применяет простую тангенту от боуфенга,модуля нормального не будет, или МН-48 или что нибудь Мотороловское со своим микр усилителем в тангенте у меня прекрасно встала MDRMN4025B -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5909] Автор : R6LHA Дата : 21.03.2019 12:38 R6LHA, оконные функции на фильтр ФСС (F) и на показания на дисплее D( анализатор спектра). _______ Коллеги, уточните пожалуйста, что именно изменяете в меню после дефолтных настроек что потом появляется "бяка", у меня и как показал Дмитрий с начальными установками такого нет, но у вас идёт явный перегруз сигнала и из-за чего просто по картине я понять не могу. Спасибо! Я смотрю на Я.Диске обновился файл прошивки, вечером попробую обновить, а то у меня вроде от 20 февраля версия. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5910] Автор : R2RM ex RA3RM Дата : 21.03.2019 13:25 значения по ТХ эква 50гц-0 ,300-0, 1.25-0, 4-9,12-9, сочный модуль Добавлено через 29 минут(ы): кстати пожелание автору по поводу этой бяки ,если есть возможность подкоректировать програмно что там моды или кодеки по поводу фм,ам ,тоесть при ТХ сетап 100% несущая выходит на пиковую мощность 50вт. но она не модулируется фм модулятор оказывается запертым, от сюда и вся байда ,это одно а еще в новой прошмвке сделать защиту по КСВ отключаемой, так как встроен тюнер и он не может настроится при новой прошивке с защитой по КСВ 3 ,постояно срывается в настройку,поэтому сижу на старой прошивке,не могу оценить работу новой, за ранее Спасибо! или добавить ограничения до 5-6-8 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5911] Автор : R6LHA Дата : 21.03.2019 15:29 значения по ТХ эква 50гц-0 ,300-0, 1.25-0, 4-9,12-9, сочный модуль Добавлено через 29 минут(ы): кстати пожелание автору по поводу этой бяки ,если есть возможность подкоректировать програмно что там моды или кодеки по поводу фм,ам ,тоесть при ТХ сетап 100% несущая выходит на пиковую мощность 50вт. но она не модулируется фм модулятор оказывается запертым, от сюда и вся байда ,это одно а еще в новой прошмвке сделать защиту по КСВ отключаемой, так как встроен тюнер и он не может настроится при новой прошивке с защитой по КСВ 3 ,постояно срывается в настройку,поэтому сижу на старой прошивке,не могу оценить работу новой, за ранее Спасибо! или добавить ограничения до 5-6-8 Здравствуйте. Защита по КСВ отключается или редактируется в настройках "24 TX Setup -> 5 SWR Prot" и "24 TX Setup -> 6 SWR Level" -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5912] Автор : rn4haq Дата : 21.03.2019 15:31 R6LHA, после отключения питания защита включается -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5913] Автор : UA9olb Дима Дата : 21.03.2019 17:11 эквалайзер средние выставьте на +5 пунктов и на передачу поговорите, могли бы вы посмотреть сигнал микрофона сколько он у вас вольт по осциллографу? Добрый вечер всем. Посмотрел осцилом уровень микрофонника примерно 1 вольт. изменил настройки эквалайзера 300 кгц -9 1.25 кгц -3 4 кгц 3 и получил картинку как у Олега) при тональниках все нормально Обратно эквалайзер вернул взад и все наладилось асе четко как при тональниках. в настройках "22 SDR -> 5 WinF" и "22 SDR -> 6 WinF" тут стоит 5 WINF F-BL_HARIS 6 WINF D-BL_HARIS -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5914] Автор : R6LHA Дата : 21.03.2019 20:35 Спасибо! Я смотрю на Я.Диске обновился файл прошивки, вечером попробую обновить, а то у меня вроде от 20 февраля версия. Обновил прошивку, картина не поменялась. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5915] Автор : gusenkovs Дата : 21.03.2019 20:48 Здравствуйте. А такие характеристики LPF 14Мгц нормальные или нет? https://cloud.mail.ru/public/B1da/8jp8BTbfZ -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5916] Автор : Love777888 Дата : 21.03.2019 20:57 gusenkovs, Здравствуйте, я не юзал осу смотрите мой для сравнения 310113 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5917] Автор : RK3AQW Дата : 21.03.2019 21:46 rn4haq, SWR Level уровень =10 выставите -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5918] Автор : autosat Дата : 21.03.2019 23:06 такие характеристики LPF 310125 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5919] Автор : eu7ea Дата : 22.03.2019 09:07 Здравствуйте. А такие характеристики LPF 14Мгц нормальные или нет? Вот, что у меня получилось310132 -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5920] Автор : Eugene163 Дата : 22.03.2019 09:29 300 кгц -9 1.25 кгц -3 4 кгц 3 Это завал НЧ -9 и подъём ВЧ 3 ? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5921] Автор : UA9olb Дима Дата : 22.03.2019 09:36 Это завал НЧ -9 и подъём ВЧ 3 ? Это по умолчанию так стояло) я просто изменил это как просил Олег и потом вернул назад. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5922] Автор : Love777888 Дата : 22.03.2019 10:27 Дима приветствую! Спасибо что посмотрели настройки эквалайзера. Надеюсь что Евгений поправит прошивку. Дима у Вас какая тангента? Что то у меня с китайской мн, завалы в середине. Не знаю как там у людей, но мне нужен эквалайзер, для компенсации завалов в средних частотах. Или как вариант править микрофонный усилитель. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5923] Автор : UA9olb Дима Дата : 22.03.2019 10:40 какая тангента? Китайская МН.... c кнопочками прислушался когда экспериментировал тоже в середине завал да и голос низкий).Просто чего то не включал эквалайзер. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5924] Автор : R2RM ex RA3RM Дата : 22.03.2019 10:40 Китайская МН.... c кнопочками прислушался когда экспериментировал тоже в середине завал да и голос низкий).Просто чего то не включал эквалайзер. что нибудь Мотороловское со своим микр усилителем в тангенте у меня прекрасно встала MDRMN4025B -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5925] Автор : Love777888 Дата : 22.03.2019 10:52 UA9olb Дима, Вот поэтому и пользуюсь эквалайзером, как то экспериментировал с тангентой, даже все конденсаторы посдувал параллельно идущие микрофонному сигналу, саму таблетку менял...В итоге пришел к выводу, что АЧХ самого микрофонного усилителя не есть гут. Там на входе конденсаторы надо уменьшить 9С4 и 9С5 поставить по 2н2, 9С8 наверное вообще избавится от него. И вот на выходе цепочка 9С16 и 9С17, как то подозрительно по 0.1uF стоят, поуменьшить бы их номиналы. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5926] Автор : R6LHA Дата : 22.03.2019 15:41 Заметил, что при одинаковом TxOut[BAND] и разных SDR -> WinF оконных функциях выходная мощность (на экране) меняется, причём сильно. Сравнивал FLATTOP и BL_HARRIS для 10 ват на выходе (по значению на экране) получились значения TxOut[BAND] = 11% и 25% соответственно Это нормально? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5927] Автор : Eugene163 Дата : 22.03.2019 17:02 Не знаю как там у людей, но мне нужен эквалайзер, для компенсации завалов в средних частотах. На средних частотах завал и должен быть, на НЧ небольшой подъём а ВЧ должны быть подняты. Тогда и сигнал будет выразительней, легче читается... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5928] Автор : Love777888 Дата : 22.03.2019 18:17 Eugene163, Ну каждому свое, меня так привыкли слышать. Тут на сегодняшний момент, чтобы Евгений поправил прошивку. А там каждый пусть крутит как ему нравиться. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5929] Автор : sobolew Дата : 25.03.2019 16:58 Приветствую ВСЕХ. Очень хочется собрать сей девайс. Пока осилил страниц 80 темы. Сколько в среднем выходя комплектующие и печатка, какую версию лучше собирать? Настройка сложная? -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5930] Автор : Georgsan Дата : 25.03.2019 17:40 sobolew, во сколько обойдется готовый аппарат вам никто н скажет...каждый идет своим путем...комплектующие везде стоят по разному...и все надо брать у разных продавцов, чем больше мелких партий, тем цена выше....доставка...и когда собирают один аппарат, иногда одно и тоже приходится брать несколько раз...от косяков никто не застрахован...ну версию обычно собирают последнюю...сложность настройки кому как... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5931] Автор : UN7RX Дата : 26.03.2019 05:22 Пока осилил страниц 80 темы. У меня вопрос к автору, R3DI. Евгений, в теме почти 600 страниц из которых процентов 60 флуд и треп и если честно, это просто жесть, что-то тут найти практически нереально. Может взять первый пост со всем содержимым и перенести в новую тему, в которой продолжить уже более предметное обсуждение и пресекать болтовню? А эту тему закрыть и оставить как обзорно-справочную. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5932] Автор : R3DI Дата : 26.03.2019 09:51 Роберт, поддерживаю эту идею. -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- [Сообщение 5933] Автор : UN7RX Дата : 26.03.2019 10:23 Переезжаем в новую тему (http://www.cqham.ru/forum/showthread.php?39529-SDR-TRX-quot-%CC%E0%EB%E0%EC%F3%F2-quot-2). -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- Downloaded from Форум CQHAM.RU (http://www.cqham.ru/forum) at 29.03.2024 08:23.