Уважаемые посетители! Форум CQHAM.RU существует исключительно за счет показа рекламы. Мы будем благодарны, если Вы не будете блокировать рекламу на нашем Форуме. Просим внести cqham.ru в список исключений для Вашего блокировщика рекламы.
Страница 67 из 203 ПерваяПервая ... 17576465666768697077117167 ... ПоследняяПоследняя
Показано с 661 по 670 из 2024

Тема: DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али

  1. #1
    Аватар для EU1SW
    Регистрация
    01.07.2009
    Адрес
    Originally Minsk, but QRM ) near Minsk/2
    Сообщений
    3,854
    Записей в дневнике
    1
    Позывной
    EU1SW

    DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али

    EU1SW
    В этой теме предлагается конструкция SDR трансивера из готовых модулей на основе исходных кодов OpenHPSDR & Hermes-Lite, протокол обмена совместим с
    Hermes, управляющая программа PowerSDR mRX PS, проект предоставляет функционал "один приемник с обзором 192 кГц + один передатчик" в полном дуплексе, совместим с программой HermesVNA с функционалом векторного анализатора.

    Скрытый текст

    Основные компоненты: демоплата Altera Cyclone IV EP4CE10, демоплата AD6645 14 бит АЦП, ЦАП - 14 битный DAC904e, модуль PHY Ethernet на чипе LAN8720, из необязательных компонентов - УВЧ на BFG591, ДПФ.
    Для тактирования применен внешний CMOS КГ 96 МГц, который непосредственно распаян на плате АЦП и питается от собственного стабилизатора 3,3 В. FPGA PLL используется для внутреннего тактирования. Плата АЦП модифицирована, установлен антиалиас LC фильтр 35 МГц и трансформатор 1:2. Кш приемника без преампа порядка 30 дБ, с преампом на BFG591 - лучше 8 дБ.
    С нонейм КГ 96 МГц RMDR в 10 кГц порядка -120 дБ, IMD3 на выходе ЦАП лучше -70 дБ dBc на всех КВ диапазонах, спуры и прочий мусор - лучше -80 dBc, выход ЦАП - +5 дБм.
    Предусмотрен вывод демодулированного аудио непосредственно из железа, для минимизации задержек, реализация дельтасигма ЦАП, внешний кодек не нужен, наушники непосредственно с пинов ПЛИС через цепочку из ВЧ дросселя + электролит, отсечь постоянку.
    Распиновку лучше сразу смотреть в архиве проекта, там же и готовые файлы .sof для текущей распиновки, для постоянной прошивки нужно конвертировать в .jic
    Единственный нюанс с пинами, так как входом PLL могут быть только специально предназначенные для этого пины, один из них - 23-й уже занят тактовым генератором 50 МГц, но формально он не нужен, поэтому можно либо отпаять генератор и подать DRY с АЦП на этот 23-й пин, скорректировав проект, либо подать на 24-й, на обратной стороне моей платы есть площадка для второго генератора, именно на ней и выведен 24-й пин.

    Возможен вариант использования как 2 независимых приемника с полосой 96 кГц, с одним либо 2-мя АЦП.


    UPDATE 02.01.18

    Скрытый текст

    Добавлен код формирования огибающей CW непосредственно в FPGA
    Самоконтроль CW в наушниках, подключенных к FPGA
    Управление реле преампа от значения аттенюатора Гермес, аттенюация больше -20 выключает реле
    З.Ы. пины по умолчанию изменены для совместимости с платой, перепаяной на 22к ПЛИС, измените под свою распиновку




    Обновление от 10.02.2018

    Hermes_Lite_22k_CW_s idetone_fix192_cwkey _input_tune_out_powe r_control.zip

    Скрытый текст

    Обновление для 22k
    Расширеные кордики RX/TX (low spurs)
    Фиксированный выходной рейт 192 кгц
    2RX+ 1TX
    cwkey input - вход для вертикального ключа или датчиков CW, semi break, время задержки ptt выставляется в powersdr
    активное состояние - пин на массу, поэтому сразу рекомендую в целях сохранности входов ПЛИС цеплять транзисторный оптрон, а светодиод либо подтягивать к плюсу, если у вас ключ, либо выход датчика с ОК, либо соответственно на массу, если ваш датчик выдает логический уровень.
    Аналогично поступить и со входом ptt_in.
    tune output - выход индикации режима tune, в powersdr необходимо включить опцию apollo (tnx rolin)
    регулировка выходной мощности в режиме Гермес, будет работать как регулировка с передней панели софта, так и калибровка в сетапе по диапазонам.
    DAC_ALC - шимированный выход 0-3,3 вольта
    На выходном пине ПЛИС монтируем делитель, например 4,7 и 3 кОм, что бы привести верхнюю границу к опорному ЦАП 1,25 вольта, и фильтрующую емкость.
    Изменения в обвесе DAC: пин
    INT/EXT (16) поднять с массы и подключить к аналоговому плюсу DAC, сигнал с выхода делителя подать на референсный вход REFIN (17), вход высокоомный, дополнительная блокировка по ВЧ будет не лишняя.
    Не забудьте пожалуйста расставить пины согласно своего хардверного дизайна.
    todo: аудиокодек, медленный АЦП


    Обновление от 11.02.2018
    Hermes_Lite_22k_CW_s idetone_fix192_cwkey _input_tune_out_powe r_control_WM8731_OK. zip

    Скрытый текст

    Обновление для 22k (Tnx Василий UR5KIM! за тестирование и багфикс )
    Теперь микрофон и наушники на борту
    аппаратный I2S кодек Wolfson WM8731, использовалась платка с Али наподобие такой https://ru.aliexpress.com/item/FREE-...674210328.html
    требует доработки, нужно поднять 2 ножки, для перевода контрольного интерфейса в режим SPI
    Для совместимости параллельно оставлен текущий код дельтасигма модуляторов для наушников из предыдущих версий
    О доработке немного позже
    Пожалуйста не забывайте выставлять распиновку в соответствие со своей хардверной конфигурацией.


    Обновление от 16.04.2018, полный фарш от Сергея

    Вложения


    Скрытый текст

    Апдейты для обоих плат,
    в версию 10К включены все последние обновления, увеличена раскачка в телеграфе, вместо отдельного выхода на наушники теперь там живет кодек, ШИМ выход на наушники пришлось убрать, ибо не компилировалось, и больше туда все... )
    в обоих прошивках MCP3202 активен, название сигналов согласно схеме, проверяйте распиновку всех сигналов согласно вашему монтажу, компилируйте и наслаждайтесь отличным приемом )

    Добавлено через 12 минут(ы):

    для того, что бы поправить, в файле hermes_lite_core.v ищем кусок текста, и соответственно убираем палки // где надо, и добавляем где не надо
    Код:

    Код:
    //// w/o slowADC 
    //assign AIN1 = 0; 
    //assign AIN2 = 0; 
    //assign AIN3 = 0; 
    //assign AIN4 = 0; 
    //assign AIN5 =  200; 
    //assign AIN6 = 1000; 
    //// end      
    
    //// ADC78H90CIMT 
    //Hermes_ADC ADC_SPI(.clock(pll_12288), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), 
    //                   .AIN1(AIN1), .AIN2(AIN2), .AIN3(AIN3), .AIN4(AIN4), .AIN5(AIN5), .AIN6(AIN6));   
    //// end     
    
    //// MCP3202 tnx N7DDC 
    Angelia_ADC ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI),                    
    .AIN1(AIN1), .AIN2(AIN2)); 
    assign AIN3 = 0; 
    assign AIN4 = 0; 
    assign AIN5 =  200; 
    assign AIN6 = 1000; 
    //// end   
    
    //// MCP3204 
    //Angelia_AD4 ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), 
    //                   .AIN1(AIN1), .AIN2(AIN2));
     //assign AIN3 = 0;
     //assign AIN4 = 0; //assign AIN5 =  200; 
    //assign AIN6 = 1000; 
    //// end







    Информация от RX3QFM
    Добавлен хелп по работе с Quartus (версия 15.0 Web Edition) и программированию FPGA





    Информация от UR5KIM
    Схемы и рисунки печатных плат модуля усилителя ВЧ приемника и модуля ЦАП передатчика.

    Скрытый текст

    Файлы корректировались мною после изготовления плат для устранения замеченных недостатков, так что возможно чего-то не учел. Но, надеюсь, что все нормально.
    Схемы в spl, а печатные платы в lay6 форматах. На всякий случай прикладываю файлы схем в графическом виде, а рисунки печаток в pdf файлах. За масштаб при их распечатке не ручаюсь.
    В схеме DAC модуля нарисовано два варианта подключения питания аналоговых узлов микросхемы DAC904E - 3,3 Вольта или 5 Вольт. Эти цепи нарисованы штриховой линией. На печатной плате это подключение нужно сделать, запаяв соответствующую ферритовую бусину. Одновременно должна быть запаяна только одна бусина из двух, помеченных красной точкой на рисунке платы в файле формата lay6!
    Печатки довольно простые, но для облегчения монтажа при наведении указателя мышки на любой элемент в программе SprintLayout подсвечивается номинал этого элемента.
    Платы двухсторонние. Нижняя сторона сплошная фольга, которая используется как общий провод. Она зенкуется в нужных местах под выводы разъемов и перемычки, которая есть на плате усилителя. Подключение элементов к нижнему слою фольги производится короткими отрезками провода или монтажными пистонами, которые пропаиваются с двух сторон платы.
    При изготовлении плат способом ЛУТ рисунки нужно печатать зеркально.
    Подключение микросхемы ЦАП к выводам Альтеры должно соответствовать вашему варианту компиляции программы. Я указал на схеме свой.
    Что непонятно - спрашивайте, постараюсь ответить.






    От RA4UKL. Update 02.01.18
    Желающим собрать плату ADC самостоятельно, пост. Схема даташитная, плата обновлена.




    Комментарий модератора

    1. UN7RX:
    2. Всех предупреждаю - авторские ветки на CQHAM будут модерироваться предельно жестко. Если вам что-то не нравится, вы в них просто не заходите, а за наезды на авторов будут баны вплоть до пожизненных. Никаких шуток!
    Последний раз редактировалось UN7RX; 08.04.2019 в 19:57.
    73! ***a few homemade DDC|DUC rigs, Malamute DDC, Storch-X DDC 7"



  2. #661
    Василий, пока ничего не калибровал. У меня две платы, 10к и 22к, я их по очередни обкатываю, понемногу эксперементируя с прошивкой, так что до калибровки пока руки не дошли.
    Aivarss, в принципе, то что есть тоже вроде не перегружает, все равно ничего кроме псдр на неми не будет.Но вообще любые советы пригодятся.

  3. #662
    Цитата Сообщение от UN7RX Посмотреть сообщение
    Попробовал запустить PSDR на материнке Intel D2550MUD2. Проц одноименный, 2Гб памяти. Диск SSD на 16Гб, больше ему и не нужно. Плату покупал давно, под SDR (обычный), на тот момент была в "атомном" топе, критерии были по наличию LPT и LVDS.
    Вчера убил вечер на проблему с отваливанием LAN порта на матери, просто отключался и все. Оказалось, последние драйвера от интел просто фуфло. Старые работают отлично.
    Средняя, рабочая загрузка процессора видна на скрине. Могу добавить, что нижний предел в районе 43%, верхний (при каких то переключениях и прочих переходных процессах) кратковременно может доходить до 70-75%.
    Вложение 279889
    WinXP специальная версия, SP3 и все последние обновления, плюс вырезано все, что не нужно, что резко облегчило и дистрибутив и память (всякие ненужные службы). Скрин возможно выглядит немного искаженным, потому что на 24' мониторе выставлено 1024х768, именно такое разрешение будет на запланированном 7-ми дюймовом дисплее.

    Погонял, сравнил с IC-756. Согласен с Владимиром RX2QFM - УВЧ отключать нет смысла никакого. Во всяком случае с моей версией УВЧ, на BFG135. По чувствительности примерно равен 756 с Preamp1 и хуже чем с Preamp2. Нужно будет все-таки попробовать УВЧ на ERA-5. Шум точно оценить не могу, по ощущениям шумнее чем 756, но во-первых на входе нет абсолютно ничего, никаких фильтров (даже ФНЧ на входе АЦП, не нашел миниатюрной индуктивности на 270нГ), во вторых та паутина которую представляет собой макет, наводит и ловит свои же помехи.
    Роберт, были бы рядышком немного отсыпал индуктивности на 330нГ. У самого паутина пока и помех не заметил, загрузка 26%. Понравилось как работают ДПФ от пилигрима). УВЧ ещё по пробую собрать, что тут на форуме писали и посмотрю в кучи всё).

  4. #663
    Василий, пока ничего не калибровал. У меня две платы, 10к и 22к, я их по очередни обкатываю, понемногу эксперементируя с прошивкой, так что до калибровки пока руки не дошли.
    Aivarss, в принципе, то что есть тоже вроде не перегружает, все равно ничего кроме псдр на неми не будет.Но вообще любые советы пригодятся.

    EU1SW, нее, это перебор. Я переделывал интерфейс под экран 480х600, давно, для старой версии, под аппаратное управление. Часть контролов убрал, часть изменил, либо минимизировал. Получилось симпатично. Хотел сейчас показать, но увы, есть только исходники, не нашел куда дел скомпилированный проект, они под VS2003, я под VS2005 работал, сейчас никакая студия не стоит, не могу быстренько скомпилировать. Хоть версия там и старая, надеюсь, код интерфейса (он на шарпе) не слишком отличается, визуально то он мало изменился, переделаю под 1024х768 и будет норм. Исходники современных версий доступны.

    Добавлено через 13 минут(ы):

    Цитата Сообщение от Sergey RK4PH Посмотреть сообщение
    330нГ
    на 330 нГ есть, фнч 5-го порядка в УВЧ стоят. Нет ФНЧ на входе самого АЦП, там простенький, там и стоит на 270. Но это неважно, все равно ДПФ будут.
    Кстати, интересный момент, при подключении УВЧ полезный сигнал как и положено возрастает, а вот шум резко снижается.

    PS
    Да блин, не понимаю чего тема закрывается то?! Второй раз такая фигня случается. Хорошо Айварс ткнул.
    Последний раз редактировалось UN7RX; 21.01.2018 в 01:12.

  5. #664
    Момент есть непонятный. При включении NR и особенно NR2 появляются хрипы и искажения. Отчего это может быть? wav залил на Яндекс диск, а то 25Мб. https://yadi.sk/d/SubqvCDP3Rdftwhttp...SubqvCDP3Rdftw
    https://yadi.sk/d/SubqvCDP3Rdftw

  6. #665
    UN7RX,
    ИМХО скорее всего от недостатка "мощи", NR и NR2 довольно серьезно нагружают процессор, сам замечал.
    По оптимизации, при нехватке "мощи" проще всего урезать полосу, вместо 192 поставить 48. В повседневной работе разницу скорее не заметите. Закладка Audio - Primary - Sample rate. Можно поигратся с Buffer size, но можно поиметь отрицательный эффект. Далее, Display - General - Main display FPS. С 15 можно опустить до 10. Разница есть, но при экономии ресурсов вполне. Далее, Display - RX1(RX2) - Fast Fourier Transform ставим Size на Min, картинка становится не очень красивая, но ресурсы экономит конкретно. Если ресурсы компа позволяют, ставим на Max. Тогда каждый чих на CW видно на панораме
    73! YL2GVC

  7. Спасибо от UN7RX

  8. #666
    Ну я бы согласился, увидев загрузку процессора под 90%. Но 40-55... Впрочем, попробую.

  9. #667

    Регистрация
    07.08.2006
    Адрес
    KO91OO
    Сообщений
    221
    Позывной
    UF3K
    Объясню почему на АЦП поставил 270 нГ. В линейке 0603, которая была под руками, 270 и меньше намотаны на керамике, а 300 и больше на феррите. Думаем про IP3, и вывод ясен. В УВЧ поменять проще, если что-то пошло не так. Такую катушечку легко намотать самому проводом 0,35-0,4 мм на сверле диаметром 2-2,5 мм (больше диаметр нельзя, т.к. помех насосет больше чем отфильтрует; понятно, что лучше всего SMD в этом смысле). Если хочется, можно потом на припаянной кисточкой с клеем по виткам мазнуть.
    Файл что-то не проигрывается в PowerSDR, не разобрался почему. В HDSDR проигрывается, но странно.
    Вообще, как по мне, в PowerSDR NR работает отвратительно, никогда не использую. Даже придумать не могу ситуацию, когда бы мне это пригодилось.
    Шум при включении УВЧ может снижаться, как раз за счет приема помех АЦП на верхних зонах Найквиста (у УВЧ на выходе фильтр стоит). Именно поэтому, в свое время, убедил Сергея оставить идеи принимать УКВ на верхних зонах, т.к. конвертер получается эффективнее.
    Последний раз редактировалось UF3K; 21.01.2018 в 08:54.
    73! Владимир. ex RX3QFM

  10. #668
    Почему без УВЧ шумит сильнее при подключении антенны, вообще вопросов не вызывало. У меня в УВЧ стоят катушки на 330нГ без феррита, 1206. С NR конечно нужно разбираться, эффект подавления шумов отличный просто, если бы не искажения. Да и иметь цифровой трансивер без такой функции, совсем не комильфо.

  11. #669

    Регистрация
    07.08.2006
    Адрес
    KO91OO
    Сообщений
    221
    Позывной
    UF3K
    Роберт, маленький фильтр, расположенный на плате АЦП, дает заметный эффект, т.к. по дороге от фильтра УВЧ до АЦП в верхних зонах наводки есть из-за неидеального КСВ по входу. Так же заметно влияние конденсатора 10-12 пФ на входе АЦП, он позволяет 1-1,5 дБ выиграть по чувствительности на верхних КВ диапазонах.
    Я придумал, когда нужен NB! Если ожидается Аврора, а я хочу спать, можно спать более комфортно. Но на шипящем CW и такой NB сгодится.
    PS: Если кто-то не видел, я как-то выкладывал фото доработок АЦП на УКВ-портале - http://forum.vhfdx.ru/tekhnicheskiy-...kv-i-svch/330/
    Последний раз редактировалось UF3K; 21.01.2018 в 10:03.
    73! Владимир. ex RX3QFM


  12. #670
    Аватар для EU1SW
    Регистрация
    01.07.2009
    Адрес
    Originally Minsk, but QRM ) near Minsk/2
    Сообщений
    3,854
    Записей в дневнике
    1
    Позывной
    EU1SW
    NR , a особенно NR2 нагружает процессор, я писал об этом в части обсуждения, касающейся слабых машин.
    Обработка не успевает завершиться к моменту прихода очередного блока, единственное решение - играться с размерами буферов, задержками, интерфейсами аудио, количеству точек ффт для экрана, с целью оптимальных настроек, минимизирующих ущерб, либо более радикально - переходом на полосу обзора 96 кГц.

    И это касается не только ПК, на распберри 2 аналогичная песня, при 96 кгц и выключенных фильтрах все гладко, включаем NR начинаются выпадения, при NR2 интерфейс вообще замерзает.
    Последний раз редактировалось EU1SW; 21.01.2018 в 10:09.
    73! ***a few homemade DDC|DUC rigs, Malamute DDC, Storch-X DDC 7"

Страница 67 из 203 ПерваяПервая ... 17576465666768697077117167 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. DIY SDR DDC "на коленке", или конструкция выходного дня из модулей с Али
    от EU1SW в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 729
    Последнее сообщение: 03.09.2023, 21:04
  2. Бюджетный DDC/DUC
    от Слесарь в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 74
    Последнее сообщение: 14.08.2016, 23:44
  3. Бюджетный DDC/DUC
    от Слесарь в разделе Технический кабинет
    Ответов: 3
    Последнее сообщение: 10.08.2016, 13:19
  4. SDRstick UDPSDR-HF1/2 DDC+DUC
    от Windk в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 55
    Последнее сообщение: 17.09.2013, 21:50
  5. Очередной DDC/DUC трансивер MakSDR
    от makkosik в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 27
    Последнее сообщение: 30.08.2012, 10:17

Метки этой темы

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •